GNU Linux-libre 6.9.2-gnu
[releases.git] / include / sound / soc.h
1 /* SPDX-License-Identifier: GPL-2.0
2  *
3  * linux/sound/soc.h -- ALSA SoC Layer
4  *
5  * Author:      Liam Girdwood
6  * Created:     Aug 11th 2005
7  * Copyright:   Wolfson Microelectronics. PLC.
8  */
9
10 #ifndef __LINUX_SND_SOC_H
11 #define __LINUX_SND_SOC_H
12
13 #include <linux/args.h>
14 #include <linux/of.h>
15 #include <linux/platform_device.h>
16 #include <linux/types.h>
17 #include <linux/notifier.h>
18 #include <linux/workqueue.h>
19 #include <linux/interrupt.h>
20 #include <linux/kernel.h>
21 #include <linux/regmap.h>
22 #include <linux/log2.h>
23 #include <sound/core.h>
24 #include <sound/pcm.h>
25 #include <sound/compress_driver.h>
26 #include <sound/control.h>
27 #include <sound/ac97_codec.h>
28
29 /*
30  * Convenience kcontrol builders
31  */
32 #define SOC_DOUBLE_VALUE(xreg, shift_left, shift_right, xmax, xinvert, xautodisable) \
33         ((unsigned long)&(struct soc_mixer_control) \
34         {.reg = xreg, .rreg = xreg, .shift = shift_left, \
35         .rshift = shift_right, .max = xmax, \
36         .invert = xinvert, .autodisable = xautodisable})
37 #define SOC_DOUBLE_S_VALUE(xreg, shift_left, shift_right, xmin, xmax, xsign_bit, xinvert, xautodisable) \
38         ((unsigned long)&(struct soc_mixer_control) \
39         {.reg = xreg, .rreg = xreg, .shift = shift_left, \
40         .rshift = shift_right, .min = xmin, .max = xmax, \
41         .sign_bit = xsign_bit, .invert = xinvert, .autodisable = xautodisable})
42 #define SOC_SINGLE_VALUE(xreg, xshift, xmax, xinvert, xautodisable) \
43         SOC_DOUBLE_VALUE(xreg, xshift, xshift, xmax, xinvert, xautodisable)
44 #define SOC_SINGLE_VALUE_EXT(xreg, xmax, xinvert) \
45         ((unsigned long)&(struct soc_mixer_control) \
46         {.reg = xreg, .max = xmax, .invert = xinvert})
47 #define SOC_DOUBLE_R_VALUE(xlreg, xrreg, xshift, xmax, xinvert) \
48         ((unsigned long)&(struct soc_mixer_control) \
49         {.reg = xlreg, .rreg = xrreg, .shift = xshift, .rshift = xshift, \
50         .max = xmax, .invert = xinvert})
51 #define SOC_DOUBLE_R_S_VALUE(xlreg, xrreg, xshift, xmin, xmax, xsign_bit, xinvert) \
52         ((unsigned long)&(struct soc_mixer_control) \
53         {.reg = xlreg, .rreg = xrreg, .shift = xshift, .rshift = xshift, \
54         .max = xmax, .min = xmin, .sign_bit = xsign_bit, \
55         .invert = xinvert})
56 #define SOC_DOUBLE_R_RANGE_VALUE(xlreg, xrreg, xshift, xmin, xmax, xinvert) \
57         ((unsigned long)&(struct soc_mixer_control) \
58         {.reg = xlreg, .rreg = xrreg, .shift = xshift, .rshift = xshift, \
59         .min = xmin, .max = xmax, .invert = xinvert})
60 #define SOC_SINGLE(xname, reg, shift, max, invert) \
61 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
62         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw,\
63         .put = snd_soc_put_volsw, \
64         .private_value = SOC_SINGLE_VALUE(reg, shift, max, invert, 0) }
65 #define SOC_SINGLE_RANGE(xname, xreg, xshift, xmin, xmax, xinvert) \
66 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
67         .info = snd_soc_info_volsw_range, .get = snd_soc_get_volsw_range, \
68         .put = snd_soc_put_volsw_range, \
69         .private_value = (unsigned long)&(struct soc_mixer_control) \
70                 {.reg = xreg, .rreg = xreg, .shift = xshift, \
71                  .rshift = xshift,  .min = xmin, .max = xmax, \
72                  .invert = xinvert} }
73 #define SOC_SINGLE_TLV(xname, reg, shift, max, invert, tlv_array) \
74 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
75         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
76                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
77         .tlv.p = (tlv_array), \
78         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw,\
79         .put = snd_soc_put_volsw, \
80         .private_value = SOC_SINGLE_VALUE(reg, shift, max, invert, 0) }
81 #define SOC_SINGLE_SX_TLV(xname, xreg, xshift, xmin, xmax, tlv_array) \
82 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
83         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
84         SNDRV_CTL_ELEM_ACCESS_READWRITE, \
85         .tlv.p  = (tlv_array),\
86         .info = snd_soc_info_volsw_sx, \
87         .get = snd_soc_get_volsw_sx,\
88         .put = snd_soc_put_volsw_sx, \
89         .private_value = (unsigned long)&(struct soc_mixer_control) \
90                 {.reg = xreg, .rreg = xreg, \
91                 .shift = xshift, .rshift = xshift, \
92                 .max = xmax, .min = xmin} }
93 #define SOC_SINGLE_RANGE_TLV(xname, xreg, xshift, xmin, xmax, xinvert, tlv_array) \
94 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
95         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
96                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
97         .tlv.p = (tlv_array), \
98         .info = snd_soc_info_volsw_range, \
99         .get = snd_soc_get_volsw_range, .put = snd_soc_put_volsw_range, \
100         .private_value = (unsigned long)&(struct soc_mixer_control) \
101                 {.reg = xreg, .rreg = xreg, .shift = xshift, \
102                  .rshift = xshift, .min = xmin, .max = xmax, \
103                  .invert = xinvert} }
104 #define SOC_DOUBLE(xname, reg, shift_left, shift_right, max, invert) \
105 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
106         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw, \
107         .put = snd_soc_put_volsw, \
108         .private_value = SOC_DOUBLE_VALUE(reg, shift_left, shift_right, \
109                                           max, invert, 0) }
110 #define SOC_DOUBLE_STS(xname, reg, shift_left, shift_right, max, invert) \
111 {                                                                       \
112         .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),           \
113         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw,           \
114         .access = SNDRV_CTL_ELEM_ACCESS_READ |                          \
115                 SNDRV_CTL_ELEM_ACCESS_VOLATILE,                         \
116         .private_value = SOC_DOUBLE_VALUE(reg, shift_left, shift_right, \
117                                           max, invert, 0) }
118 #define SOC_DOUBLE_R(xname, reg_left, reg_right, xshift, xmax, xinvert) \
119 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
120         .info = snd_soc_info_volsw, \
121         .get = snd_soc_get_volsw, .put = snd_soc_put_volsw, \
122         .private_value = SOC_DOUBLE_R_VALUE(reg_left, reg_right, xshift, \
123                                             xmax, xinvert) }
124 #define SOC_DOUBLE_R_RANGE(xname, reg_left, reg_right, xshift, xmin, \
125                            xmax, xinvert)               \
126 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
127         .info = snd_soc_info_volsw_range, \
128         .get = snd_soc_get_volsw_range, .put = snd_soc_put_volsw_range, \
129         .private_value = SOC_DOUBLE_R_RANGE_VALUE(reg_left, reg_right, \
130                                             xshift, xmin, xmax, xinvert) }
131 #define SOC_DOUBLE_TLV(xname, reg, shift_left, shift_right, max, invert, tlv_array) \
132 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
133         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
134                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
135         .tlv.p = (tlv_array), \
136         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw, \
137         .put = snd_soc_put_volsw, \
138         .private_value = SOC_DOUBLE_VALUE(reg, shift_left, shift_right, \
139                                           max, invert, 0) }
140 #define SOC_DOUBLE_SX_TLV(xname, xreg, shift_left, shift_right, xmin, xmax, tlv_array) \
141 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
142         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
143         SNDRV_CTL_ELEM_ACCESS_READWRITE, \
144         .tlv.p  = (tlv_array), \
145         .info = snd_soc_info_volsw_sx, \
146         .get = snd_soc_get_volsw_sx, \
147         .put = snd_soc_put_volsw_sx, \
148         .private_value = (unsigned long)&(struct soc_mixer_control) \
149                 {.reg = xreg, .rreg = xreg, \
150                 .shift = shift_left, .rshift = shift_right, \
151                 .max = xmax, .min = xmin} }
152 #define SOC_DOUBLE_R_TLV(xname, reg_left, reg_right, xshift, xmax, xinvert, tlv_array) \
153 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
154         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
155                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
156         .tlv.p = (tlv_array), \
157         .info = snd_soc_info_volsw, \
158         .get = snd_soc_get_volsw, .put = snd_soc_put_volsw, \
159         .private_value = SOC_DOUBLE_R_VALUE(reg_left, reg_right, xshift, \
160                                             xmax, xinvert) }
161 #define SOC_DOUBLE_R_RANGE_TLV(xname, reg_left, reg_right, xshift, xmin, \
162                                xmax, xinvert, tlv_array)                \
163 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
164         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
165                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
166         .tlv.p = (tlv_array), \
167         .info = snd_soc_info_volsw_range, \
168         .get = snd_soc_get_volsw_range, .put = snd_soc_put_volsw_range, \
169         .private_value = SOC_DOUBLE_R_RANGE_VALUE(reg_left, reg_right, \
170                                             xshift, xmin, xmax, xinvert) }
171 #define SOC_DOUBLE_R_SX_TLV(xname, xreg, xrreg, xshift, xmin, xmax, tlv_array) \
172 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
173         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
174         SNDRV_CTL_ELEM_ACCESS_READWRITE, \
175         .tlv.p  = (tlv_array), \
176         .info = snd_soc_info_volsw_sx, \
177         .get = snd_soc_get_volsw_sx, \
178         .put = snd_soc_put_volsw_sx, \
179         .private_value = (unsigned long)&(struct soc_mixer_control) \
180                 {.reg = xreg, .rreg = xrreg, \
181                 .shift = xshift, .rshift = xshift, \
182                 .max = xmax, .min = xmin} }
183 #define SOC_DOUBLE_R_S_TLV(xname, reg_left, reg_right, xshift, xmin, xmax, xsign_bit, xinvert, tlv_array) \
184 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
185         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
186                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
187         .tlv.p = (tlv_array), \
188         .info = snd_soc_info_volsw, \
189         .get = snd_soc_get_volsw, .put = snd_soc_put_volsw, \
190         .private_value = SOC_DOUBLE_R_S_VALUE(reg_left, reg_right, xshift, \
191                                             xmin, xmax, xsign_bit, xinvert) }
192 #define SOC_SINGLE_S_TLV(xname, xreg, xshift, xmin, xmax, xsign_bit, xinvert, tlv_array) \
193         SOC_DOUBLE_R_S_TLV(xname, xreg, xreg, xshift, xmin, xmax, xsign_bit, xinvert, tlv_array)
194 #define SOC_SINGLE_S8_TLV(xname, xreg, xmin, xmax, tlv_array) \
195 {       .iface  = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
196         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
197                   SNDRV_CTL_ELEM_ACCESS_READWRITE, \
198         .tlv.p  = (tlv_array), \
199         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw,\
200         .put = snd_soc_put_volsw, \
201         .private_value = (unsigned long)&(struct soc_mixer_control) \
202         {.reg = xreg, .rreg = xreg,  \
203          .min = xmin, .max = xmax, \
204         .sign_bit = 7,} }
205 #define SOC_DOUBLE_S8_TLV(xname, xreg, xmin, xmax, tlv_array) \
206 {       .iface  = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
207         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
208                   SNDRV_CTL_ELEM_ACCESS_READWRITE, \
209         .tlv.p  = (tlv_array), \
210         .info = snd_soc_info_volsw, .get = snd_soc_get_volsw,\
211         .put = snd_soc_put_volsw, \
212         .private_value = SOC_DOUBLE_S_VALUE(xreg, 0, 8, xmin, xmax, 7, 0, 0) }
213 #define SOC_ENUM_DOUBLE(xreg, xshift_l, xshift_r, xitems, xtexts) \
214 {       .reg = xreg, .shift_l = xshift_l, .shift_r = xshift_r, \
215         .items = xitems, .texts = xtexts, \
216         .mask = xitems ? roundup_pow_of_two(xitems) - 1 : 0}
217 #define SOC_ENUM_SINGLE(xreg, xshift, xitems, xtexts) \
218         SOC_ENUM_DOUBLE(xreg, xshift, xshift, xitems, xtexts)
219 #define SOC_ENUM_SINGLE_EXT(xitems, xtexts) \
220 {       .items = xitems, .texts = xtexts }
221 #define SOC_VALUE_ENUM_DOUBLE(xreg, xshift_l, xshift_r, xmask, xitems, xtexts, xvalues) \
222 {       .reg = xreg, .shift_l = xshift_l, .shift_r = xshift_r, \
223         .mask = xmask, .items = xitems, .texts = xtexts, .values = xvalues}
224 #define SOC_VALUE_ENUM_SINGLE(xreg, xshift, xmask, xitems, xtexts, xvalues) \
225         SOC_VALUE_ENUM_DOUBLE(xreg, xshift, xshift, xmask, xitems, xtexts, xvalues)
226 #define SOC_VALUE_ENUM_SINGLE_AUTODISABLE(xreg, xshift, xmask, xitems, xtexts, xvalues) \
227 {       .reg = xreg, .shift_l = xshift, .shift_r = xshift, \
228         .mask = xmask, .items = xitems, .texts = xtexts, \
229         .values = xvalues, .autodisable = 1}
230 #define SOC_ENUM_SINGLE_VIRT(xitems, xtexts) \
231         SOC_ENUM_SINGLE(SND_SOC_NOPM, 0, xitems, xtexts)
232 #define SOC_ENUM(xname, xenum) \
233 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname,\
234         .info = snd_soc_info_enum_double, \
235         .get = snd_soc_get_enum_double, .put = snd_soc_put_enum_double, \
236         .private_value = (unsigned long)&xenum }
237 #define SOC_SINGLE_EXT(xname, xreg, xshift, xmax, xinvert,\
238          xhandler_get, xhandler_put) \
239 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
240         .info = snd_soc_info_volsw, \
241         .get = xhandler_get, .put = xhandler_put, \
242         .private_value = SOC_SINGLE_VALUE(xreg, xshift, xmax, xinvert, 0) }
243 #define SOC_DOUBLE_EXT(xname, reg, shift_left, shift_right, max, invert,\
244          xhandler_get, xhandler_put) \
245 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
246         .info = snd_soc_info_volsw, \
247         .get = xhandler_get, .put = xhandler_put, \
248         .private_value = \
249                 SOC_DOUBLE_VALUE(reg, shift_left, shift_right, max, invert, 0) }
250 #define SOC_DOUBLE_R_EXT(xname, reg_left, reg_right, xshift, xmax, xinvert,\
251          xhandler_get, xhandler_put) \
252 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
253         .info = snd_soc_info_volsw, \
254         .get = xhandler_get, .put = xhandler_put, \
255         .private_value = SOC_DOUBLE_R_VALUE(reg_left, reg_right, xshift, \
256                                             xmax, xinvert) }
257 #define SOC_SINGLE_EXT_TLV(xname, xreg, xshift, xmax, xinvert,\
258          xhandler_get, xhandler_put, tlv_array) \
259 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
260         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
261                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
262         .tlv.p = (tlv_array), \
263         .info = snd_soc_info_volsw, \
264         .get = xhandler_get, .put = xhandler_put, \
265         .private_value = SOC_SINGLE_VALUE(xreg, xshift, xmax, xinvert, 0) }
266 #define SOC_SINGLE_RANGE_EXT_TLV(xname, xreg, xshift, xmin, xmax, xinvert, \
267                                  xhandler_get, xhandler_put, tlv_array) \
268 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname),\
269         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ |\
270                  SNDRV_CTL_ELEM_ACCESS_READWRITE,\
271         .tlv.p = (tlv_array), \
272         .info = snd_soc_info_volsw_range, \
273         .get = xhandler_get, .put = xhandler_put, \
274         .private_value = (unsigned long)&(struct soc_mixer_control) \
275                 {.reg = xreg, .rreg = xreg, .shift = xshift, \
276                  .rshift = xshift, .min = xmin, .max = xmax, \
277                  .invert = xinvert} }
278 #define SOC_DOUBLE_EXT_TLV(xname, xreg, shift_left, shift_right, xmax, xinvert,\
279          xhandler_get, xhandler_put, tlv_array) \
280 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
281         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
282                  SNDRV_CTL_ELEM_ACCESS_READWRITE, \
283         .tlv.p = (tlv_array), \
284         .info = snd_soc_info_volsw, \
285         .get = xhandler_get, .put = xhandler_put, \
286         .private_value = SOC_DOUBLE_VALUE(xreg, shift_left, shift_right, \
287                                           xmax, xinvert, 0) }
288 #define SOC_DOUBLE_R_EXT_TLV(xname, reg_left, reg_right, xshift, xmax, xinvert,\
289          xhandler_get, xhandler_put, tlv_array) \
290 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
291         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
292                  SNDRV_CTL_ELEM_ACCESS_READWRITE, \
293         .tlv.p = (tlv_array), \
294         .info = snd_soc_info_volsw, \
295         .get = xhandler_get, .put = xhandler_put, \
296         .private_value = SOC_DOUBLE_R_VALUE(reg_left, reg_right, xshift, \
297                                             xmax, xinvert) }
298 #define SOC_DOUBLE_R_S_EXT_TLV(xname, reg_left, reg_right, xshift, xmin, xmax, \
299                                xsign_bit, xinvert, xhandler_get, xhandler_put, \
300                                tlv_array) \
301 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
302         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READ | \
303                   SNDRV_CTL_ELEM_ACCESS_READWRITE, \
304         .tlv.p = (tlv_array), \
305         .info = snd_soc_info_volsw, \
306         .get = xhandler_get, .put = xhandler_put, \
307         .private_value = SOC_DOUBLE_R_S_VALUE(reg_left, reg_right, xshift, \
308                                               xmin, xmax, xsign_bit, xinvert) }
309 #define SOC_SINGLE_S_EXT_TLV(xname, xreg, xshift, xmin, xmax, \
310                              xsign_bit, xinvert, xhandler_get, xhandler_put, \
311                              tlv_array) \
312         SOC_DOUBLE_R_S_EXT_TLV(xname, xreg, xreg, xshift, xmin, xmax, \
313                                xsign_bit, xinvert, xhandler_get, xhandler_put, \
314                                tlv_array)
315 #define SOC_SINGLE_BOOL_EXT(xname, xdata, xhandler_get, xhandler_put) \
316 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
317         .info = snd_soc_info_bool_ext, \
318         .get = xhandler_get, .put = xhandler_put, \
319         .private_value = xdata }
320 #define SOC_ENUM_EXT(xname, xenum, xhandler_get, xhandler_put) \
321 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
322         .info = snd_soc_info_enum_double, \
323         .get = xhandler_get, .put = xhandler_put, \
324         .private_value = (unsigned long)&xenum }
325 #define SOC_VALUE_ENUM_EXT(xname, xenum, xhandler_get, xhandler_put) \
326         SOC_ENUM_EXT(xname, xenum, xhandler_get, xhandler_put)
327
328 #define SND_SOC_BYTES(xname, xbase, xregs)                    \
329 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname,   \
330         .info = snd_soc_bytes_info, .get = snd_soc_bytes_get, \
331         .put = snd_soc_bytes_put, .private_value =            \
332                 ((unsigned long)&(struct soc_bytes)           \
333                 {.base = xbase, .num_regs = xregs }) }
334 #define SND_SOC_BYTES_E(xname, xbase, xregs, xhandler_get, xhandler_put) \
335 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
336         .info = snd_soc_bytes_info, .get = xhandler_get, \
337         .put = xhandler_put, .private_value = \
338                 ((unsigned long)&(struct soc_bytes) \
339                 {.base = xbase, .num_regs = xregs }) }
340
341 #define SND_SOC_BYTES_MASK(xname, xbase, xregs, xmask)        \
342 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname,   \
343         .info = snd_soc_bytes_info, .get = snd_soc_bytes_get, \
344         .put = snd_soc_bytes_put, .private_value =            \
345                 ((unsigned long)&(struct soc_bytes)           \
346                 {.base = xbase, .num_regs = xregs,            \
347                  .mask = xmask }) }
348
349 /*
350  * SND_SOC_BYTES_EXT is deprecated, please USE SND_SOC_BYTES_TLV instead
351  */
352 #define SND_SOC_BYTES_EXT(xname, xcount, xhandler_get, xhandler_put) \
353 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
354         .info = snd_soc_bytes_info_ext, \
355         .get = xhandler_get, .put = xhandler_put, \
356         .private_value = (unsigned long)&(struct soc_bytes_ext) \
357                 {.max = xcount} }
358 #define SND_SOC_BYTES_TLV(xname, xcount, xhandler_get, xhandler_put) \
359 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, \
360         .access = SNDRV_CTL_ELEM_ACCESS_TLV_READWRITE | \
361                   SNDRV_CTL_ELEM_ACCESS_TLV_CALLBACK, \
362         .tlv.c = (snd_soc_bytes_tlv_callback), \
363         .info = snd_soc_bytes_info_ext, \
364         .private_value = (unsigned long)&(struct soc_bytes_ext) \
365                 {.max = xcount, .get = xhandler_get, .put = xhandler_put, } }
366 #define SOC_SINGLE_XR_SX(xname, xregbase, xregcount, xnbits, \
367                 xmin, xmax, xinvert) \
368 {       .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = (xname), \
369         .info = snd_soc_info_xr_sx, .get = snd_soc_get_xr_sx, \
370         .put = snd_soc_put_xr_sx, \
371         .private_value = (unsigned long)&(struct soc_mreg_control) \
372                 {.regbase = xregbase, .regcount = xregcount, .nbits = xnbits, \
373                 .invert = xinvert, .min = xmin, .max = xmax} }
374
375 #define SOC_SINGLE_STROBE(xname, xreg, xshift, xinvert) \
376         SOC_SINGLE_EXT(xname, xreg, xshift, 1, xinvert, \
377                 snd_soc_get_strobe, snd_soc_put_strobe)
378
379 /*
380  * Simplified versions of above macros, declaring a struct and calculating
381  * ARRAY_SIZE internally
382  */
383 #define SOC_ENUM_DOUBLE_DECL(name, xreg, xshift_l, xshift_r, xtexts) \
384         const struct soc_enum name = SOC_ENUM_DOUBLE(xreg, xshift_l, xshift_r, \
385                                                 ARRAY_SIZE(xtexts), xtexts)
386 #define SOC_ENUM_SINGLE_DECL(name, xreg, xshift, xtexts) \
387         SOC_ENUM_DOUBLE_DECL(name, xreg, xshift, xshift, xtexts)
388 #define SOC_ENUM_SINGLE_EXT_DECL(name, xtexts) \
389         const struct soc_enum name = SOC_ENUM_SINGLE_EXT(ARRAY_SIZE(xtexts), xtexts)
390 #define SOC_VALUE_ENUM_DOUBLE_DECL(name, xreg, xshift_l, xshift_r, xmask, xtexts, xvalues) \
391         const struct soc_enum name = SOC_VALUE_ENUM_DOUBLE(xreg, xshift_l, xshift_r, xmask, \
392                                                         ARRAY_SIZE(xtexts), xtexts, xvalues)
393 #define SOC_VALUE_ENUM_SINGLE_DECL(name, xreg, xshift, xmask, xtexts, xvalues) \
394         SOC_VALUE_ENUM_DOUBLE_DECL(name, xreg, xshift, xshift, xmask, xtexts, xvalues)
395
396 #define SOC_VALUE_ENUM_SINGLE_AUTODISABLE_DECL(name, xreg, xshift, xmask, xtexts, xvalues) \
397         const struct soc_enum name = SOC_VALUE_ENUM_SINGLE_AUTODISABLE(xreg, \
398                 xshift, xmask, ARRAY_SIZE(xtexts), xtexts, xvalues)
399
400 #define SOC_ENUM_SINGLE_VIRT_DECL(name, xtexts) \
401         const struct soc_enum name = SOC_ENUM_SINGLE_VIRT(ARRAY_SIZE(xtexts), xtexts)
402
403 struct device_node;
404 struct snd_jack;
405 struct snd_soc_card;
406 struct snd_soc_pcm_stream;
407 struct snd_soc_ops;
408 struct snd_soc_pcm_runtime;
409 struct snd_soc_dai;
410 struct snd_soc_dai_driver;
411 struct snd_soc_dai_link;
412 struct snd_soc_component;
413 struct snd_soc_component_driver;
414 struct soc_enum;
415 struct snd_soc_jack;
416 struct snd_soc_jack_zone;
417 struct snd_soc_jack_pin;
418 #include <sound/soc-dapm.h>
419 #include <sound/soc-dpcm.h>
420 #include <sound/soc-topology.h>
421
422 struct snd_soc_jack_gpio;
423
424 enum snd_soc_pcm_subclass {
425         SND_SOC_PCM_CLASS_PCM   = 0,
426         SND_SOC_PCM_CLASS_BE    = 1,
427 };
428
429 int snd_soc_register_card(struct snd_soc_card *card);
430 void snd_soc_unregister_card(struct snd_soc_card *card);
431 int devm_snd_soc_register_card(struct device *dev, struct snd_soc_card *card);
432 #ifdef CONFIG_PM_SLEEP
433 int snd_soc_suspend(struct device *dev);
434 int snd_soc_resume(struct device *dev);
435 #else
436 static inline int snd_soc_suspend(struct device *dev)
437 {
438         return 0;
439 }
440
441 static inline int snd_soc_resume(struct device *dev)
442 {
443         return 0;
444 }
445 #endif
446 int snd_soc_poweroff(struct device *dev);
447 int snd_soc_component_initialize(struct snd_soc_component *component,
448                                  const struct snd_soc_component_driver *driver,
449                                  struct device *dev);
450 int snd_soc_add_component(struct snd_soc_component *component,
451                           struct snd_soc_dai_driver *dai_drv,
452                           int num_dai);
453 int snd_soc_register_component(struct device *dev,
454                          const struct snd_soc_component_driver *component_driver,
455                          struct snd_soc_dai_driver *dai_drv, int num_dai);
456 int devm_snd_soc_register_component(struct device *dev,
457                          const struct snd_soc_component_driver *component_driver,
458                          struct snd_soc_dai_driver *dai_drv, int num_dai);
459 void snd_soc_unregister_component(struct device *dev);
460 void snd_soc_unregister_component_by_driver(struct device *dev,
461                          const struct snd_soc_component_driver *component_driver);
462 struct snd_soc_component *snd_soc_lookup_component_nolocked(struct device *dev,
463                                                             const char *driver_name);
464 struct snd_soc_component *snd_soc_lookup_component(struct device *dev,
465                                                    const char *driver_name);
466
467 int soc_new_pcm(struct snd_soc_pcm_runtime *rtd, int num);
468 #ifdef CONFIG_SND_SOC_COMPRESS
469 int snd_soc_new_compress(struct snd_soc_pcm_runtime *rtd, int num);
470 #else
471 static inline int snd_soc_new_compress(struct snd_soc_pcm_runtime *rtd, int num)
472 {
473         return 0;
474 }
475 #endif
476
477 void snd_soc_disconnect_sync(struct device *dev);
478
479 struct snd_soc_pcm_runtime *snd_soc_get_pcm_runtime(struct snd_soc_card *card,
480                                 struct snd_soc_dai_link *dai_link);
481
482 bool snd_soc_runtime_ignore_pmdown_time(struct snd_soc_pcm_runtime *rtd);
483
484 void snd_soc_runtime_action(struct snd_soc_pcm_runtime *rtd,
485                             int stream, int action);
486 static inline void snd_soc_runtime_activate(struct snd_soc_pcm_runtime *rtd,
487                                      int stream)
488 {
489         snd_soc_runtime_action(rtd, stream, 1);
490 }
491 static inline void snd_soc_runtime_deactivate(struct snd_soc_pcm_runtime *rtd,
492                                        int stream)
493 {
494         snd_soc_runtime_action(rtd, stream, -1);
495 }
496
497 int snd_soc_runtime_calc_hw(struct snd_soc_pcm_runtime *rtd,
498                             struct snd_pcm_hardware *hw, int stream);
499
500 int snd_soc_runtime_set_dai_fmt(struct snd_soc_pcm_runtime *rtd,
501         unsigned int dai_fmt);
502
503 #ifdef CONFIG_DMI
504 int snd_soc_set_dmi_name(struct snd_soc_card *card, const char *flavour);
505 #else
506 static inline int snd_soc_set_dmi_name(struct snd_soc_card *card,
507                                        const char *flavour)
508 {
509         return 0;
510 }
511 #endif
512
513 /* Utility functions to get clock rates from various things */
514 int snd_soc_calc_frame_size(int sample_size, int channels, int tdm_slots);
515 int snd_soc_params_to_frame_size(struct snd_pcm_hw_params *params);
516 int snd_soc_calc_bclk(int fs, int sample_size, int channels, int tdm_slots);
517 int snd_soc_params_to_bclk(struct snd_pcm_hw_params *parms);
518 int snd_soc_tdm_params_to_bclk(struct snd_pcm_hw_params *params,
519                                int tdm_width, int tdm_slots, int slot_multiple);
520
521 /* set runtime hw params */
522 int snd_soc_set_runtime_hwparams(struct snd_pcm_substream *substream,
523         const struct snd_pcm_hardware *hw);
524
525 struct snd_ac97 *snd_soc_alloc_ac97_component(struct snd_soc_component *component);
526 struct snd_ac97 *snd_soc_new_ac97_component(struct snd_soc_component *component,
527         unsigned int id, unsigned int id_mask);
528 void snd_soc_free_ac97_component(struct snd_ac97 *ac97);
529
530 #ifdef CONFIG_SND_SOC_AC97_BUS
531 int snd_soc_set_ac97_ops(struct snd_ac97_bus_ops *ops);
532 int snd_soc_set_ac97_ops_of_reset(struct snd_ac97_bus_ops *ops,
533                 struct platform_device *pdev);
534
535 extern struct snd_ac97_bus_ops *soc_ac97_ops;
536 #else
537 static inline int snd_soc_set_ac97_ops_of_reset(struct snd_ac97_bus_ops *ops,
538         struct platform_device *pdev)
539 {
540         return 0;
541 }
542
543 static inline int snd_soc_set_ac97_ops(struct snd_ac97_bus_ops *ops)
544 {
545         return 0;
546 }
547 #endif
548
549 /*
550  *Controls
551  */
552 struct snd_kcontrol *snd_soc_cnew(const struct snd_kcontrol_new *_template,
553                                   void *data, const char *long_name,
554                                   const char *prefix);
555 int snd_soc_add_component_controls(struct snd_soc_component *component,
556         const struct snd_kcontrol_new *controls, unsigned int num_controls);
557 int snd_soc_add_card_controls(struct snd_soc_card *soc_card,
558         const struct snd_kcontrol_new *controls, int num_controls);
559 int snd_soc_add_dai_controls(struct snd_soc_dai *dai,
560         const struct snd_kcontrol_new *controls, int num_controls);
561 int snd_soc_info_enum_double(struct snd_kcontrol *kcontrol,
562         struct snd_ctl_elem_info *uinfo);
563 int snd_soc_get_enum_double(struct snd_kcontrol *kcontrol,
564         struct snd_ctl_elem_value *ucontrol);
565 int snd_soc_put_enum_double(struct snd_kcontrol *kcontrol,
566         struct snd_ctl_elem_value *ucontrol);
567 int snd_soc_info_volsw(struct snd_kcontrol *kcontrol,
568         struct snd_ctl_elem_info *uinfo);
569 int snd_soc_info_volsw_sx(struct snd_kcontrol *kcontrol,
570                           struct snd_ctl_elem_info *uinfo);
571 #define snd_soc_info_bool_ext           snd_ctl_boolean_mono_info
572 int snd_soc_get_volsw(struct snd_kcontrol *kcontrol,
573         struct snd_ctl_elem_value *ucontrol);
574 int snd_soc_put_volsw(struct snd_kcontrol *kcontrol,
575         struct snd_ctl_elem_value *ucontrol);
576 #define snd_soc_get_volsw_2r snd_soc_get_volsw
577 #define snd_soc_put_volsw_2r snd_soc_put_volsw
578 int snd_soc_get_volsw_sx(struct snd_kcontrol *kcontrol,
579         struct snd_ctl_elem_value *ucontrol);
580 int snd_soc_put_volsw_sx(struct snd_kcontrol *kcontrol,
581         struct snd_ctl_elem_value *ucontrol);
582 int snd_soc_info_volsw_range(struct snd_kcontrol *kcontrol,
583         struct snd_ctl_elem_info *uinfo);
584 int snd_soc_put_volsw_range(struct snd_kcontrol *kcontrol,
585         struct snd_ctl_elem_value *ucontrol);
586 int snd_soc_get_volsw_range(struct snd_kcontrol *kcontrol,
587         struct snd_ctl_elem_value *ucontrol);
588 int snd_soc_limit_volume(struct snd_soc_card *card,
589         const char *name, int max);
590 int snd_soc_bytes_info(struct snd_kcontrol *kcontrol,
591                        struct snd_ctl_elem_info *uinfo);
592 int snd_soc_bytes_get(struct snd_kcontrol *kcontrol,
593                       struct snd_ctl_elem_value *ucontrol);
594 int snd_soc_bytes_put(struct snd_kcontrol *kcontrol,
595                       struct snd_ctl_elem_value *ucontrol);
596 int snd_soc_bytes_info_ext(struct snd_kcontrol *kcontrol,
597         struct snd_ctl_elem_info *ucontrol);
598 int snd_soc_bytes_tlv_callback(struct snd_kcontrol *kcontrol, int op_flag,
599         unsigned int size, unsigned int __user *tlv);
600 int snd_soc_info_xr_sx(struct snd_kcontrol *kcontrol,
601         struct snd_ctl_elem_info *uinfo);
602 int snd_soc_get_xr_sx(struct snd_kcontrol *kcontrol,
603         struct snd_ctl_elem_value *ucontrol);
604 int snd_soc_put_xr_sx(struct snd_kcontrol *kcontrol,
605         struct snd_ctl_elem_value *ucontrol);
606 int snd_soc_get_strobe(struct snd_kcontrol *kcontrol,
607         struct snd_ctl_elem_value *ucontrol);
608 int snd_soc_put_strobe(struct snd_kcontrol *kcontrol,
609         struct snd_ctl_elem_value *ucontrol);
610
611 enum snd_soc_trigger_order {
612                                                 /* start                        stop                 */
613         SND_SOC_TRIGGER_ORDER_DEFAULT   = 0,    /* Link->Component->DAI         DAI->Component->Link */
614         SND_SOC_TRIGGER_ORDER_LDC,              /* Link->DAI->Component         Component->DAI->Link */
615
616         SND_SOC_TRIGGER_ORDER_MAX,
617 };
618
619 /* SoC PCM stream information */
620 struct snd_soc_pcm_stream {
621         const char *stream_name;
622         u64 formats;                    /* SNDRV_PCM_FMTBIT_* */
623         u32 subformats;                 /* for S32_LE format, SNDRV_PCM_SUBFMTBIT_* */
624         unsigned int rates;             /* SNDRV_PCM_RATE_* */
625         unsigned int rate_min;          /* min rate */
626         unsigned int rate_max;          /* max rate */
627         unsigned int channels_min;      /* min channels */
628         unsigned int channels_max;      /* max channels */
629         unsigned int sig_bits;          /* number of bits of content */
630 };
631
632 /* SoC audio ops */
633 struct snd_soc_ops {
634         int (*startup)(struct snd_pcm_substream *);
635         void (*shutdown)(struct snd_pcm_substream *);
636         int (*hw_params)(struct snd_pcm_substream *, struct snd_pcm_hw_params *);
637         int (*hw_free)(struct snd_pcm_substream *);
638         int (*prepare)(struct snd_pcm_substream *);
639         int (*trigger)(struct snd_pcm_substream *, int);
640 };
641
642 struct snd_soc_compr_ops {
643         int (*startup)(struct snd_compr_stream *);
644         void (*shutdown)(struct snd_compr_stream *);
645         int (*set_params)(struct snd_compr_stream *);
646 };
647
648 struct snd_soc_component*
649 snd_soc_rtdcom_lookup(struct snd_soc_pcm_runtime *rtd,
650                        const char *driver_name);
651
652 struct snd_soc_dai_link_component {
653         const char *name;
654         struct device_node *of_node;
655         const char *dai_name;
656         struct of_phandle_args *dai_args;
657 };
658
659 /*
660  * [dai_link->ch_maps Image sample]
661  *
662  *-------------------------
663  * CPU0 <---> Codec0
664  *
665  * ch-map[0].cpu = 0    ch-map[0].codec = 0
666  *
667  *-------------------------
668  * CPU0 <---> Codec0
669  * CPU1 <---> Codec1
670  * CPU2 <---> Codec2
671  *
672  * ch-map[0].cpu = 0    ch-map[0].codec = 0
673  * ch-map[1].cpu = 1    ch-map[1].codec = 1
674  * ch-map[2].cpu = 2    ch-map[2].codec = 2
675  *
676  *-------------------------
677  * CPU0 <---> Codec0
678  * CPU1 <-+-> Codec1
679  * CPU2 <-/
680  *
681  * ch-map[0].cpu = 0    ch-map[0].codec = 0
682  * ch-map[1].cpu = 1    ch-map[1].codec = 1
683  * ch-map[2].cpu = 2    ch-map[2].codec = 1
684  *
685  *-------------------------
686  * CPU0 <---> Codec0
687  * CPU1 <-+-> Codec1
688  *        \-> Codec2
689  *
690  * ch-map[0].cpu = 0    ch-map[0].codec = 0
691  * ch-map[1].cpu = 1    ch-map[1].codec = 1
692  * ch-map[2].cpu = 1    ch-map[2].codec = 2
693  *
694  */
695 struct snd_soc_dai_link_ch_map {
696         unsigned int cpu;
697         unsigned int codec;
698         unsigned int ch_mask;
699 };
700
701 struct snd_soc_dai_link {
702         /* config - must be set by machine driver */
703         const char *name;                       /* Codec name */
704         const char *stream_name;                /* Stream name */
705
706         /*
707          * You MAY specify the link's CPU-side device, either by device name,
708          * or by DT/OF node, but not both. If this information is omitted,
709          * the CPU-side DAI is matched using .cpu_dai_name only, which hence
710          * must be globally unique. These fields are currently typically used
711          * only for codec to codec links, or systems using device tree.
712          */
713         /*
714          * You MAY specify the DAI name of the CPU DAI. If this information is
715          * omitted, the CPU-side DAI is matched using .cpu_name/.cpu_of_node
716          * only, which only works well when that device exposes a single DAI.
717          */
718         struct snd_soc_dai_link_component *cpus;
719         unsigned int num_cpus;
720
721         /*
722          * You MUST specify the link's codec, either by device name, or by
723          * DT/OF node, but not both.
724          */
725         /* You MUST specify the DAI name within the codec */
726         struct snd_soc_dai_link_component *codecs;
727         unsigned int num_codecs;
728
729         /* num_ch_maps = max(num_cpu, num_codecs) */
730         struct snd_soc_dai_link_ch_map *ch_maps;
731
732         /*
733          * You MAY specify the link's platform/PCM/DMA driver, either by
734          * device name, or by DT/OF node, but not both. Some forms of link
735          * do not need a platform. In such case, platforms are not mandatory.
736          */
737         struct snd_soc_dai_link_component *platforms;
738         unsigned int num_platforms;
739
740         int id; /* optional ID for machine driver link identification */
741
742         /*
743          * for Codec2Codec
744          */
745         const struct snd_soc_pcm_stream *c2c_params;
746         unsigned int num_c2c_params;
747
748         unsigned int dai_fmt;           /* format to set on init */
749
750         enum snd_soc_dpcm_trigger trigger[2]; /* trigger type for DPCM */
751
752         /* codec/machine specific init - e.g. add machine controls */
753         int (*init)(struct snd_soc_pcm_runtime *rtd);
754
755         /* codec/machine specific exit - dual of init() */
756         void (*exit)(struct snd_soc_pcm_runtime *rtd);
757
758         /* optional hw_params re-writing for BE and FE sync */
759         int (*be_hw_params_fixup)(struct snd_soc_pcm_runtime *rtd,
760                         struct snd_pcm_hw_params *params);
761
762         /* machine stream operations */
763         const struct snd_soc_ops *ops;
764         const struct snd_soc_compr_ops *compr_ops;
765
766         /*
767          * soc_pcm_trigger() start/stop sequence.
768          * see also
769          *      snd_soc_component_driver
770          *      soc_pcm_trigger()
771          */
772         enum snd_soc_trigger_order trigger_start;
773         enum snd_soc_trigger_order trigger_stop;
774
775         /* Mark this pcm with non atomic ops */
776         unsigned int nonatomic:1;
777
778         /* For unidirectional dai links */
779         unsigned int playback_only:1;
780         unsigned int capture_only:1;
781
782         /* Keep DAI active over suspend */
783         unsigned int ignore_suspend:1;
784
785         /* Symmetry requirements */
786         unsigned int symmetric_rate:1;
787         unsigned int symmetric_channels:1;
788         unsigned int symmetric_sample_bits:1;
789
790         /* Do not create a PCM for this DAI link (Backend link) */
791         unsigned int no_pcm:1;
792
793         /* This DAI link can route to other DAI links at runtime (Frontend)*/
794         unsigned int dynamic:1;
795
796         /* DPCM capture and Playback support */
797         unsigned int dpcm_capture:1;
798         unsigned int dpcm_playback:1;
799
800         /* DPCM used FE & BE merged format */
801         unsigned int dpcm_merged_format:1;
802         /* DPCM used FE & BE merged channel */
803         unsigned int dpcm_merged_chan:1;
804         /* DPCM used FE & BE merged rate */
805         unsigned int dpcm_merged_rate:1;
806
807         /* pmdown_time is ignored at stop */
808         unsigned int ignore_pmdown_time:1;
809
810         /* Do not create a PCM for this DAI link (Backend link) */
811         unsigned int ignore:1;
812
813 #ifdef CONFIG_SND_SOC_TOPOLOGY
814         struct snd_soc_dobj dobj; /* For topology */
815 #endif
816 };
817
818 static inline int snd_soc_link_num_ch_map(struct snd_soc_dai_link *link) {
819         return max(link->num_cpus, link->num_codecs);
820 }
821
822 static inline struct snd_soc_dai_link_component*
823 snd_soc_link_to_cpu(struct snd_soc_dai_link *link, int n) {
824         return &(link)->cpus[n];
825 }
826
827 static inline struct snd_soc_dai_link_component*
828 snd_soc_link_to_codec(struct snd_soc_dai_link *link, int n) {
829         return &(link)->codecs[n];
830 }
831
832 static inline struct snd_soc_dai_link_component*
833 snd_soc_link_to_platform(struct snd_soc_dai_link *link, int n) {
834         return &(link)->platforms[n];
835 }
836
837 #define for_each_link_codecs(link, i, codec)                            \
838         for ((i) = 0;                                                   \
839              ((i) < link->num_codecs) &&                                \
840                      ((codec) = snd_soc_link_to_codec(link, i));                \
841              (i)++)
842
843 #define for_each_link_platforms(link, i, platform)                      \
844         for ((i) = 0;                                                   \
845              ((i) < link->num_platforms) &&                             \
846                      ((platform) = snd_soc_link_to_platform(link, i));  \
847              (i)++)
848
849 #define for_each_link_cpus(link, i, cpu)                                \
850         for ((i) = 0;                                                   \
851              ((i) < link->num_cpus) &&                                  \
852                      ((cpu) = snd_soc_link_to_cpu(link, i));            \
853              (i)++)
854
855 #define for_each_link_ch_maps(link, i, ch_map)                  \
856         for ((i) = 0;                                           \
857              ((i) < snd_soc_link_num_ch_map(link) &&            \
858                       ((ch_map) = link->ch_maps + i));          \
859              (i)++)
860
861 /*
862  * Sample 1 : Single CPU/Codec/Platform
863  *
864  * SND_SOC_DAILINK_DEFS(test,
865  *      DAILINK_COMP_ARRAY(COMP_CPU("cpu_dai")),
866  *      DAILINK_COMP_ARRAY(COMP_CODEC("codec", "codec_dai")),
867  *      DAILINK_COMP_ARRAY(COMP_PLATFORM("platform")));
868  *
869  * struct snd_soc_dai_link link = {
870  *      ...
871  *      SND_SOC_DAILINK_REG(test),
872  * };
873  *
874  * Sample 2 : Multi CPU/Codec, no Platform
875  *
876  * SND_SOC_DAILINK_DEFS(test,
877  *      DAILINK_COMP_ARRAY(COMP_CPU("cpu_dai1"),
878  *                         COMP_CPU("cpu_dai2")),
879  *      DAILINK_COMP_ARRAY(COMP_CODEC("codec1", "codec_dai1"),
880  *                         COMP_CODEC("codec2", "codec_dai2")));
881  *
882  * struct snd_soc_dai_link link = {
883  *      ...
884  *      SND_SOC_DAILINK_REG(test),
885  * };
886  *
887  * Sample 3 : Define each CPU/Codec/Platform manually
888  *
889  * SND_SOC_DAILINK_DEF(test_cpu,
890  *              DAILINK_COMP_ARRAY(COMP_CPU("cpu_dai1"),
891  *                                 COMP_CPU("cpu_dai2")));
892  * SND_SOC_DAILINK_DEF(test_codec,
893  *              DAILINK_COMP_ARRAY(COMP_CODEC("codec1", "codec_dai1"),
894  *                                 COMP_CODEC("codec2", "codec_dai2")));
895  * SND_SOC_DAILINK_DEF(test_platform,
896  *              DAILINK_COMP_ARRAY(COMP_PLATFORM("platform")));
897  *
898  * struct snd_soc_dai_link link = {
899  *      ...
900  *      SND_SOC_DAILINK_REG(test_cpu,
901  *                          test_codec,
902  *                          test_platform),
903  * };
904  *
905  * Sample 4 : Sample3 without platform
906  *
907  * struct snd_soc_dai_link link = {
908  *      ...
909  *      SND_SOC_DAILINK_REG(test_cpu,
910  *                          test_codec);
911  * };
912  */
913
914 #define SND_SOC_DAILINK_REG1(name)       SND_SOC_DAILINK_REG3(name##_cpus, name##_codecs, name##_platforms)
915 #define SND_SOC_DAILINK_REG2(cpu, codec) SND_SOC_DAILINK_REG3(cpu, codec, null_dailink_component)
916 #define SND_SOC_DAILINK_REG3(cpu, codec, platform)      \
917         .cpus           = cpu,                          \
918         .num_cpus       = ARRAY_SIZE(cpu),              \
919         .codecs         = codec,                        \
920         .num_codecs     = ARRAY_SIZE(codec),            \
921         .platforms      = platform,                     \
922         .num_platforms  = ARRAY_SIZE(platform)
923
924 #define SND_SOC_DAILINK_REG(...) \
925         CONCATENATE(SND_SOC_DAILINK_REG, COUNT_ARGS(__VA_ARGS__))(__VA_ARGS__)
926
927 #define SND_SOC_DAILINK_DEF(name, def...)               \
928         static struct snd_soc_dai_link_component name[] = { def }
929
930 #define SND_SOC_DAILINK_DEFS(name, cpu, codec, platform...)     \
931         SND_SOC_DAILINK_DEF(name##_cpus, cpu);                  \
932         SND_SOC_DAILINK_DEF(name##_codecs, codec);              \
933         SND_SOC_DAILINK_DEF(name##_platforms, platform)
934
935 #define DAILINK_COMP_ARRAY(param...)    param
936 #define COMP_EMPTY()                    { }
937 #define COMP_CPU(_dai)                  { .dai_name = _dai, }
938 #define COMP_CODEC(_name, _dai)         { .name = _name, .dai_name = _dai, }
939 #define COMP_PLATFORM(_name)            { .name = _name }
940 #define COMP_AUX(_name)                 { .name = _name }
941 #define COMP_CODEC_CONF(_name)          { .name = _name }
942 #define COMP_DUMMY()                    /* see snd_soc_fill_dummy_dai() */
943
944 extern struct snd_soc_dai_link_component null_dailink_component[0];
945 extern struct snd_soc_dai_link_component snd_soc_dummy_dlc;
946
947
948 struct snd_soc_codec_conf {
949         /*
950          * specify device either by device name, or by
951          * DT/OF node, but not both.
952          */
953         struct snd_soc_dai_link_component dlc;
954
955         /*
956          * optional map of kcontrol, widget and path name prefixes that are
957          * associated per device
958          */
959         const char *name_prefix;
960 };
961
962 struct snd_soc_aux_dev {
963         /*
964          * specify multi-codec either by device name, or by
965          * DT/OF node, but not both.
966          */
967         struct snd_soc_dai_link_component dlc;
968
969         /* codec/machine specific init - e.g. add machine controls */
970         int (*init)(struct snd_soc_component *component);
971 };
972
973 /* SoC card */
974 struct snd_soc_card {
975         const char *name;
976         const char *long_name;
977         const char *driver_name;
978         const char *components;
979 #ifdef CONFIG_DMI
980         char dmi_longname[80];
981 #endif /* CONFIG_DMI */
982
983 #ifdef CONFIG_PCI
984         /*
985          * PCI does not define 0 as invalid, so pci_subsystem_set indicates
986          * whether a value has been written to these fields.
987          */
988         unsigned short pci_subsystem_vendor;
989         unsigned short pci_subsystem_device;
990         bool pci_subsystem_set;
991 #endif /* CONFIG_PCI */
992
993         char topology_shortname[32];
994
995         struct device *dev;
996         struct snd_card *snd_card;
997         struct module *owner;
998
999         struct mutex mutex;
1000         struct mutex dapm_mutex;
1001
1002         /* Mutex for PCM operations */
1003         struct mutex pcm_mutex;
1004         enum snd_soc_pcm_subclass pcm_subclass;
1005
1006         int (*probe)(struct snd_soc_card *card);
1007         int (*late_probe)(struct snd_soc_card *card);
1008         void (*fixup_controls)(struct snd_soc_card *card);
1009         int (*remove)(struct snd_soc_card *card);
1010
1011         /* the pre and post PM functions are used to do any PM work before and
1012          * after the codec and DAI's do any PM work. */
1013         int (*suspend_pre)(struct snd_soc_card *card);
1014         int (*suspend_post)(struct snd_soc_card *card);
1015         int (*resume_pre)(struct snd_soc_card *card);
1016         int (*resume_post)(struct snd_soc_card *card);
1017
1018         /* callbacks */
1019         int (*set_bias_level)(struct snd_soc_card *,
1020                               struct snd_soc_dapm_context *dapm,
1021                               enum snd_soc_bias_level level);
1022         int (*set_bias_level_post)(struct snd_soc_card *,
1023                                    struct snd_soc_dapm_context *dapm,
1024                                    enum snd_soc_bias_level level);
1025
1026         int (*add_dai_link)(struct snd_soc_card *,
1027                             struct snd_soc_dai_link *link);
1028         void (*remove_dai_link)(struct snd_soc_card *,
1029                             struct snd_soc_dai_link *link);
1030
1031         long pmdown_time;
1032
1033         /* CPU <--> Codec DAI links  */
1034         struct snd_soc_dai_link *dai_link;  /* predefined links only */
1035         int num_links;  /* predefined links only */
1036
1037         struct list_head rtd_list;
1038         int num_rtd;
1039
1040         /* optional codec specific configuration */
1041         struct snd_soc_codec_conf *codec_conf;
1042         int num_configs;
1043
1044         /*
1045          * optional auxiliary devices such as amplifiers or codecs with DAI
1046          * link unused
1047          */
1048         struct snd_soc_aux_dev *aux_dev;
1049         int num_aux_devs;
1050         struct list_head aux_comp_list;
1051
1052         const struct snd_kcontrol_new *controls;
1053         int num_controls;
1054
1055         /*
1056          * Card-specific routes and widgets.
1057          * Note: of_dapm_xxx for Device Tree; Otherwise for driver build-in.
1058          */
1059         const struct snd_soc_dapm_widget *dapm_widgets;
1060         int num_dapm_widgets;
1061         const struct snd_soc_dapm_route *dapm_routes;
1062         int num_dapm_routes;
1063         const struct snd_soc_dapm_widget *of_dapm_widgets;
1064         int num_of_dapm_widgets;
1065         const struct snd_soc_dapm_route *of_dapm_routes;
1066         int num_of_dapm_routes;
1067
1068         /* lists of probed devices belonging to this card */
1069         struct list_head component_dev_list;
1070         struct list_head list;
1071
1072         struct list_head widgets;
1073         struct list_head paths;
1074         struct list_head dapm_list;
1075         struct list_head dapm_dirty;
1076
1077         /* attached dynamic objects */
1078         struct list_head dobj_list;
1079
1080         /* Generic DAPM context for the card */
1081         struct snd_soc_dapm_context dapm;
1082         struct snd_soc_dapm_stats dapm_stats;
1083         struct snd_soc_dapm_update *update;
1084
1085 #ifdef CONFIG_DEBUG_FS
1086         struct dentry *debugfs_card_root;
1087 #endif
1088 #ifdef CONFIG_PM_SLEEP
1089         struct work_struct deferred_resume_work;
1090 #endif
1091         u32 pop_time;
1092
1093         /* bit field */
1094         unsigned int instantiated:1;
1095         unsigned int topology_shortname_created:1;
1096         unsigned int fully_routed:1;
1097         unsigned int disable_route_checks:1;
1098         unsigned int probed:1;
1099         unsigned int component_chaining:1;
1100
1101         void *drvdata;
1102 };
1103 #define for_each_card_prelinks(card, i, link)                           \
1104         for ((i) = 0;                                                   \
1105              ((i) < (card)->num_links) && ((link) = &(card)->dai_link[i]); \
1106              (i)++)
1107 #define for_each_card_pre_auxs(card, i, aux)                            \
1108         for ((i) = 0;                                                   \
1109              ((i) < (card)->num_aux_devs) && ((aux) = &(card)->aux_dev[i]); \
1110              (i)++)
1111
1112 #define for_each_card_rtds(card, rtd)                   \
1113         list_for_each_entry(rtd, &(card)->rtd_list, list)
1114 #define for_each_card_rtds_safe(card, rtd, _rtd)        \
1115         list_for_each_entry_safe(rtd, _rtd, &(card)->rtd_list, list)
1116
1117 #define for_each_card_auxs(card, component)                     \
1118         list_for_each_entry(component, &card->aux_comp_list, card_aux_list)
1119 #define for_each_card_auxs_safe(card, component, _comp) \
1120         list_for_each_entry_safe(component, _comp,      \
1121                                  &card->aux_comp_list, card_aux_list)
1122
1123 #define for_each_card_components(card, component)                       \
1124         list_for_each_entry(component, &(card)->component_dev_list, card_list)
1125
1126 #define for_each_card_dapms(card, dapm)                                 \
1127         list_for_each_entry(dapm, &card->dapm_list, list)
1128
1129 #define for_each_card_widgets(card, w)\
1130         list_for_each_entry(w, &card->widgets, list)
1131 #define for_each_card_widgets_safe(card, w, _w) \
1132         list_for_each_entry_safe(w, _w, &card->widgets, list)
1133
1134
1135 static inline int snd_soc_card_is_instantiated(struct snd_soc_card *card)
1136 {
1137         return card && card->instantiated;
1138 }
1139
1140 /* SoC machine DAI configuration, glues a codec and cpu DAI together */
1141 struct snd_soc_pcm_runtime {
1142         struct device *dev;
1143         struct snd_soc_card *card;
1144         struct snd_soc_dai_link *dai_link;
1145         struct snd_pcm_ops ops;
1146
1147         unsigned int c2c_params_select; /* currently selected c2c_param for dai link */
1148
1149         /* Dynamic PCM BE runtime data */
1150         struct snd_soc_dpcm_runtime dpcm[SNDRV_PCM_STREAM_LAST + 1];
1151         struct snd_soc_dapm_widget *c2c_widget[SNDRV_PCM_STREAM_LAST + 1];
1152
1153         long pmdown_time;
1154
1155         /* runtime devices */
1156         struct snd_pcm *pcm;
1157         struct snd_compr *compr;
1158
1159         /*
1160          * dais = cpu_dai + codec_dai
1161          * see
1162          *      soc_new_pcm_runtime()
1163          *      snd_soc_rtd_to_cpu()
1164          *      snd_soc_rtd_to_codec()
1165          */
1166         struct snd_soc_dai **dais;
1167
1168         struct delayed_work delayed_work;
1169         void (*close_delayed_work_func)(struct snd_soc_pcm_runtime *rtd);
1170 #ifdef CONFIG_DEBUG_FS
1171         struct dentry *debugfs_dpcm_root;
1172 #endif
1173
1174         unsigned int num; /* 0-based and monotonic increasing */
1175         struct list_head list; /* rtd list of the soc card */
1176
1177         /* function mark */
1178         struct snd_pcm_substream *mark_startup;
1179         struct snd_pcm_substream *mark_hw_params;
1180         struct snd_pcm_substream *mark_trigger;
1181         struct snd_compr_stream  *mark_compr_startup;
1182
1183         /* bit field */
1184         unsigned int pop_wait:1;
1185         unsigned int fe_compr:1; /* for Dynamic PCM */
1186
1187         bool initialized;
1188
1189         int num_components;
1190         struct snd_soc_component *components[]; /* CPU/Codec/Platform */
1191 };
1192
1193 /* see soc_new_pcm_runtime()  */
1194 #define snd_soc_rtd_to_cpu(rtd, n)   (rtd)->dais[n]
1195 #define snd_soc_rtd_to_codec(rtd, n) (rtd)->dais[n + (rtd)->dai_link->num_cpus]
1196 #define snd_soc_substream_to_rtd(substream) \
1197         (struct snd_soc_pcm_runtime *)snd_pcm_substream_chip(substream)
1198
1199 #define for_each_rtd_components(rtd, i, component)                      \
1200         for ((i) = 0, component = NULL;                                 \
1201              ((i) < rtd->num_components) && ((component) = rtd->components[i]);\
1202              (i)++)
1203 #define for_each_rtd_cpu_dais(rtd, i, dai)                              \
1204         for ((i) = 0;                                                   \
1205              ((i) < rtd->dai_link->num_cpus) && ((dai) = snd_soc_rtd_to_cpu(rtd, i)); \
1206              (i)++)
1207 #define for_each_rtd_codec_dais(rtd, i, dai)                            \
1208         for ((i) = 0;                                                   \
1209              ((i) < rtd->dai_link->num_codecs) && ((dai) = snd_soc_rtd_to_codec(rtd, i)); \
1210              (i)++)
1211 #define for_each_rtd_dais(rtd, i, dai)                                  \
1212         for ((i) = 0;                                                   \
1213              ((i) < (rtd)->dai_link->num_cpus + (rtd)->dai_link->num_codecs) && \
1214                      ((dai) = (rtd)->dais[i]);                          \
1215              (i)++)
1216 #define for_each_rtd_ch_maps(rtd, i, ch_maps) for_each_link_ch_maps(rtd->dai_link, i, ch_maps)
1217
1218 void snd_soc_close_delayed_work(struct snd_soc_pcm_runtime *rtd);
1219
1220 /* mixer control */
1221 struct soc_mixer_control {
1222         int min, max, platform_max;
1223         int reg, rreg;
1224         unsigned int shift, rshift;
1225         unsigned int sign_bit;
1226         unsigned int invert:1;
1227         unsigned int autodisable:1;
1228 #ifdef CONFIG_SND_SOC_TOPOLOGY
1229         struct snd_soc_dobj dobj;
1230 #endif
1231 };
1232
1233 struct soc_bytes {
1234         int base;
1235         int num_regs;
1236         u32 mask;
1237 };
1238
1239 struct soc_bytes_ext {
1240         int max;
1241 #ifdef CONFIG_SND_SOC_TOPOLOGY
1242         struct snd_soc_dobj dobj;
1243 #endif
1244         /* used for TLV byte control */
1245         int (*get)(struct snd_kcontrol *kcontrol, unsigned int __user *bytes,
1246                         unsigned int size);
1247         int (*put)(struct snd_kcontrol *kcontrol, const unsigned int __user *bytes,
1248                         unsigned int size);
1249 };
1250
1251 /* multi register control */
1252 struct soc_mreg_control {
1253         long min, max;
1254         unsigned int regbase, regcount, nbits, invert;
1255 };
1256
1257 /* enumerated kcontrol */
1258 struct soc_enum {
1259         int reg;
1260         unsigned char shift_l;
1261         unsigned char shift_r;
1262         unsigned int items;
1263         unsigned int mask;
1264         const char * const *texts;
1265         const unsigned int *values;
1266         unsigned int autodisable:1;
1267 #ifdef CONFIG_SND_SOC_TOPOLOGY
1268         struct snd_soc_dobj dobj;
1269 #endif
1270 };
1271
1272 static inline bool snd_soc_volsw_is_stereo(struct soc_mixer_control *mc)
1273 {
1274         if (mc->reg == mc->rreg && mc->shift == mc->rshift)
1275                 return false;
1276         /*
1277          * mc->reg == mc->rreg && mc->shift != mc->rshift, or
1278          * mc->reg != mc->rreg means that the control is
1279          * stereo (bits in one register or in two registers)
1280          */
1281         return true;
1282 }
1283
1284 static inline unsigned int snd_soc_enum_val_to_item(struct soc_enum *e,
1285         unsigned int val)
1286 {
1287         unsigned int i;
1288
1289         if (!e->values)
1290                 return val;
1291
1292         for (i = 0; i < e->items; i++)
1293                 if (val == e->values[i])
1294                         return i;
1295
1296         return 0;
1297 }
1298
1299 static inline unsigned int snd_soc_enum_item_to_val(struct soc_enum *e,
1300         unsigned int item)
1301 {
1302         if (!e->values)
1303                 return item;
1304
1305         return e->values[item];
1306 }
1307
1308 /**
1309  * snd_soc_kcontrol_component() - Returns the component that registered the
1310  *  control
1311  * @kcontrol: The control for which to get the component
1312  *
1313  * Note: This function will work correctly if the control has been registered
1314  * for a component. With snd_soc_add_codec_controls() or via table based
1315  * setup for either a CODEC or component driver. Otherwise the behavior is
1316  * undefined.
1317  */
1318 static inline struct snd_soc_component *snd_soc_kcontrol_component(
1319         struct snd_kcontrol *kcontrol)
1320 {
1321         return snd_kcontrol_chip(kcontrol);
1322 }
1323
1324 int snd_soc_util_init(void);
1325 void snd_soc_util_exit(void);
1326
1327 int snd_soc_of_parse_card_name(struct snd_soc_card *card,
1328                                const char *propname);
1329 int snd_soc_of_parse_audio_simple_widgets(struct snd_soc_card *card,
1330                                           const char *propname);
1331 int snd_soc_of_parse_pin_switches(struct snd_soc_card *card, const char *prop);
1332 int snd_soc_of_get_slot_mask(struct device_node *np,
1333                              const char *prop_name,
1334                              unsigned int *mask);
1335 int snd_soc_of_parse_tdm_slot(struct device_node *np,
1336                               unsigned int *tx_mask,
1337                               unsigned int *rx_mask,
1338                               unsigned int *slots,
1339                               unsigned int *slot_width);
1340 void snd_soc_of_parse_node_prefix(struct device_node *np,
1341                                    struct snd_soc_codec_conf *codec_conf,
1342                                    struct device_node *of_node,
1343                                    const char *propname);
1344 static inline
1345 void snd_soc_of_parse_audio_prefix(struct snd_soc_card *card,
1346                                    struct snd_soc_codec_conf *codec_conf,
1347                                    struct device_node *of_node,
1348                                    const char *propname)
1349 {
1350         snd_soc_of_parse_node_prefix(card->dev->of_node,
1351                                      codec_conf, of_node, propname);
1352 }
1353
1354 int snd_soc_of_parse_audio_routing(struct snd_soc_card *card,
1355                                    const char *propname);
1356 int snd_soc_of_parse_aux_devs(struct snd_soc_card *card, const char *propname);
1357
1358 unsigned int snd_soc_daifmt_clock_provider_flipped(unsigned int dai_fmt);
1359 unsigned int snd_soc_daifmt_clock_provider_from_bitmap(unsigned int bit_frame);
1360
1361 unsigned int snd_soc_daifmt_parse_format(struct device_node *np, const char *prefix);
1362 unsigned int snd_soc_daifmt_parse_clock_provider_raw(struct device_node *np,
1363                                                      const char *prefix,
1364                                                      struct device_node **bitclkmaster,
1365                                                      struct device_node **framemaster);
1366 #define snd_soc_daifmt_parse_clock_provider_as_bitmap(np, prefix)       \
1367         snd_soc_daifmt_parse_clock_provider_raw(np, prefix, NULL, NULL)
1368 #define snd_soc_daifmt_parse_clock_provider_as_phandle                  \
1369         snd_soc_daifmt_parse_clock_provider_raw
1370 #define snd_soc_daifmt_parse_clock_provider_as_flag(np, prefix)         \
1371         snd_soc_daifmt_clock_provider_from_bitmap(                      \
1372                 snd_soc_daifmt_parse_clock_provider_as_bitmap(np, prefix))
1373
1374 int snd_soc_get_stream_cpu(struct snd_soc_dai_link *dai_link, int stream);
1375 int snd_soc_get_dlc(const struct of_phandle_args *args,
1376                     struct snd_soc_dai_link_component *dlc);
1377 int snd_soc_of_get_dlc(struct device_node *of_node,
1378                        struct of_phandle_args *args,
1379                        struct snd_soc_dai_link_component *dlc,
1380                        int index);
1381 int snd_soc_get_dai_id(struct device_node *ep);
1382 int snd_soc_get_dai_name(const struct of_phandle_args *args,
1383                          const char **dai_name);
1384 int snd_soc_of_get_dai_name(struct device_node *of_node,
1385                             const char **dai_name, int index);
1386 int snd_soc_of_get_dai_link_codecs(struct device *dev,
1387                                    struct device_node *of_node,
1388                                    struct snd_soc_dai_link *dai_link);
1389 void snd_soc_of_put_dai_link_codecs(struct snd_soc_dai_link *dai_link);
1390 int snd_soc_of_get_dai_link_cpus(struct device *dev,
1391                                  struct device_node *of_node,
1392                                  struct snd_soc_dai_link *dai_link);
1393 void snd_soc_of_put_dai_link_cpus(struct snd_soc_dai_link *dai_link);
1394
1395 int snd_soc_add_pcm_runtimes(struct snd_soc_card *card,
1396                              struct snd_soc_dai_link *dai_link,
1397                              int num_dai_link);
1398 void snd_soc_remove_pcm_runtime(struct snd_soc_card *card,
1399                                 struct snd_soc_pcm_runtime *rtd);
1400
1401 void snd_soc_dlc_use_cpu_as_platform(struct snd_soc_dai_link_component *platforms,
1402                                      struct snd_soc_dai_link_component *cpus);
1403 struct of_phandle_args *snd_soc_copy_dai_args(struct device *dev,
1404                                               const struct of_phandle_args *args);
1405 struct snd_soc_dai *snd_soc_get_dai_via_args(const struct of_phandle_args *dai_args);
1406 struct snd_soc_dai *snd_soc_register_dai(struct snd_soc_component *component,
1407                                          struct snd_soc_dai_driver *dai_drv,
1408                                          bool legacy_dai_naming);
1409 struct snd_soc_dai *devm_snd_soc_register_dai(struct device *dev,
1410                                               struct snd_soc_component *component,
1411                                               struct snd_soc_dai_driver *dai_drv,
1412                                               bool legacy_dai_naming);
1413 void snd_soc_unregister_dai(struct snd_soc_dai *dai);
1414
1415 struct snd_soc_dai *snd_soc_find_dai(
1416         const struct snd_soc_dai_link_component *dlc);
1417 struct snd_soc_dai *snd_soc_find_dai_with_mutex(
1418         const struct snd_soc_dai_link_component *dlc);
1419
1420 #include <sound/soc-dai.h>
1421
1422 static inline
1423 int snd_soc_fixup_dai_links_platform_name(struct snd_soc_card *card,
1424                                           const char *platform_name)
1425 {
1426         struct snd_soc_dai_link *dai_link;
1427         const char *name;
1428         int i;
1429
1430         if (!platform_name) /* nothing to do */
1431                 return 0;
1432
1433         /* set platform name for each dailink */
1434         for_each_card_prelinks(card, i, dai_link) {
1435                 /* only single platform is supported for now */
1436                 if (dai_link->num_platforms != 1)
1437                         return -EINVAL;
1438
1439                 if (!dai_link->platforms)
1440                         return -EINVAL;
1441
1442                 name = devm_kstrdup(card->dev, platform_name, GFP_KERNEL);
1443                 if (!name)
1444                         return -ENOMEM;
1445
1446                 /* only single platform is supported for now */
1447                 dai_link->platforms->name = name;
1448         }
1449
1450         return 0;
1451 }
1452
1453 #ifdef CONFIG_DEBUG_FS
1454 extern struct dentry *snd_soc_debugfs_root;
1455 #endif
1456
1457 extern const struct dev_pm_ops snd_soc_pm_ops;
1458
1459 /*
1460  *      DAPM helper functions
1461  */
1462 enum snd_soc_dapm_subclass {
1463         SND_SOC_DAPM_CLASS_ROOT         = 0,
1464         SND_SOC_DAPM_CLASS_RUNTIME      = 1,
1465 };
1466
1467 static inline void _snd_soc_dapm_mutex_lock_root_c(struct snd_soc_card *card)
1468 {
1469         mutex_lock_nested(&card->dapm_mutex, SND_SOC_DAPM_CLASS_ROOT);
1470 }
1471
1472 static inline void _snd_soc_dapm_mutex_lock_c(struct snd_soc_card *card)
1473 {
1474         mutex_lock_nested(&card->dapm_mutex, SND_SOC_DAPM_CLASS_RUNTIME);
1475 }
1476
1477 static inline void _snd_soc_dapm_mutex_unlock_c(struct snd_soc_card *card)
1478 {
1479         mutex_unlock(&card->dapm_mutex);
1480 }
1481
1482 static inline void _snd_soc_dapm_mutex_assert_held_c(struct snd_soc_card *card)
1483 {
1484         lockdep_assert_held(&card->dapm_mutex);
1485 }
1486
1487 static inline void _snd_soc_dapm_mutex_lock_root_d(struct snd_soc_dapm_context *dapm)
1488 {
1489         _snd_soc_dapm_mutex_lock_root_c(dapm->card);
1490 }
1491
1492 static inline void _snd_soc_dapm_mutex_lock_d(struct snd_soc_dapm_context *dapm)
1493 {
1494         _snd_soc_dapm_mutex_lock_c(dapm->card);
1495 }
1496
1497 static inline void _snd_soc_dapm_mutex_unlock_d(struct snd_soc_dapm_context *dapm)
1498 {
1499         _snd_soc_dapm_mutex_unlock_c(dapm->card);
1500 }
1501
1502 static inline void _snd_soc_dapm_mutex_assert_held_d(struct snd_soc_dapm_context *dapm)
1503 {
1504         _snd_soc_dapm_mutex_assert_held_c(dapm->card);
1505 }
1506
1507 #define snd_soc_dapm_mutex_lock_root(x) _Generic((x),                   \
1508         struct snd_soc_card * :         _snd_soc_dapm_mutex_lock_root_c, \
1509         struct snd_soc_dapm_context * : _snd_soc_dapm_mutex_lock_root_d)(x)
1510 #define snd_soc_dapm_mutex_lock(x) _Generic((x),                        \
1511         struct snd_soc_card * :         _snd_soc_dapm_mutex_lock_c,     \
1512         struct snd_soc_dapm_context * : _snd_soc_dapm_mutex_lock_d)(x)
1513 #define snd_soc_dapm_mutex_unlock(x) _Generic((x),                      \
1514         struct snd_soc_card * :         _snd_soc_dapm_mutex_unlock_c,   \
1515         struct snd_soc_dapm_context * : _snd_soc_dapm_mutex_unlock_d)(x)
1516 #define snd_soc_dapm_mutex_assert_held(x) _Generic((x),                 \
1517         struct snd_soc_card * :         _snd_soc_dapm_mutex_assert_held_c, \
1518         struct snd_soc_dapm_context * : _snd_soc_dapm_mutex_assert_held_d)(x)
1519
1520 /*
1521  *      PCM helper functions
1522  */
1523 static inline void _snd_soc_dpcm_mutex_lock_c(struct snd_soc_card *card)
1524 {
1525         mutex_lock_nested(&card->pcm_mutex, card->pcm_subclass);
1526 }
1527
1528 static inline void _snd_soc_dpcm_mutex_unlock_c(struct snd_soc_card *card)
1529 {
1530         mutex_unlock(&card->pcm_mutex);
1531 }
1532
1533 static inline void _snd_soc_dpcm_mutex_assert_held_c(struct snd_soc_card *card)
1534 {
1535         lockdep_assert_held(&card->pcm_mutex);
1536 }
1537
1538 static inline void _snd_soc_dpcm_mutex_lock_r(struct snd_soc_pcm_runtime *rtd)
1539 {
1540         _snd_soc_dpcm_mutex_lock_c(rtd->card);
1541 }
1542
1543 static inline void _snd_soc_dpcm_mutex_unlock_r(struct snd_soc_pcm_runtime *rtd)
1544 {
1545         _snd_soc_dpcm_mutex_unlock_c(rtd->card);
1546 }
1547
1548 static inline void _snd_soc_dpcm_mutex_assert_held_r(struct snd_soc_pcm_runtime *rtd)
1549 {
1550         _snd_soc_dpcm_mutex_assert_held_c(rtd->card);
1551 }
1552
1553 #define snd_soc_dpcm_mutex_lock(x) _Generic((x),                        \
1554          struct snd_soc_card * :        _snd_soc_dpcm_mutex_lock_c,     \
1555          struct snd_soc_pcm_runtime * : _snd_soc_dpcm_mutex_lock_r)(x)
1556
1557 #define snd_soc_dpcm_mutex_unlock(x) _Generic((x),                      \
1558          struct snd_soc_card * :        _snd_soc_dpcm_mutex_unlock_c,   \
1559          struct snd_soc_pcm_runtime * : _snd_soc_dpcm_mutex_unlock_r)(x)
1560
1561 #define snd_soc_dpcm_mutex_assert_held(x) _Generic((x),         \
1562         struct snd_soc_card * :         _snd_soc_dpcm_mutex_assert_held_c, \
1563         struct snd_soc_pcm_runtime * :  _snd_soc_dpcm_mutex_assert_held_r)(x)
1564
1565 #include <sound/soc-component.h>
1566 #include <sound/soc-card.h>
1567 #include <sound/soc-jack.h>
1568
1569 #endif