Linux 6.7-rc7
[linux-modified.git] / arch / arm64 / boot / dts / qcom / sm8450-sony-xperia-nagara-pdx223.dts
1 // SPDX-License-Identifier: BSD-3-Clause
2 /*
3  * Copyright (c) 2022, Konrad Dybcio <konrad.dybcio@somainline.org>
4  * Copyright (c) 2022, Linaro Limited
5  */
6
7 /dts-v1/;
8
9 #include "sm8450-sony-xperia-nagara.dtsi"
10
11 / {
12         model = "Sony Xperia 1 IV";
13         compatible = "sony,pdx223", "qcom,sm8450";
14
15         imx316_lvdd_regulator: imx316-lvdd-regulator {
16                 compatible = "regulator-fixed";
17                 regulator-name = "imx316_lvdd_regulator";
18                 gpio = <&pm8350b_gpios 6 GPIO_ACTIVE_HIGH>;
19                 enable-active-high;
20
21                 pinctrl-names = "default";
22                 pinctrl-0 = <&cam_pwr_ld_en>;
23         };
24
25         tcs3490_vdd_regulator: rgbcir-vdd-regulator {
26                 compatible = "regulator-fixed";
27                 regulator-name = "tcs3490_vdd_regulator";
28                 gpio = <&pm8350c_gpios 6 GPIO_ACTIVE_HIGH>;
29                 enable-active-high;
30
31                 pinctrl-names = "default";
32                 pinctrl-0 = <&rgbc_ir_pwr_en>;
33         };
34 };
35
36 &pm8350b_gpios {
37         gpio-line-names = "CAM_PWR_A_CS", /* GPIO_1 */
38                           "NC",
39                           "NC",
40                           "NC",
41                           "SNAPSHOT_N",
42                           "CAM_PWR_LD_EN",
43                           "NC",
44                           "FOCUS_N";
45
46         cam_pwr_ld_en: cam-pwr-ld-en-state {
47                 pins = "gpio6";
48                 function = "normal";
49                 qcom,drive-strength = <PMIC_GPIO_STRENGTH_LOW>;
50                 power-source = <0>;
51                 drive-push-pull;
52                 output-low;
53         };
54 };
55
56 &pm8350c_gpios {
57         gpio-line-names = "FL_STROBE_TRIG_WIDE", /* GPIO_1 */
58                           "FL_STROBE_TRIG_TELE",
59                           "WLC_ID",
60                           "WLC_TXPWR_EN",
61                           "NC",
62                           "RGBC_IR_PWR_EN",
63                           "NC",
64                           "NC",
65                           "WIDEC_PWR_EN";
66
67         rgbc_ir_pwr_en: rgbc-ir-pwr-en-state {
68                 pins = "gpio6";
69                 function = "normal";
70                 qcom,drive-strength = <PMIC_GPIO_STRENGTH_LOW>;
71                 power-source = <1>;
72                 drive-push-pull;
73                 output-low;
74         };
75 };
76
77 &tlmm {
78         gpio-line-names = "NC", /* GPIO_0 */
79                           "NC",
80                           "NC",
81                           "NC",
82                           "WLC_I2C_SDA",
83                           "WLC_I2C_SCL",
84                           "NC",
85                           "PM8010_1_RESET_N",
86                           "WLC_INT_N",
87                           "NC",
88                           "NC", /* GPIO_10 */
89                           "PM8010_2_RESET_N",
90                           "DISP_ERR_FG",
91                           "HALL_INT_N",
92                           "ALS_PROX_INT_N",
93                           "IMU1_INT",
94                           "TS_I2C_SDA",
95                           "TS_I2C_SCL",
96                           "DISP_RESET_N",
97                           "DISP_VDDR_EN",
98                           "TS_RESET_N", /* GPIO_20 */
99                           "TS_INT_N",
100                           "NC",
101                           "TELEC_PWR_EN",
102                           "CAM1_RESET_N",
103                           "LEO_CAM0_RESET_N",
104                           "DEBUG_UART_TX",
105                           "DEBUG_UART_RX",
106                           "FP_SPI_MISO",
107                           "FP_SPI_MOSI",
108                           "FP_SPI_CLK", /* GPIO_30 */
109                           "FP_SPI_CS_N",
110                           "NFC_I2C_SDA",
111                           "NFC_I2C_SCL",
112                           "NFC_EN",
113                           "NFC_CLK_REQ",
114                           "NFC_ESE_SPI_MISO",
115                           "NFC_ESE_SPI_MOSI",
116                           "NFC_ESE_SPI_CLK",
117                           "NFC_ESE_SPI_CS",
118                           "FP_INT_N", /* GPIO_40 */
119                           "NC",
120                           "FP_RESET_N",
121                           "WCD_RST_N",
122                           "NC",
123                           "NFC_DWL_REQ",
124                           "NFC_IRQ",
125                           "FORCE_USB_BOOT",
126                           "APPS_I2C_1_SDA",
127                           "APPS_I2C_1_SCL",
128                           "SBU_SW_OE", /* GPIO_50 */
129                           "SBU_SW_SEL",
130                           "SPK_AMP_I2C_SDA",
131                           "SPK_AMP_I2C_SCL",
132                           "NC",
133                           "NC",
134                           "CAMSENSOR_I2C_SDA",
135                           "CAMSENSOR_I2C_SCL",
136                           "GNSS_ELNA_EN0",
137                           "NC",
138                           "NC", /* GPIO_60 */
139                           "NC",
140                           "NC",
141                           "NC",
142                           "NC",
143                           "NC",
144                           "RGBC_IR_INT",
145                           "NC",
146                           "NC",
147                           "NC",
148                           "NC", /* GPIO_70 */
149                           "NC",
150                           "HAP_I2C_SDA",
151                           "HAP_I2C_SCL",
152                           "HAP_RST_N",
153                           "HAP_INT_N",
154                           "HST_BT_UART_CTS",
155                           "HST_BT_UART_RFR",
156                           "HST_BT_UART_TX",
157                           "HST_BT_UART_RX",
158                           "HST_WLAN_EN", /* GPIO_80 */
159                           "HST_BT_EN",
160                           "HST_SW_CTRL",
161                           "NC",
162                           "NC",
163                           "NC",
164                           "DISP_VSYNC",
165                           "NC",
166                           "NC",
167                           "HW_ID_0",
168                           "HW_ID_1", /* GPIO_90 */
169                           "USB_CC_DIR",
170                           "TRAY_DET",
171                           "SW_SERVICE",
172                           "PCIE0_RESET_N",
173                           "PCIE0_CLK_REQ_N",
174                           "PCIE0_WAKE_N",
175                           "OIS_ENABLE_WIDE",
176                           "DEBUG_GPIO0",
177                           "NC",
178                           "CAM_MCLK0", /* GPIO_100 */
179                           "CAM_MCLK1",
180                           "CAM_MCLK2",
181                           "CAM_MCLK3",
182                           "NC",
183                           "NC",
184                           "TOF_RST_N",
185                           "CAM_SOF",
186                           "NC",
187                           "AFEXPTMG_TELE",
188                           "CCI_I2C0_SDA", /* GPIO_110 */
189                           "CCI_I2C0_SCL",
190                           "CCI_I2C1_SDA",
191                           "CCI_I2C1_SCL",
192                           "CCI_I2C2_SDA",
193                           "CCI_I2C2_SCL",
194                           "NC",
195                           "CAM2_RESET_N",
196                           "NC",
197                           "EXT_VD0_XVS",
198                           "CAM3_RESET_N", /* GPIO_120 */
199                           "NC",
200                           "NC",
201                           "NC",
202                           "NC",
203                           "RF_ID_EXTENSION_2",
204                           "HAP_I2S_CLK",
205                           "HAP_I2S_DOUT",
206                           "HAP_TRG1",
207                           "HAP_I2S_SYNC",
208                           "UIM1_DATA", /* GPIO_130 */
209                           "UIM1_CLK",
210                           "UIM1_RESET",
211                           "TRAY_DET",
212                           "UIM2_DATA",
213                           "UIM2_CLK",
214                           "UIM2_RESET",
215                           "UIM2_PRESENT",
216                           "SM_RFFE0_CLK",
217                           "SM_RFFE0_DATA",
218                           "SM_RFFE1_CLK", /* GPIO_140 */
219                           "SM_RFFE1_DATA",
220                           "SM_MSS_GRFC4",
221                           "HST_AS_EN",
222                           "LAA_RX_EN",
223                           "NC",
224                           "SM_RFFE4_CLK",
225                           "SM_RFFE4_DATA",
226                           "WLAN_COEX_UART1_RX",
227                           "WLAN_COEX_UART1_TX",
228                           "RF_LCD_ID_EN", /* GPIO_150 */
229                           "RF_ID_EXTENSION",
230                           "SM_MSS_GRFC12",
231                           "NFC_COLD_RST",
232                           "NC",
233                           "NC",
234                           "SDR1_QLINK0_REQ",
235                           "SDR1_QLINK0_EN",
236                           "SDR1_QLINK0_WMSS_RESET_N",
237                           "QLINK1_REQ",
238                           "QLINK1_EN", /* GPIO_160 */
239                           "QLINK1_WMSS_RESET_N",
240                           "SDR2_QLINK2_REQ",
241                           "SDR2_QLINK2_EN",
242                           "SDR2_QLINK2_WMSS_RESET_N",
243                           "WCD_SWR_TX_CLK",
244                           "WCD_SWR_TX_DATA0",
245                           "WCD_SWR_TX_DATA1",
246                           "WCD_SWR_RX_CLK",
247                           "WCD_SWR_RX_DATA0",
248                           "WCD_SWR_RX_DATA1", /* GPIO_170 */
249                           "SM_DMIC1_CLK",
250                           "SM_DMIC1_DATA",
251                           "SM_DMIC2_CLK",
252                           "SM_DMIC2_DATA",
253                           "SPK_AMP_I2S_CLK",
254                           "SPK_AMP_I2S_WS",
255                           "NC",
256                           "NC",
257                           "WCD_SWR_TX_DATA2",
258                           "SPK_AMP_I2S_ASP_DIN", /* GPIO_180 */
259                           "SPK_AMP_I2S_ASP_DOUT",
260                           "SPK_AMP_INT_N",
261                           "SPK_AMP_RESET_N",
262                           "HST_BT_WLAN_SLIMBUS_CLK",
263                           "HST_BT_WLAN_SLIMBUS_DAT0",
264                           "NC",
265                           "NC",
266                           "NC",
267                           "NC",
268                           "MAG_I2C_SDA", /* GPIO_190 */
269                           "MAG_I2C_SCL",
270                           "IMU_SPI_MISO",
271                           "IMU_SPI_MOSI",
272                           "IMU_SPI_CLK",
273                           "IMU_SPI_CS_N",
274                           "SENSOR_I2C_SDA",
275                           "SENSOR_I2C_SCL",
276                           "OIS_TELE_I2C_SDA",
277                           "OIS_TELE_I2C_SCL",
278                           "NC", /* GPIO_200 */
279                           "OIS_ENABLE_TELE",
280                           "HST_BLE_UART_TX",
281                           "HST_BLE_UART_RX",
282                           "HSTP_CLK_CFG_SEL",
283                           "NC",
284                           "APPS_I2C_0_SDA",
285                           "APPS_I2C_0_SCL",
286                           "CCI_I2C3_SDA",
287                           "CCI_I2C3_SCL";
288 };