Linux 6.7-rc7
[linux-modified.git] / arch / arm64 / boot / dts / qcom / sm8250-sony-xperia-edo-pdx206.dts
1 // SPDX-License-Identifier: BSD-3-Clause
2 /*
3  * Copyright (c) 2021, Konrad Dybcio <konrad.dybcio@somainline.org>
4  */
5
6 /dts-v1/;
7
8 #include "sm8250-sony-xperia-edo.dtsi"
9
10 / {
11         model = "Sony Xperia 5 II";
12         compatible = "sony,pdx206-generic", "qcom,sm8250";
13         chassis-type = "handset";
14 };
15
16 &framebuffer {
17         width = <1080>;
18         height = <2520>;
19         stride = <(1080 * 4)>;
20 };
21
22 &gpio_keys {
23         pinctrl-0 = <&focus_n &snapshot_n &vol_down_n &g_assist_n>;
24
25         g-assist-key {
26                 label = "Google Assistant Key";
27                 linux,code = <KEY_LEFTMETA>;
28                 gpios = <&pm8150_gpios 6 GPIO_ACTIVE_LOW>;
29                 debounce-interval = <15>;
30                 linux,can-disable;
31                 wakeup-source;
32         };
33 };
34
35 &pm8009_gpios {
36         gpio-line-names = "NC", /* GPIO_1 */
37                           "NC",
38                           "WIDEC_PWR_EN",
39                           "NC";
40 };
41
42 &pm8150_gpios {
43         gpio-line-names = "VOL_DOWN_N", /* GPIO_1 */
44                           "OPTION_2",
45                           "NC",
46                           "PM_SLP_CLK_IN",
47                           "OPTION_1",
48                           "G_ASSIST_N",
49                           "NC",
50                           "SP_ARI_PWR_ALARM",
51                           "NC",
52                           "NC"; /* GPIO_10 */
53
54         g_assist_n: g-assist-n-state {
55                 pins = "gpio6";
56                 function = "normal";
57                 power-source = <1>;
58                 bias-pull-up;
59                 input-enable;
60         };
61 };
62
63 &pm8150b_gpios {
64         gpio-line-names = "SNAPSHOT_N", /* GPIO_1 */
65                           "FOCUS_N",
66                           "NC",
67                           "NC",
68                           "RF_LCD_ID_EN",
69                           "NC",
70                           "NC",
71                           "LCD_ID",
72                           "NC",
73                           "NC", /* GPIO_10 */
74                           "NC",
75                           "RF_ID";
76 };
77
78 &pm8150l_gpios {
79         gpio-line-names = "NC", /* GPIO_1 */
80                           "PM3003A_EN",
81                           "NC",
82                           "NC",
83                           "NC",
84                           "AUX2_THERM",
85                           "BB_HP_EN",
86                           "FP_LDO_EN",
87                           "PMX_RESET_N",
88                           "NC", /* GPIO_10 */
89                           "NC",
90                           "PM3003A_MODE";
91 };
92
93 &tlmm {
94         gpio-line-names = "AP_CTI_IN", /* GPIO_0 */
95                           "MDM2AP_ERR_FATAL",
96                           "AP_CTI_OUT",
97                           "MDM2AP_STATUS",
98                           "NFC_I2C_SDA",
99                           "NFC_I2C_SCL",
100                           "NFC_EN",
101                           "NFC_CLK_REQ",
102                           "NFC_ESE_PWR_REQ",
103                           "DVDT_WRT_DET_AND",
104                           "SPK_AMP_RESET_N", /* GPIO_10 */
105                           "SPK_AMP_INT_N",
106                           "APPS_I2C_1_SDA",
107                           "APPS_I2C_1_SCL",
108                           "NC",
109                           "TX_GTR_THRES_IN",
110                           "HST_BT_UART_CTS",
111                           "HST_BT_UART_RFR",
112                           "HST_BT_UART_TX",
113                           "HST_BT_UART_RX",
114                           "HST_WLAN_EN", /* GPIO_20 */
115                           "HST_BT_EN",
116                           "RGBC_IR_PWR_EN",
117                           "FP_INT_N",
118                           "NC",
119                           "NC",
120                           "NC",
121                           "NC",
122                           "NFC_ESE_SPI_MISO",
123                           "NFC_ESE_SPI_MOSI",
124                           "NFC_ESE_SPI_SCLK", /* GPIO_30 */
125                           "NFC_ESE_SPI_CS_N",
126                           "WCD_RST_N",
127                           "NC",
128                           "SDM_DEBUG_UART_TX",
129                           "SDM_DEBUG_UART_RX",
130                           "TS_I2C_SDA",
131                           "TS_I2C_SCL",
132                           "TS_INT_N",
133                           "FP_SPI_MISO", /* GPIO_40 */
134                           "FP_SPI_MOSI",
135                           "FP_SPI_SCLK",
136                           "FP_SPI_CS_N",
137                           "APPS_I2C_0_SDA",
138                           "APPS_I2C_0_SCL",
139                           "DISP_ERR_FG",
140                           "UIM2_DETECT_EN",
141                           "NC",
142                           "NC",
143                           "NC", /* GPIO_50 */
144                           "NC",
145                           "MDM_UART_CTS",
146                           "MDM_UART_RFR",
147                           "MDM_UART_TX",
148                           "MDM_UART_RX",
149                           "AP2MDM_STATUS",
150                           "AP2MDM_ERR_FATAL",
151                           "MDM_IPC_HS_UART_TX",
152                           "MDM_IPC_HS_UART_RX",
153                           "NC", /* GPIO_60 */
154                           "NC",
155                           "NC",
156                           "NC",
157                           "NC",
158                           "USB_CC_DIR",
159                           "DISP_VSYNC",
160                           "NC",
161                           "NC",
162                           "CAM_PWR_B_CS",
163                           "NC", /* GPIO_70 */
164                           "FRONTC_PWR_EN",
165                           "SBU_SW_SEL",
166                           "SBU_SW_OE",
167                           "FP_RESET_N",
168                           "FP_RESET_N",
169                           "DISP_RESET_N",
170                           "DEBUG_GPIO0",
171                           "TRAY_DET",
172                           "CAM2_RST_N",
173                           "PCIE0_RST_N",
174                           "PCIE0_CLK_REQ_N", /* GPIO_80 */
175                           "PCIE0_WAKE_N",
176                           "DVDT_ENABLE",
177                           "DVDT_WRT_DET_OR",
178                           "NC",
179                           "PCIE2_RST_N",
180                           "PCIE2_CLK_REQ_N",
181                           "PCIE2_WAKE_N",
182                           "MDM_VFR_IRQ0",
183                           "MDM_VFR_IRQ1",
184                           "SW_SERVICE", /* GPIO_90 */
185                           "CAM_SOF",
186                           "CAM1_RST_N",
187                           "CAM0_RST_N",
188                           "CAM0_MCLK",
189                           "CAM1_MCLK",
190                           "CAM2_MCLK",
191                           "CAM3_MCLK",
192                           "NC",
193                           "NC",
194                           "NC", /* GPIO_100 */
195                           "CCI0_I2C_SDA",
196                           "CCI0_I2C_SCL",
197                           "CCI1_I2C_SDA",
198                           "CCI1_I2C_SCL_",
199                           "CCI2_I2C_SDA",
200                           "CCI2_I2C_SCL",
201                           "CCI3_I2C_SDA",
202                           "CCI3_I2C_SCL",
203                           "CAM3_RST_N",
204                           "NFC_DWL_REQ", /* GPIO_110 */
205                           "NFC_IRQ",
206                           "XVS",
207                           "NC",
208                           "RF_ID_EXTENSION",
209                           "SPK_AMP_I2C_SDA",
210                           "SPK_AMP_I2C_SCL",
211                           "NC",
212                           "NC",
213                           "NC",
214                           "NC",
215                           "ACC_COVER_OPEN",
216                           "ALS_PROX_INT_N",
217                           "ACCEL_INT",
218                           "WLAN_SW_CTRL",
219                           "CAMSENSOR_I2C_SDA",
220                           "CAMSENSOR_I2C_SCL",
221                           "UDON_SWITCH_SEL",
222                           "WDOG_DISABLE",
223                           "BAROMETER_INT",
224                           "NC", /* GPIO_130 */
225                           "NC",
226                           "FORCED_USB_BOOT",
227                           "NC",
228                           "NC",
229                           "NC",
230                           "NC",
231                           "NC",
232                           "RGBC_IR_INT",
233                           "NC",
234                           "NC", /* GPIO_140 */
235                           "NC",
236                           "BT_SLIMBUS_CLK",
237                           "BT_SLIMBUS_DATA",
238                           "HW_ID_0",
239                           "HW_ID_1",
240                           "WCD_SWR_TX_CLK",
241                           "WCD_SWR_TX_DATA0",
242                           "WCD_SWR_TX_DATA1",
243                           "WCD_SWR_RX_CLK",
244                           "WCD_SWR_RX_DATA0", /* GPIO_150 */
245                           "WCD_SWR_RX_DATA1",
246                           "SDM_DMIC_CLK1",
247                           "SDM_DMIC_DATA1",
248                           "SDM_DMIC_CLK2",
249                           "SDM_DMIC_DATA2",
250                           "SPK_AMP_I2S_CLK",
251                           "SPK_AMP_I2S_WS",
252                           "SPK_AMP_I2S_ASP_DIN",
253                           "SPK_AMP_I2S_ASP_DOUT",
254                           "COMPASS_I2C_SDA", /* GPIO_160 */
255                           "COMPASS_I2C_SCL",
256                           "NC",
257                           "NC",
258                           "SSC_SPI_1_MISO",
259                           "SSC_SPI_1_MOSI",
260                           "SSC_SPI_1_CLK",
261                           "SSC_SPI_1_CS_N",
262                           "NC",
263                           "NC",
264                           "SSC_SENSOR_I2C_SDA", /* GPIO_170 */
265                           "SSC_SENSOR_I2C_SCL",
266                           "NC",
267                           "NC",
268                           "NC",
269                           "NC",
270                           "HST_BLE_SNS_UART6_TX",
271                           "HST_BLE_SNS_UART6_RX",
272                           "HST_WLAN_UART_TX",
273                           "HST_WLAN_UART_RX";
274 };
275
276 &vreg_l2f_1p3 {
277         regulator-min-microvolt = <1200000>;
278         regulator-max-microvolt = <1200000>;
279 };