rom.asic.out: file format elf32-xtensa-be rom.asic.out architecture: xtensa, flags 0x00000112: EXEC_P, HAS_SYMS, D_PAGED start address 0x008e0000 Xtensa header: Machine = Base Insn tables = true Literal tables = true Program Header: LOAD off 0x00000200 vaddr 0x008e0000 paddr 0x008e0000 align 2**0 filesz 0x000000de memsz 0x000000de flags r-x LOAD off 0x000002de vaddr 0x008e0800 paddr 0x008e0800 align 2**0 filesz 0x0000016a memsz 0x0000016a flags r-x LOAD off 0x00000448 vaddr 0x008e0a20 paddr 0x008e0a20 align 2**0 filesz 0x00000006 memsz 0x00000006 flags r-x LOAD off 0x00000450 vaddr 0x008e0b20 paddr 0x008e0b20 align 2**0 filesz 0x00000006 memsz 0x00000006 flags r-x LOAD off 0x00000458 vaddr 0x008e0c20 paddr 0x008e0c20 align 2**0 filesz 0x00000006 memsz 0x00000006 flags r-x LOAD off 0x00000460 vaddr 0x008e0ce4 paddr 0x008e0ce4 align 2**0 filesz 0x00000003 memsz 0x00000003 flags r-x LOAD off 0x00000464 vaddr 0x008e0d40 paddr 0x008e0d40 align 2**0 filesz 0x00000006 memsz 0x00000006 flags r-x LOAD off 0x0000046c vaddr 0x008e0e20 paddr 0x008e0e20 align 2**0 filesz 0x00000017 memsz 0x00000017 flags r-x LOAD off 0x00000484 vaddr 0x008e0f20 paddr 0x008e0f20 align 2**0 filesz 0x00000006 memsz 0x00000006 flags r-x LOAD off 0x0000048c vaddr 0x008e1000 paddr 0x008e1000 align 2**0 filesz 0x00005e94 memsz 0x00005e94 flags r-x LOAD off 0x00006320 vaddr 0x004e8000 paddr 0x004e8000 align 2**0 filesz 0x00001054 memsz 0x00001054 flags r-x LOAD off 0x00007370 vaddr 0x00500000 paddr 0x00500000 align 2**0 filesz 0x00000950 memsz 0x00000ff8 flags rw- LOAD off 0x00007cc4 vaddr 0x0053f7f0 paddr 0x0053f7f0 align 2**0 filesz 0x00000000 memsz 0x00000800 flags rw- LOAD off 0x00007cd0 vaddr 0x004e0100 paddr 0x004e0100 align 2**0 filesz 0x000000ec memsz 0x000000ec flags r-- Sections: Idx Name Size VMA LMA File off Algn 0 .lit4 00000718 004e8000 004e8000 00006320 2**2 CONTENTS, ALLOC, LOAD, READONLY, DATA 1 .ResetVector.literal 00000000 004e8718 004e8718 00006a38 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 2 .dport0.stack 00000800 0053f7f0 0053f7f0 00007cc4 2**0 ALLOC 3 .dport0.usb_in_rom 000000ec 004e0100 004e0100 00007cd0 2**4 CONTENTS, ALLOC, LOAD, READONLY, DATA 4 .dport0.data 00000400 00500000 00500004 00007374 2**0 CONTENTS, ALLOC, LOAD, DATA 5 .dport0.bss 00000000 00500400 00500400 00007dbc 2**0 6 .dram0.rodata 00000000 004e8718 004e8718 00007dbc 2**0 CONTENTS 7 .rodata 00000934 004e8720 004e8720 00006a40 2**4 CONTENTS, ALLOC, LOAD, READONLY, DATA 8 .dram0.literal 00000000 004e9054 004e9054 00007dbc 2**0 CONTENTS 9 .dram0.data 00000000 00500400 00500400 00007dbc 2**0 CONTENTS 10 .data 00000550 00500400 00500400 00007774 2**4 CONTENTS, ALLOC, LOAD, DATA 11 .bss 000002a8 00500950 00500950 00007cc4 2**4 ALLOC 12 .ResetVector.text 000000de 008e0000 008e0000 00000200 2**4 CONTENTS, ALLOC, LOAD, READONLY, CODE 13 .WindowVectors.text 0000016a 008e0800 008e0800 000002de 2**0 CONTENTS, ALLOC, LOAD, READONLY, CODE 14 .KernelExceptionVector.literal 00000000 008e0d00 008e0d00 00007dbc 2**0 CONTENTS 15 .KernelExceptionVector.text 00000006 008e0d40 008e0d40 00000464 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 16 .UserExceptionVector.literal 00000000 008e0e00 008e0e00 00007dbc 2**0 CONTENTS 17 .UserExceptionVector.text 00000017 008e0e20 008e0e20 0000046c 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 18 .DoubleExceptionVector.literal 00000000 008e0f00 008e0f00 00007dbc 2**0 CONTENTS 19 .DoubleExceptionVector.text 00000006 008e0f20 008e0f20 00000484 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 20 .Level2InterruptVector.literal 00000000 008e0a00 008e0a00 00007dbc 2**0 CONTENTS 21 .Level2InterruptVector.text 00000006 008e0a20 008e0a20 00000448 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 22 .Level3InterruptVector.literal 00000000 008e0b00 008e0b00 00007dbc 2**0 CONTENTS 23 .Level3InterruptVector.text 00000006 008e0b20 008e0b20 00000450 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 24 .DebugExceptionVector.literal 00000000 008e0c00 008e0c00 00007dbc 2**0 CONTENTS 25 .DebugExceptionVector.text 00000006 008e0c20 008e0c20 00000458 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 26 .NMIExceptionVector.literal 00000000 008e0ce0 008e0ce0 00007dbc 2**0 CONTENTS 27 .NMIExceptionVector.text 00000003 008e0ce4 008e0ce4 00000460 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 28 .iram0.text 00000000 008e1000 008e1000 00007dbc 2**0 CONTENTS 29 .text 00005e94 008e1000 008e1000 0000048c 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE 30 .debug_aranges 00000840 00000000 00000000 00007dc0 2**3 CONTENTS, READONLY, DEBUGGING 31 .debug_pubnames 000024ff 00000000 00000000 00008600 2**0 CONTENTS, READONLY, DEBUGGING 32 .debug_info 000806eb 00000000 00000000 0000aaff 2**0 CONTENTS, READONLY, DEBUGGING 33 .debug_abbrev 0000321a 00000000 00000000 0008b1ea 2**0 CONTENTS, READONLY, DEBUGGING 34 .debug_line 0001e431 00000000 00000000 0008e404 2**0 CONTENTS, READONLY, DEBUGGING 35 .xt.prop 00004fbc 00000000 00000000 000ac835 2**0 CONTENTS, READONLY 36 .xt.lit 00000008 00000000 00000000 000b17f1 2**0 CONTENTS, READONLY 37 .xtensa.info 000001b4 00000000 00000000 000b17f9 2**0 CONTENTS, READONLY SYMBOL TABLE: 004e8000 l d .lit4 00000000 .lit4 004e8718 l d .ResetVector.literal 00000000 .ResetVector.literal 0053f7f0 l d .dport0.stack 00000000 .dport0.stack 004e0100 l d .dport0.usb_in_rom 00000000 .dport0.usb_in_rom 00500000 l d .dport0.data 00000000 .dport0.data 00500400 l d .dport0.bss 00000000 .dport0.bss 004e8718 l d .dram0.rodata 00000000 .dram0.rodata 004e8720 l d .rodata 00000000 .rodata 004e9054 l d .dram0.literal 00000000 .dram0.literal 00500400 l d .dram0.data 00000000 .dram0.data 00500400 l d .data 00000000 .data 00500950 l d .bss 00000000 .bss 008e0000 l d .ResetVector.text 00000000 .ResetVector.text 008e0800 l d .WindowVectors.text 00000000 .WindowVectors.text 008e0d00 l d .KernelExceptionVector.literal 00000000 .KernelExceptionVector.literal 008e0d40 l d .KernelExceptionVector.text 00000000 .KernelExceptionVector.text 008e0e00 l d .UserExceptionVector.literal 00000000 .UserExceptionVector.literal 008e0e20 l d .UserExceptionVector.text 00000000 .UserExceptionVector.text 008e0f00 l d .DoubleExceptionVector.literal 00000000 .DoubleExceptionVector.literal 008e0f20 l d .DoubleExceptionVector.text 00000000 .DoubleExceptionVector.text 008e0a00 l d .Level2InterruptVector.literal 00000000 .Level2InterruptVector.literal 008e0a20 l d .Level2InterruptVector.text 00000000 .Level2InterruptVector.text 008e0b00 l d .Level3InterruptVector.literal 00000000 .Level3InterruptVector.literal 008e0b20 l d .Level3InterruptVector.text 00000000 .Level3InterruptVector.text 008e0c00 l d .DebugExceptionVector.literal 00000000 .DebugExceptionVector.literal 008e0c20 l d .DebugExceptionVector.text 00000000 .DebugExceptionVector.text 008e0ce0 l d .NMIExceptionVector.literal 00000000 .NMIExceptionVector.literal 008e0ce4 l d .NMIExceptionVector.text 00000000 .NMIExceptionVector.text 008e1000 l d .iram0.text 00000000 .iram0.text 008e1000 l d .text 00000000 .text 00000000 l d .debug_aranges 00000000 .debug_aranges 00000000 l d .debug_pubnames 00000000 .debug_pubnames 00000000 l d .debug_info 00000000 .debug_info 00000000 l d .debug_abbrev 00000000 .debug_abbrev 00000000 l d .debug_line 00000000 .debug_line 00000000 l d .xt.prop 00000000 .xt.prop 00000000 l d .xt.lit 00000000 .xt.lit 00000000 l d .xtensa.info 00000000 .xtensa.info 00000000 l d *ABS* 00000000 .shstrtab 00000000 l d *ABS* 00000000 .symtab 00000000 l d *ABS* 00000000 .strtab 00000000 l df *ABS* 00000000 crt1-tiny.S 00000000 l df *ABS* 00000000 reset-vector.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 008e00b6 l .ResetVector.text 00000000 unpackdone 008e0094 l .ResetVector.text 00000000 unpack 008e00b0 l .ResetVector.text 00000000 upnext 008e00a0 l .ResetVector.text 00000000 uploop 00000000 l df *ABS* 00000000 user-vector.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 exc-alloca-handler.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 exc-syscall-handler.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000007 l *ABS* 00000000 .Ldelta_done 00000000 l df *ABS* 00000000 int-lowpri-dispatcher.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 008e11ce l .text 00000000 spurious_int 00000000 l df *ABS* 00000000 int-handler.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 008e12d4 l .text 00000000 spurious2int 008e12e8 l .text 00000000 return2from_exc 00000000 l df *ABS* 00000000 int-handler.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 008e1388 l .text 00000000 spurious3int 008e1399 l .text 00000000 return3from_exc 004e8740 l O .rodata 00000010 pattern.init_91_2 008e1428 l F .text 00000029 athos_linkage_check 008e1474 l F .text 0000005e AR6002_misaligned_load_handler 008e14d4 l F .text 00000071 AR6002_fatal_exception_handler 00500950 l O .bss 00000002 i.1_140_4 00500974 l O .bss 00000004 last_tick.1_93_1 008e24e4 l F .text 00000054 _cvt 008e2538 l F .text 00000445 cmnos_vprintf 00500a30 l O .bss 00000004 g_tasklet_ctx_121 00500a44 l O .bss 00000010 uart_ctl_blk_122 008e2f1c l F .text 00000040 _uart_hwinit 008e33b0 l F .text 00000012 usbfifo_get_command_buf 008e33c4 l F .text 00000036 usbfifo_recv_command 008e33fc l F .text 0000001e usbfifo_get_event_buf 008e341c l F .text 00000011 usbfifo_send_event_done 008e351c l F .text 00000007 _HIFusb_get_reserved_headroom 008e3524 l F .text 0000002b enable_rx 008e3550 l F .text 0000001e get_queue_from_pipe 008e3884 l F .text 00000028 vUsb_ep0tx 008e38ac l F .text 00000022 vUsb_ep0rx 008e38d0 l F .text 00000081 vUsbClrEPx 008e3954 l F .text 00000034 bGet_status 008e3988 l F .text 00000023 bClear_feature 008e39ac l F .text 000000d0 bSet_feature 008e3a7c l F .text 0000001f bSet_address 008e3a9c l F .text 0000008f bGet_descriptor 008e3b2c l F .text 00000026 bGet_configuration 008e3b54 l F .text 000000a5 bSet_configuration 008e3bfc l F .text 00000045 bGet_interface 008e3c44 l F .text 0000008d bSet_interface 008e3cd4 l F .text 000000b6 vUsbEP0TxData 008e3d8c l F .text 0000007c vUsbEP0RxData 008e3e08 l F .text 0000005b vUsb_SetupDescriptor 008e3e64 l F .text 000000fd bStandardCommand 008e3f64 l F .text 000000f2 VendorCommand 008e4058 l F .text 00000176 vUsb_ep0setup 008e41d0 l F .text 00000081 cFUSB200Init 008e4254 l F .text 0000001a _usbfifo_enable_event_isr 008e4270 l F .text 00000018 _usbfifo_init 008e4288 l F .text 00000068 vUsb_Reg_Out 008e42f0 l F .text 000000a2 vUsb_Status_In 008e4394 l F .text 00000011 zfResetUSBFIFO 008e43a8 l F .text 00000072 zfTurnOffPower 008e441c l F .text 00000042 zfGenWatchDogEvent 008e4460 l F .text 00000008 zfJumpToBootCode 008e4468 l F .text 000000c6 _usb_rom_task 008e4530 l F .text 00000135 _usb_fw_task 008e4668 l F .text 00000104 _usb_clk_init 008e502c l F .text 00000021 adf_nbuf_tailroom 008e5050 l F .text 00000029 adf_nbuf_push_head 008e507c l F .text 0000002b adf_nbuf_put_tail 008e50a8 l F .text 0000002a adf_nbuf_pull_head 008e50d4 l F .text 00000008 adf_nbuf_len 008e50dc l F .text 00000015 adf_nbuf_peek_header 008e50f4 l F .text 00000007 adf_nbuf_get_priv 008e5974 l F .text 0000004b swapData 008e59d8 l F .text 00000078 config_queue 008e5ca8 l F .text 00000047 relinkUSBDescToVdesc 008e5e60 l F .text 00000015 mii_reg_write_32 00000000 l df *ABS* 00000000 exc-c-wrapper-handler.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S 008e6804 l .text 00000000 __strncpy_aux 00000000 l df *ABS* 00000000 _vectors.S 00000000 l df *ABS* 00000000 debug-vector.S 00000000 l df *ABS* 00000000 double-vector.S 00000000 l df *ABS* 00000000 kernel-vector.S 00000000 l df *ABS* 00000000 nmi-vector.S 00000000 l df *ABS* 00000000 window-vectors.S 00000000 l df *ABS* 00000000 int-vector.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 int-vector.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 interrupt-table.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 exc-unhandled.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 exc-return.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000000 l df *ABS* 00000000 exc-table.S 00000000 l *ABS* 00000000 KEXC_pc 00000004 l *ABS* 00000000 KEXC_ps 00000008 l *ABS* 00000000 KEXC_areg 00000018 l *ABS* 00000000 KEXC_sar 0000001c l *ABS* 00000000 KEXC_lcount 00000020 l *ABS* 00000000 KEXC_lbeg 00000024 l *ABS* 00000000 KEXC_lend 00000028 l *ABS* 00000000 KernelFrameSize 00000000 l *ABS* 00000000 UEXC_pc 00000004 l *ABS* 00000000 UEXC_ps 00000008 l *ABS* 00000000 UEXC_sar 0000000c l *ABS* 00000000 UEXC_vpri 00000010 l *ABS* 00000000 UEXC_a2 00000014 l *ABS* 00000000 UEXC_a3 00000018 l *ABS* 00000000 UEXC_a4 0000001c l *ABS* 00000000 UEXC_a5 00000020 l *ABS* 00000000 UEXC_exccause 00000024 l *ABS* 00000000 UEXC_lcount 00000028 l *ABS* 00000000 UEXC_lbeg 0000002c l *ABS* 00000000 UEXC_lend 00000030 l *ABS* 00000000 UserFrameSize 00000070 l *ABS* 00000000 UserFrameTotalSize 00000000 l *ABS* 00000000 XTOS_ENABLED_OFS 00000004 l *ABS* 00000000 XTOS_VPRI_ENABLED_OFS 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/cache_asm.S 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S 00000010 l *ABS* 00000000 .callsz 00000010 l *ABS* 00000000 .locsz 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S 008e6b24 l .text 00000000 __memcpy_aux 00000000 l df *ABS* 00000000 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S 008e6e20 l .text 00000000 __memset_aux 00500abc g O .bss 00000002 u16TxRxCounter 008e1cdc g F .text 0000000c cmnos_refclk_speed_get 00000000 *UND* 00000000 _write_usb_desc_to_eeprom 008e235c g F .text 00000051 cmnos_misaligned_load_handler 008e37e8 g F .text 0000009c HIFusb_DescTraceDump 004e87b4 g O .rodata 00000001 Xthal_have_loops 008e6678 g F .text 00000000 strcpy 008e5964 g F .text 00000010 _DMAengine_init_tx_queue 008e1604 g F .text 00000010 athos_interrupt_handler 008e337c g F .text 00000032 hif_pci_api_install 008e6990 g F .text 00000000 xthal_set_intenable 004e87e6 g O .rodata 00000001 Xthal_have_mimic_cacheattr 004e87e8 g O .rodata 00000001 Xthal_mmu_rings 004e87b3 g O .rodata 00000001 Xthal_have_density 00500b4d g O .bss 00000001 Xthal_have_clamps 008e1ce8 g F .text 00000005 cmnos_uart_frequency 00500ad0 g O .bss 00000002 u8UsbInterfaceValue 008e31e8 g F .text 00000028 __pci_reap_recv 008e29bc g F .text 0000000f cmnos_printf_module_install 008e2fd4 g F .text 00000014 __pci_get_tx_eng 008e21b8 g F .text 0000003e cmnos_intr_mask_inum 004e9054 g *ABS* 00000000 _bss_table_end 00000000 *UND* 00000000 __adf_net_register_drv 008e0000 g .ResetVector.text 00000000 _ResetVector fff00ff0 g *ABS* 00000000 _memmap_cacheattr_unused_mask 008e56f4 g F .text 00000086 HTCControlSvcProcessMsg 008e0b26 g *ABS* 00000000 _Level3InterruptVector_text_end 004e8720 g O .rodata 00000018 _rom_store_table 00500b70 g O .bss 00000001 Xthal_num_xlmi 008e2298 g F .text 0000005f cmnos_intr_invoke_isr 004e87a8 g O .rodata 00000004 Xthal_rev_no 004e87b1 g O .rodata 00000001 Xthal_memory_order 008e2a50 g F .text 0000003c cmnos_romp_decode 00500000 g .dport0.data 00000000 _indir_tbl 008e1e24 g F .text 00000037 cmnos_eep_is_exist 00500b48 g O .bss 00000004 Xthal_release_internal 004e8834 g O .rodata 00000004 Xthal_xlmi_size 008e4f4c g F .text 00000014 _vbuf_free_vbuf 008e6264 g F .text 00000023 buf_pool_module_install 00500b6a g O .bss 00000001 Xthal_itlb_way_bits 004e8718 g *ABS* 00000000 _lit4_end 00500a2c g O .bss 00000004 patch_start 008e1cf0 g F .text 00000005 cmnos_sysclk_change 00021001 g *ABS* 00000000 _memmap_cacheattr_wt_base 008e24b8 g F .text 0000002a cmnos_write_char 008e0c00 g *ABS* 00000000 _DebugExceptionVector_literal_end 00500ae0 g O .bss 00000004 u8String10Descriptor 008e6c5c g F .text 000001c2 memmove 008e6e94 g *ABS* 00000000 _text_end 004e87bc g O .rodata 00000001 Xthal_have_highlevel_interrupts 008e367c g F .text 0000002a _HIFusb_return_recv_buf 008e4d7c g F .text 00000029 __dma_lib_rx_init 008e18c8 g F .text 00000033 turn_off_rc 008e0f26 g *ABS* 00000000 _DoubleExceptionVector_text_end 004e87dc g O .rodata 00000004 Xthal_hw_min_version_major 008e36c0 g F .text 00000010 _HIFusb_is_pipe_supported 004e8000 g *ABS* 00000000 _lit4_start 00500b6b g O .bss 00000001 Xthal_itlb_arf_ways 004e9054 g *ABS* 00000000 _dram0_literal_end 004e8840 g O .rodata 00000020 Xthal_intlevel 00500ad4 g O .bss 00000002 u16FirmwareComplete 00000000 g *ABS* 00000000 _xtos_vectors_ref_ 008e167c g F .text 00000032 athos_init 008e57e4 g F .text 0000002d AdjustCreditThreshold 00500700 g O .data 00000004 _putc 008e247c g F .text 0000000b cmnos_rom_version_get 004e9054 g *ABS* 00000000 _dram0_literal_start 00500a38 g O .bss 0000000c wdt_ctrl 008e2354 g F .text 00000005 cmnos_mac_reset 004e87b9 g O .rodata 00000001 Xthal_have_exceptions 008e6e94 g .text 00000000 _etext 008e4f60 g F .text 00000014 vbuf_module_install 00000000 *UND* 00000000 wlan_pci_register_drv 004e87d4 g O .rodata 00000004 Xthal_hw_release_major 008e2d90 g F .text 00000028 cmnos_wdt_init 00500b44 g O .bss 00000004 Xthal_release_minor 004e87fc g O .rodata 00000004 Xthal_instrom_vaddr 00500708 g O .data 0000000c pci_sc 004e8804 g O .rodata 00000004 Xthal_instrom_size 008e4848 g F .text 0000000f usbfifo_module_install 008e300c g F .text 00000016 __pci_enable 008e5114 g F .text 000000e0 _HTC_Init 008e0ce4 g *ABS* 00000000 _NMIExceptionVector_text_start 004e8830 g O .rodata 00000004 Xthal_xlmi_paddr 008e3430 g F .text 00000053 _HIFusb_init 004e0100 g O .dport0.usb_in_rom 00000012 UsbDeviceDescriptor 00500954 g O .bss 00000004 allocram_current_addr 008e2d74 g F .text 0000001c cmnos_wdt_disable 008e6b64 g F .text 00000000 memcpy 008e5eb0 g F .text 00000018 fwd_retbuf_handler 00000000 *UND* 00000000 _need_user_vector_ 008e0e37 g *ABS* 00000000 _UserExceptionVector_text_end 008e6920 g F .text 00000000 _xtos_unhandled_interrupt 004e87e9 g O .rodata 00000001 Xthal_mmu_ca_bits 00500b64 g O .bss 00000001 Xthal_have_xlt_cacheattr 004e9054 g *ABS* 00000000 _rodata_end 00500b50 g O .bss 00000001 Xthal_have_speculation 008e4f2c g F .text 0000001e _vbuf_alloc_vbuf 008e2b50 g F .text 0000000c cmnos_tasklet_init 008e5924 g F .text 00000040 _DMAengine_init_rx_queue 00500b04 g O .bss 00000008 g_vdescCtx 008e32f8 g F .text 0000000e __pci_is_pipe_supported 008e615c g F .text 0000003d magpie_mdio_copy_bytes 004e87e7 g O .rodata 00000001 Xthal_have_tlbs 008e0ce7 g *ABS* 00000000 _NMIExceptionVector_text_end 00500ad8 g O .bss 00000004 u8UsbDeviceDescriptor 008e0900 g .WindowVectors.text 00000000 _WindowOverflow12 008e0c20 g *ABS* 00000000 _DebugExceptionVector_text_start 00500970 g O .bss 00000004 pll_ctrl_setting_5ghz 008e6960 g F .text 00000000 xthal_set_ccompare 008e2fe8 g F .text 00000023 __pci_get_rx_eng 008e6a4c g F .text 00000050 __udivsi3 008e2e74 g F .text 0000002c _uart_char_put_nowait 004e8800 g O .rodata 00000004 Xthal_instrom_paddr 008e2fa0 g F .text 0000000a __pci_reg_write 008e16e8 g F .text 000000a3 read_usb_conf 008e0800 g *ABS* 00000000 _WindowVectors_text_start 008e520c g F .text 00000052 _HTC_Ready 008e2c04 g F .text 0000000f cmnos_timer_setfn 008e2dd0 g F .text 00000028 cmnos_wdt_module_install 008e4858 g F .text 0000009d cmnos_usb_module_install 00500950 g *ABS* 00000000 _bss_start 008e6928 g .text 00000000 _xtos_unhandled_exception 00500400 g *ABS* 00000000 _dram0_data_end 00500b67 g O .bss 00000001 Xthal_mmu_asid_kernel 008e5260 g F .text 0000007d ReturnBuffers 008e63ac g .text 00000000 _xtos_cause3_handler 00500780 g O .data 0000003c u8OtherSpeedConfigDescriptorEX 004e87b2 g O .rodata 00000001 Xthal_have_windowed 00500ad2 g O .bss 00000002 u8UsbInterfaceAlternateSetting 00500950 g *ABS* 00000000 __XT_EXCEPTION_DESCS__ 008e22f8 g F .text 0000001e cmnos_intr_module_install 008e2188 g F .text 00000005 cmnos_intr_dummy 008e2ed4 g F .text 0000001d _uart_str_out 22221221 g *ABS* 00000000 _memmap_cacheattr_wb_allvalid 00500a54 g O .bss 00000044 g_hifUSBCtx 008e2c58 g F .text 00000030 cmnos_timer_disarm 008e1c98 g F .text 00000014 cmnos_allocram_module_install 008e2b88 g F .text 00000031 cmnos_tasklet_disable 008e178c g F .text 000000d2 set_pci_conf 008e3308 g F .text 0000000e __pci_get_max_msg_len 00000000 *UND* 00000000 send_buffer_via_dma 008e1000 g *ABS* 00000000 _text_start 00500958 g O .bss 00000004 allocram_remaining_bytes 008e4eb8 g F .text 00000037 dma_lib_module_install 008e1614 g F .text 00000066 athos_interrupt_init 008e2e40 g F .text 00000007 _uart_char_get_nothing 008e2340 g F .text 00000011 cmnos_system_reset 00021001 g *ABS* 00000000 _memmap_cacheattr_wb_base 008e5328 g F .text 0000015a _HTC_SendMsg 008e0b20 g *ABS* 00000000 _Level3InterruptVector_text_start 008e4fb0 g F .text 00000018 _vdesc_alloc_desc 008e2b08 g F .text 00000019 cmnos_romp_module_install 00500b69 g O .bss 00000001 Xthal_mmu_sr_bits 008e2ce4 g F .text 0000002b cmnos_wdt_last_boot 008e4e50 g F .text 0000001b __dma_reap_recv 008e2a9c g F .text 00000061 cmnos_romp_download 005007c0 g O .data 00000090 eng_q 004e87f9 g O .rodata 00000001 Xthal_num_ccompare 008e0ce0 g *ABS* 00000000 _NMIExceptionVector_literal_end 008e1548 g F .text 0000002b generic_hif_module_install fff22ff2 g *ABS* 00000000 _memmap_cacheattr_bp_strict 0050096c g O .bss 00000004 pll_ctrl_setting_24ghz 008e591c g F .text 00000005 _DMAengine_init 008e3210 g F .text 0000009f __pci_isr_handler 008e4934 g F .text 0000001e mUsbFIFOConfig 008e5d2c g F .text 00000092 zfDmaReclaimPacket 22221221 g *ABS* 00000000 _memmap_cacheattr_wt_trapnull 008e36e4 g F .text 000000ad _HIFusb_isr_handler 008e62a8 g F .text 00000055 _buf_pool_static_create_pool 008e0d40 g .KernelExceptionVector.text 00000000 _KernelExceptionVector 00500964 g O .bss 00000004 clock_info 008e2b00 g F .text 00000005 cmnos_romp_init 008e0e00 g *ABS* 00000000 _UserExceptionVector_literal_start 008e16b0 g F .text 00000036 _read_usb_desc 008e0800 g .WindowVectors.text 00000000 _WindowOverflow4 008e4cb8 g F .text 0000000a __dma_reg_write 00000000 g *ABS* 00000000 xthals_release_minor 008e32b0 g F .text 0000002a __pci_xmit_buf 00500400 g .data 00000000 _xtos_exc_handler_table 008e0d40 g *ABS* 00000000 _KernelExceptionVector_text_start 008e3110 g F .text 00000053 __pci_init 00500640 g O .data 000000b8 basic_ROM_module_table 008e5ffc g F .text 000000c2 magpie_mdio_boot_init 008e2e48 g F .text 0000002c _uart_char_put 00500b78 g *ABS* 00000000 _bss_end 008e13f8 g F .text 00000030 Magpie_fatal_exception_handler 008e6428 g .text 00000000 xthal_window_spill_nw 008e1240 g .text 00000000 _Level2FromVector 008e62a0 g F .text 00000005 _buf_pool_static_shutdown 00500b18 g O .bss 0000002c g_poolCtx 008e0840 g .WindowVectors.text 00000000 _WindowUnderflow4 00500978 g O .bss 00000002 eep_state 004e87b0 g O .rodata 00000001 Xthal_num_aregs_log2 008e4da8 g F .text 00000028 __dma_hard_xmit 00500500 g O .data 00000004 _xtos_enabled 00500500 g .data 00000000 _xtos_intstruct 004e8828 g O .rodata 00000004 Xthal_dataram_size 008e567c g F .text 00000075 HTCProcessConfigPipeMsg 008e6300 g F .text 00000022 _buf_pool_static_alloc_buf 008e096a g *ABS* 00000000 _WindowVectors_text_end 00500aa4 g O .bss 00000010 usbFifoConf 004e8824 g O .rodata 00000004 Xthal_dataram_paddr 00500ab8 g O .bss 00000004 pu8DescriptorEX 00500400 g *ABS* 00000000 _dram0_data_start 008e2ec0 g F .text 00000005 _uart_task 004e0100 g .dport0.usb_in_rom 00000000 _reserved_rom_data_end 004e87a0 g O .rodata 00000004 Xthal_release_major 008e0940 g .WindowVectors.text 00000000 _WindowUnderflow12 008e3328 g F .text 0000000d __pci_get_def_pipe 22222222 g *ABS* 00000000 _memmap_cacheattr_bp_allvalid 008e1174 g .text 00000000 _xtos_l1int_handler 004e8820 g O .rodata 00000004 Xthal_dataram_vaddr 004e87e0 g O .rodata 00000004 Xthal_hw_max_version_major 004e87f6 g O .rodata 00000001 Xthal_num_instram 22221221 g *ABS* 00000000 _memmap_cacheattr_wt_allvalid 008e6970 g F .text 00000000 xthal_get_ccompare 008e5494 g F .text 00000013 _HTC_GetReservedHeadroom 004e01c0 g O .dport0.usb_in_rom 00000018 String20Descriptor 008e1da0 g F .text 00000057 cmnos_eeprom_write_hword 00500950 g *ABS* 00000000 __XT_EXCEPTION_DESCS_END__ 00500b65 g O .bss 00000001 Xthal_have_cacheattr 004e87f5 g O .rodata 00000001 Xthal_dtlb_ways 008e21f8 g F .text 00000039 cmnos_intr_unmask_inum 00500704 g O .data 00000004 patch_addr 008e1d00 g F .text 00000005 cmnos_wlan_band_set 00500ac8 g O .bss 00000004 eUsbCxCommand 00000000 *UND* 00000000 WMI_service_module_install 008e6324 g F .text 00000010 _buf_pool_static_alloc_buf_align 008e6a9c g F .text 0000003d __umodsi3 00500b6d g O .bss 00000001 Xthal_dtlb_arf_ways 004e01b0 g O .dport0.usb_in_rom 00000010 String10Descriptor 00500400 g *ABS* 00000000 _dport0_data_start 008e29e4 g F .text 00000069 _read_rom_patch 008e5a50 g F .text 00000057 _DMAengine_config_rx_queue 00500af0 g O .bss 00000006 UsbStatus 004e0120 g O .dport0.usb_in_rom 0000003c u8HSConfigDescriptor01 008e6958 g F .text 00000000 xthal_get_ccount 008e6e94 g .text 00000000 _text_end_magic 008e2318 g F .text 00000005 cmnos_mem_init 0053f7f0 g .dport0.stack 00000000 _stack_sentry 008e2c14 g F .text 00000042 cmnos_timer_arm 008e5c58 g F .text 00000050 dma_engine_module_install 008e63d4 g .text 00000000 _GeneralException 008e2980 g F .text 00000033 cmnos_printf 008e619c g F .text 0000001f fw_compute_cksum 008e216c g F .text 00000019 cmnos_eep_module_install 0d02230f g *ABS* 00000000 xthals_hw_configid1 008e6428 g .text 00000000 xthal_spill_registers_into_stack_nw 00000000 *UND* 00000000 hif_module_install 00500b6c g O .bss 00000001 Xthal_dtlb_way_bits 008e57bc g F .text 00000027 HTCSendDoneHandler 008e5b70 g F .text 0000001e _DMAengine_has_compl_packets 008e31a8 g F .text 00000014 __pci_reg_callback 008e497c g F .text 00000024 mUsbEPMxPtSzLow 004e87e4 g O .rodata 00000001 Xthal_have_spanning_way 008e5524 g F .text 0000005e HTCCheckAndSendCreditReport 008e1000 g .text 00000000 _start 008e00de g *ABS* 00000000 _ResetVector_text_end 00500a98 g O .bss 0000000c ControlCmd 00500400 g *ABS* 00000000 _data_start 008e6950 g F .text 00000000 _xtos_p_none 00500ace g O .bss 00000002 u8UsbConfigValue 00500980 g O .bss 00000004 cmnos_enabled_interrupts 008e2ec8 g F .text 0000000a _uart_status 008e2db8 g F .text 00000018 cmnos_wdt_task 008e5484 g F .text 00000005 _HTC_PauseRecv 008e1d44 g F .text 00000026 cmnos_tick 008e245c g F .text 0000001f cmnos_is_host_present 004e881c g O .rodata 00000004 Xthal_datarom_size 004e87b8 g O .rodata 00000001 Xthal_have_mul16 008e1df8 g F .text 0000002b cmnos_eeprom_read_hword 008e48f8 g F .text 0000001c mUsbEPMap 00500b60 g O .bss 00000004 Xthal_hw_release_internal 00000000 *UND* 00000000 __adf_os_setup_intr 004e87c0 g O .rodata 00000001 Xthal_have_s32c1i 008e4fc8 g F .text 00000008 _vdesc_get_hw_desc 008e6774 g F .text 0000008e strncmp 008e0b00 g *ABS* 00000000 _Level3InterruptVector_literal_end 004e87f4 g O .rodata 00000001 Xthal_itlb_ways 008e1d08 g F .text 00000005 cmnos_pll_init 008e2b2c g F .text 00000023 cmnos_string_module_install 008e2d2c g F .text 00000028 cmnos_wdt_set 008e0d00 g *ABS* 00000000 _KernelExceptionVector_literal_end 008e1ebc g F .text 00000045 cmnos_eep_read 004e87d0 g O .rodata 00000004 Xthal_hw_configid1 008e683c g F .text 00000000 strncpy 008e0800 g *ABS* 00000000 _memmap_vecbase_reset 008e0a20 g .Level2InterruptVector.text 00000000 _Level2Vector 008e1cac g F .text 00000020 cmnos_delay_us 004e87bb g O .rodata 00000001 Xthal_have_interrupts 00500b0c g O .bss 0000000c fwd_sc 008e1cf8 g F .text 00000005 cmnos_clockregs_init 008e0f20 g *ABS* 00000000 _DoubleExceptionVector_text_start 008e6334 g F .text 00000013 _buf_pool_static_free_buf 008e1468 g F .text 0000000a athos_restore_intrlvl fff21ff1 g *ABS* 00000000 _memmap_cacheattr_wb_strict 008e18fc g F .text 00000318 bootentry 008e12f4 g .text 00000000 _Level3FromVector 004e87bf g O .rodata 00000001 Xthal_have_release_sync 008e6348 g F .text 00000061 _xtos_set_exception_handler 004e87d8 g O .rodata 00000004 Xthal_hw_release_name 005006fc g O .data 00000004 assprint 0050095c g O .bss 00000004 cticks 008e2b6c g F .text 00000019 cmnos_tasklet_schedule 008e2df8 g F .text 0000003e _uart_init 00500400 g .dport0.data 00000000 _reserved_data_end 008e5010 g F .text 00000019 vdesc_module_install 008e0f00 g *ABS* 00000000 _DoubleExceptionVector_literal_start 008e1ccc g F .text 0000000d cmnos_milliseconds 008e3338 g F .text 00000041 hif_pci_module_install 008e6adc g F .text 00000045 memcmp 008e2f5c g F .text 00000037 cmnos_uart_module_install 008e2ea0 g F .text 00000020 _uart_char_get 00500b58 g O .bss 00000004 Xthal_hw_min_version_minor 00500950 g *ABS* 00000000 _data_end 008e4e28 g F .text 00000026 __dma_xmit_done 008e102c g .text 00000000 _xtos_alloca_handler 008e1000 g *ABS* 00000000 _iram0_text_end 004e880c g O .rodata 00000004 Xthal_instram_paddr 004e8718 g *ABS* 00000000 _ResetVector_literal_end 008e5b68 g F .text 00000005 _DMAengine_flush_xmit 008e2cc4 g F .text 0000001e cmnos_timer_module_install 004e9044 g *ABS* 00000000 __XT_EXCEPTION_TABLE__ 008e11e4 g F .text 0000004c _xtos_set_interrupt_handler_arg 004e87c2 g O .rodata 00000001 Xthal_have_pif 004e87b6 g O .rodata 00000001 Xthal_have_minmax 008e5b90 g F .text 0000002f _DMAengine_reap_recv_buf 008e5cf0 g F .text 00000039 zfDmaGetPacket 008e3164 g F .text 0000003a __pci_cfg_pipe 008e4b7c g F .text 0000012f vUsbFIFO_EPxCfg_FS 00500ae4 g O .bss 00000004 u8String20Descriptor 00500508 g .data 00000000 _xtos_interrupt_table 00500b4f g O .bss 00000001 Xthal_have_fp 008e61bc g F .text 000000a8 mdio_get_fw_image 008e2be4 g F .text 0000001e cmnos_tasklet_module_install 008e2bbc g F .text 00000028 cmnos_tasklet_run 008e6288 g F .text 00000016 _buf_pool_static_init 008e5ec8 g F .text 0000002e fwd_tgt_process_last 008e0020 g .ResetVector.text 00000000 _ResetHandler fff21ff1 g *ABS* 00000000 _memmap_cacheattr_wt_strict 008e6e48 g F .text 00000000 memset 008e63b4 g .text 00000000 _xtos_c_wrapper_handler 008e1c14 g F .text 0000001e main 00500b68 g O .bss 00000001 Xthal_mmu_ring_bits 008e5ef8 g F .text 000000df fwd_tgt_recv 008e5bc0 g F .text 00000036 _DMAengine_reap_xmited_buf 008e5bf8 g F .text 0000005e _DMAengine_desc_dump 008e51f4 g F .text 00000005 _HTC_Shutdown 004e0100 g .dport0.usb_in_rom 00000000 _reserved_rom_data_start 008e4cc4 g F .text 00000020 __dma_set_base 005005a0 g .data 00000000 _xtos_interrupt_mask_table 008e242c g F .text 0000002d cmnos_get_kbhit 008e0a20 g *ABS* 00000000 _Level2InterruptVector_text_start 008e0c20 g .DebugExceptionVector.text 00000000 _DebugExceptionVector 00500ae8 g O .bss 00000004 u8String30Descriptor 008e1d6c g F .text 00000032 cmnos_clock_module_install 008e6114 g F .text 00000045 mdio_read_block 008e0ce4 g .NMIExceptionVector.text 00000000 _NMIExceptionVector 008e2fac g F .text 00000027 __pci_get_pipe 00500ac4 g O .bss 00000004 eUsbCxFinishAction 004e87ac g O .rodata 00000004 Xthal_num_aregs 004e87bd g O .rodata 00000001 Xthal_have_nmi 008e5dc0 g F .text 0000009f zfDmaPutPacket 008e3318 g F .text 00000007 __pci_get_reserved_headroom 008e54ec g F .text 00000015 HTCFreeMsgBuffer 008e4d2c g F .text 0000004d __dma_lib_rx_config 004e87c8 g O .rodata 00000004 Xthal_build_unique_id 004e8814 g O .rodata 00000004 Xthal_datarom_vaddr 00500850 g .data 00000000 _xtos_c_handler_table 008e189c g F .text 0000002c pci_gmac_bootload 00500504 g O .data 00000004 _xtos_vpri_enabled 008e0b20 g .Level3InterruptVector.text 00000000 _Level3Vector 008e0d46 g *ABS* 00000000 _KernelExceptionVector_text_end 008e6998 g F .text 00000068 __divsi3 008e0f00 g *ABS* 00000000 _DoubleExceptionVector_literal_end 008e1104 g .text 00000000 _xtos_syscall_handler 008e1192 g .text 00000000 _LevelOneInterrupt 008e36d0 g F .text 00000012 _HIFusb_get_max_msg_len 008e6560 g F .text 00000000 strcmp 0053f7f0 g .dport0.stack 00000000 _reserved_stack_start 004e8818 g O .rodata 00000004 Xthal_datarom_paddr 008e2c94 g F .text 0000002d cmnos_timer_handler 004e8718 g *ABS* 00000000 _dram0_rodata_start 004e87e5 g O .rodata 00000001 Xthal_have_identity_map 008e36b0 g F .text 00000005 _HIFusb_pause_recv 0053fff0 g .dport0.stack 00000000 _reserved_stack_end 008e30d8 g F .text 00000035 __pci_boot_init 008e3570 g F .text 000000ab _HIFusb_config_pipe 008e31bc g F .text 0000002c __pci_reap_xmitted 004e8718 g *ABS* 00000000 _ResetVector_literal_start 008e2d10 g F .text 0000001a cmnos_wdt_reset 008e36a8 g F .text 00000005 _HIFusb_set_recv_bufsz 00500b4e g O .bss 00000001 Xthal_have_mac16 008e2488 g F .text 0000002d cmnos_misc_module_install 008e0e00 g *ABS* 00000000 _UserExceptionVector_literal_end 004e0260 g .dport0.usb_in_rom 00000000 _data_start_in_rom 008e5318 g F .text 00000010 _HTC_ReturnBuffers 008e1574 g F .text 0000008f athos_indirection_table_install 005006f8 g O .data 00000004 assloop 008e6980 g F .text 00000000 xthal_get_intenable 004e87ba g O .rodata 00000001 Xthal_xea_version 00500a28 g O .bss 00000004 current_dump 008e4ce4 g F .text 00000048 __dma_lib_tx_init 004e87ec g O .rodata 00000004 Xthal_mmu_max_pte_page_size 008e32dc g F .text 0000001b __pci_return_recv 008e2b5c g F .text 0000000f cmnos_tasklet_init_task 008e0c00 g *ABS* 00000000 _DebugExceptionVector_literal_start 00500990 g O .bss 00000098 cmnos_isr_info 008e4954 g F .text 00000027 mUsbEPMxPtSzHigh 008e49ec g F .text 00000190 vUsbFIFO_EPxCfg_HS 008e0ce0 g *ABS* 00000000 _NMIExceptionVector_literal_start 008e1f04 g F .text 00000268 cmnos_eep_init 00500ac0 g O .bss 00000004 u8ConfigDescriptorEX 004e8750 g O .rodata 00000050 cmnos_clocking_table 008e0e20 g *ABS* 00000000 _UserExceptionVector_text_start 00500acc g O .bss 00000002 UsbChirpFinish 008e581c g F .text 000000ff HTCMsgRecvHandler 008e37a4 g F .text 00000041 hif_usb_module_install 00500b4c g O .bss 00000001 Xthal_have_booleans 008e1860 g F .text 0000003b bootload 00500000 g .dport0.data 00000000 _reserved_data_start 008e3320 g F .text 00000005 __pci_shutdown 22221221 g *ABS* 00000000 _memmap_cacheattr_wb_trapnull 008e348c g F .text 00000014 _HIFusb_register_callback 008e6938 g .text 00000000 _xtos_return_from_exc 008e4e6c g F .text 00000028 __dma_return_recv 008e4914 g F .text 0000001e mUsbFIFOMap 004e9044 g *ABS* 00000000 _bss_table_start 008e0a00 g *ABS* 00000000 _Level2InterruptVector_literal_end 00500b66 g O .bss 00000001 Xthal_mmu_asid_bits 008e12f1 g .text 00000000 _Level2HandlerLabel 008e23b0 g F .text 0000006c cmnos_assfail 008e29cc g F .text 00000018 _patch_dump 004e87be g O .rodata 00000001 Xthal_have_prid 008e4cac g F .text 0000000a __dma_reg_read 004e87f0 g O .rodata 00000004 Xthal_mmu_min_pte_page_size 008e13a2 g .text 00000000 _Level3HandlerLabel 008e3484 g F .text 00000005 _HIFusb_shutdown 008e2c88 g F .text 0000000c cmnos_timer_init 008e4dd0 g F .text 0000001b __dma_reap_xmitted 008e2a8c g F .text 0000000d cmnos_romp_install 008e3794 g F .text 0000000f _HIFusb_get_default_pipe 004e8810 g O .rodata 00000004 Xthal_instram_size 00500b6e g O .bss 00000001 Xthal_num_instrom c280dbff g *ABS* 00000000 xthals_hw_configid0 008e54a8 g F .text 00000041 htc_module_install 00500400 g *ABS* 00000000 _dport0_data_end 0053fff0 g .dport0.stack 00000000 __stack 008e241c g F .text 00000005 cmnos_report_failure_to_host 008e2190 g F .text 00000026 cmnos_intr_init 008e0b00 g *ABS* 00000000 _Level3InterruptVector_literal_start 00500af8 g O .bss 0000000c g_vbufCtx 008e2f94 g F .text 0000000a __pci_reg_read 008e0000 g *ABS* 00000000 _ResetVector_text_start 004e8720 g *ABS* 00000000 _rodata_start 008e0f20 g .DoubleExceptionVector.text 00000000 _DoubleExceptionVector 008e60c0 g F .text 00000039 magpie_mdio_wait_for_lock 00500bf8 g .bss 00000000 _end 008e34a0 g F .text 0000007a _HIFusb_start 008e2424 g F .text 00000005 cmnos_target_id_get 00500720 g O .data 00000006 TestPatn0 008e13a4 g F .text 00000053 post 008e4dec g F .text 00000039 __dma_flush_xmit 008e4f74 g F .text 0000003b _vdesc_init 00500b54 g O .bss 00000004 Xthal_hw_release_minor 008e5fd8 g F .text 00000022 magpie_mdio_module_install 008e1000 g *ABS* 00000000 _iram0_text_start 008e5e78 g F .text 00000038 fwd_init 008e577c g F .text 0000003f HTCControlSvcProcessSendComplete 008e1c44 g F .text 00000030 cmnos_allocram 008e0880 g .WindowVectors.text 00000000 _WindowOverflow8 008e1230 g F .text 00000010 _xtos_set_interrupt_handler 008e59c0 g F .text 00000017 _DMAengine_return_recv_buf 008e5504 g F .text 0000001f HTCAllocMsgBuffer 008e51fc g F .text 0000000d _HTC_RegisterService 004e882c g O .rodata 00000004 Xthal_xlmi_vaddr 008e361c g F .text 0000005e _HIFusb_send_buffer 00500ab4 g O .bss 00000004 fwCheckSum 008e29b4 g F .text 00000005 cmnos_printf_init 008e5584 g F .text 000000f8 HTCProcessConnectMsg 008e6988 g F .text 00000000 xthal_get_interrupt 008e2320 g F .text 0000001e cmnos_mem_module_install 008e2234 g F .text 00000064 cmnos_intr_attach_isr 004e87b5 g O .rodata 00000001 Xthal_have_nsa 008e653c g F .text 00000000 xthal_window_spill 00500b5c g O .bss 00000004 Xthal_hw_max_version_minor 004e87f8 g O .rodata 00000001 Xthal_have_ccount 004e87cc g O .rodata 00000004 Xthal_hw_configid0 008e6a00 g F .text 00000049 __modsi3 008e1c74 g F .text 00000021 cmnos_allocram_debug 004e87c4 g O .rodata 00000002 Xthal_num_writebuffer_entries 008e36b8 g F .text 00000005 _HIFusb_resume_recv 0050097a g O .bss 00000002 eep_exist 004e0160 g O .dport0.usb_in_rom 0000003c u8FSConfigDescriptor01 22221221 g *ABS* 00000000 _memmap_cacheattr_reset 00000000 *UND* 00000000 download_write_flag 004e87a4 g O .rodata 00000004 Xthal_release_name 22222222 g *ABS* 00000000 _memmap_cacheattr_bp_trapnull 008e0c26 g *ABS* 00000000 _DebugExceptionVector_text_end 008e08c0 g .WindowVectors.text 00000000 _WindowUnderflow8 008e0a26 g *ABS* 00000000 _Level2InterruptVector_text_end 008e50fc g F .text 00000017 HTC_AssembleBuffers 008e1c34 g F .text 00000010 cmnos_allocram_init 008e548c g F .text 00000005 _HTC_ResumeRecv 008e6988 g F .text 00000000 xthal_get_intread 008e0e20 g .UserExceptionVector.text 00000000 _UserExceptionVector 008e31a0 g F .text 00000005 __pci_start 00500b6f g O .bss 00000001 Xthal_num_datarom 008e1000 g .text 00000000 _stext 008e1d10 g F .text 00000033 cmnos_clock_init 008e6710 g F .text 00000000 strlen 008e5aa8 g F .text 000000be _DMAengine_xmit_buf 008e4ef0 g F .text 0000003b _vbuf_init 00500968 g O .bss 00000004 cmnos_skip_pll_init 008e2b24 g F .text 00000005 cmnos_string_init 008e60fc g F .text 00000016 magpie_mdio_release_lock 00500adc g O .bss 00000004 u8String00Descriptor 008e1454 g F .text 0000000b athos_block_all_intrlvl 008e52e0 g F .text 00000037 _HTC_ReturnBuffersList 00500a34 g O .bss 00000004 timer_list 00022002 g *ABS* 00000000 _memmap_cacheattr_bp_base 008e476c g F .text 000000d9 _usb_init 008e49a0 g F .text 0000004a mUsbEPinHighBandSet 004e01a0 g O .dport0.usb_in_rom 00000004 String00Descriptor 008e0d00 g *ABS* 00000000 _KernelExceptionVector_literal_start 004e8718 g *ABS* 00000000 _dram0_rodata_end 00500400 g *ABS* 00000000 _dport0_bss_start 004e8808 g O .rodata 00000004 Xthal_instram_vaddr 008e3024 g F .text 000000b4 __pci_reset 008e1460 g F .text 00000008 athos_unblock_all_intrlvl 008e5814 g F .text 00000005 RedistributeCredit 00500400 g *ABS* 00000000 _dport0_bss_end 004e87f7 g O .rodata 00000001 Xthal_num_dataram 00500730 g O .data 00000034 TestPatn1 008e1e5c g F .text 00000060 cmnos_eep_write 008e0a00 g *ABS* 00000000 _Level2InterruptVector_literal_start 00500960 g O .bss 00000004 curr_band 00001b62 g *ABS* 00000000 xthals_release_major 008e2d54 g F .text 0000001e cmnos_wdt_enable 004e01e0 g O .dport0.usb_in_rom 0000000c String30Descriptor 008e4e94 g F .text 00000023 __dma_recv_pkt 008e1143 g .text 00000000 _SyscallException 008e2e38 g F .text 00000005 _uart_char_put_nothing 00500770 g O .data 0000000a u8DeviceQualifierDescriptorEX 004e87c1 g O .rodata 00000001 Xthal_have_threadptr 008e2ef4 g F .text 00000027 _uart_config 008e4fd0 g F .text 0000003d _vdesc_swap_vdesc 004e87b7 g O .rodata 00000001 Xthal_have_sext Contents of section .lit4: 4e8000 0053fff0 00040020 00500950 00500b78 .S..... .P.P.P.x 4e8010 008e1240 008e12f4 00500400 008e1074 ...@.....P.....t 4e8020 00050023 80000007 c0000000 00500598 ...#.........P.. 4e8030 008e6938 004e8840 00500508 008e6920 ..i8.N.@.P....i 4e8040 00007ffe 008e12e8 00038000 008e1399 ................ 4e8050 004e8740 0053fe00 00520e00 40000000 .N.@.S...R..@... 4e8060 00500000 004e8860 004e886c 004e88a8 .P...N.`.N.l.N.. 4e8070 004e88b0 004e88c4 004e8908 004e8938 .N...N...N...N.8 4e8080 00500640 005006f8 00500100 008e6980 .P.@.P...P....i. 4e8090 008e6990 008e6988 008e1460 008e1454 ..i...i....`...T 4e80a0 008e1468 008e1428 008e1474 008e14d4 ...h...(...t.... 4e80b0 008e1604 02625a00 004e8954 004e8968 .....bZ..N.T.N.h 4e80c0 41544852 10000000 00500b7c 00500b9c ATHR.....P.|.P.. 4e80d0 00500ba8 00500bc0 00500be0 0004fe00 .P...P...P...... 4e80e0 0005be00 00051e00 fffeefef 004e8970 .............N.p 4e80f0 13fffe00 a55a0000 00036000 00000b7c .....Z....`....| 4e8100 004e8984 008e1860 004e8998 008e189c .N.....`.N...... 4e8110 00500978 008e18fc fffeefff 004e89b4 .P.x.........N.. 4e8120 004e89b8 00500950 20000000 004e89e8 .N...P.P ....N.. 4e8130 004e8a08 004e8a18 004e8a28 004e8a38 .N...N...N.(.N.8 4e8140 00530000 00010000 004e8a48 004e8a54 .S.......N.H.N.T 4e8150 004e8a70 004e89cc 004e89bc 008e1c14 .N.p.N...N...... 4e8160 00500954 004e8a8c 004e8ab0 004e8acc .P.T.N...N...N.. 4e8170 008e1c34 008e1c44 008e1c74 0050095c ...4...D...t.P.\ 4e8180 000c3500 fff3cb00 004e8750 00500974 ..5......N.P.P.t 4e8190 008e1d10 008e1cf8 008e1cac 008e1d00 ................ 4e81a0 008e1cdc 008e1ccc 008e1ce8 008e1cf0 ................ 4e81b0 008e1d44 00020000 10ff3e00 10ff2000 ...D......>... . 4e81c0 00000fff 004e8afc 004e8aec 004e8af4 .....N...N...N.. 4e81d0 004e8b04 00055e00 0003fe00 fffaffff .N....^......... 4e81e0 0001fe00 0000ffc1 10ff0000 10ff1e00 ................ 4e81f0 000c0000 04000000 008e1f04 008e1ebc ................ 4e8200 008e1e5c 008e1e24 00500980 008e2188 ...\...$.P....!. 4e8210 004e0600 004e0601 00500990 004e0771 .N...N...P...N.q 4e8220 008e2190 008e2298 008e2234 008e21b8 ..!..."..."4..!. 4e8230 008e21f8 008e2318 008e6e48 008e6b64 ..!...#...nH..kd 4e8240 008e6c5c 008e6adc 01000000 fffe000f ..l\..j......... 4e8250 004e0001 004e8b0c 00500a28 005006f8 .N...N...P.(.P.. 4e8260 004e8b44 004e8b78 004e8b90 004e8980 .N.D.N.x.N...N.. 4e8270 004e8b9c 004e0100 008e2340 008e2354 .N...N....#@..#T 4e8280 008e23b0 008e235c 008e241c 008e245c ..#...#\..$...$\ 4e8290 008e242c 008e247c 004e8bb0 004e8bd8 ..$,..$|.N...N.. 4e82a0 004e8ba4 004e8bc4 00500700 008e29b4 .N...N...P....). 4e82b0 008e2980 004e8be0 ffb00000 0003ffff ..)..N.......... 4e82c0 004e8bfc 004e8c20 00500704 00500a2c .N...N. .P...P., 4e82d0 004e8c44 0053c000 008e2b00 008e2a9c .N.D.S....+...*. 4e82e0 008e2a8c 008e2a50 008e2b24 008e6678 ..*...*P..+$..fx 4e82f0 008e683c 008e6710 008e6560 008e6774 ..h<..g...e`..gt 4e8300 00500a30 008e2b50 008e2b5c 008e2b88 .P.0..+P..+\..+. 4e8310 008e2b6c 008e2bbc 00500a34 00009c40 ..+l..+..P.4...@ 4e8320 008e2c88 008e2c14 008e2c58 008e2c04 ..,...,...,X..,. 4e8330 008e2c94 5f574454 5f535553 00500a38 ..,._WDT_SUS.P.8 4e8340 07270e00 008e2d90 008e2d54 008e2d74 .'....-...-T..-t 4e8350 008e2d2c 008e2db8 008e2d10 008e2ce4 ..-,..-...-...,. 4e8360 00050e00 0001c200 00500a44 00002710 .........P.D..'. 4e8370 008e2e38 008e2e48 008e2df8 008e2ea0 ...8...H..-..... 4e8380 008e2ed4 008e2ec0 008e2ef4 008e2ec8 ................ 4e8390 008e2f1c 00050018 004e8c64 00050010 ../......N.d.... 4e83a0 004e8c80 ffffdfff 00002000 00500708 .N........ ..P.. 4e83b0 004e8c8c 004e8ca0 004e8cb0 004e8cc0 .N...N...N...N.. 4e83c0 008e3110 008e31a0 008e3164 008e3210 ..1...1...1d..2. 4e83d0 008e32b0 008e32dc 008e32f8 008e3308 ..2...2...2...3. 4e83e0 008e31a8 008e3320 008e3318 008e3328 ..1...3 ..3...3( 4e83f0 008e30d8 008e300c 008e31e8 008e31bc ..0...0...1...1. 4e8400 008e3024 008e2fac 008e2fe8 008e2fd4 ..0$../.../.../. 4e8410 00500a54 008e33b0 008e33c4 008e33fc .P.T..3...3...3. 4e8420 008e341c 00500a60 00500a68 00500a78 ..4..P.`.P.h.P.x 4e8430 00500a80 004e8cd8 004e8cf0 00055a00 .P...N...N....Z. 4e8440 00055600 004e8d04 004e8d1c 00054e00 ..V..N...N....N. 4e8450 00010007 008e3430 008e34a0 008e3570 ......40..4...5p 4e8460 008e36e4 008e361c 008e367c 008e36c0 ..6...6...6|..6. 4e8470 008e36d0 008e348c 008e3484 008e351c ..6...4...4...5. 4e8480 008e3794 004e8d34 004e8d48 004e8d60 ..7..N.4.N.H.N.` 4e8490 004e8d74 004e8d88 00500a98 0000ff80 .N.t.N...P...... 4e84a0 0000fe00 00500620 00500730 007efdfb .....P. .P.0.~.. 4e84b0 00500780 00500770 004e8d9c 004e01a0 .P...P.p.N...N.. 4e84c0 004e01b0 004e01c0 004e01e0 008e3f64 .N...N...N....?d 4e84d0 004e8ddc 004e8dfc 08000000 004e8db4 .N...N.......N.. 4e84e0 004e8e08 f7ffffff 004e0160 004e0120 .N.......N.`.N. 4e84f0 00010080 004e8e28 004e8e3c 00010001 .....N.(.N.<.... 4e8500 0000c600 004e8e50 004e8e60 004e8e78 .....N.P.N.`.N.x 4e8510 004e8e88 004e8e98 00001010 004e8ea8 .N...N.......N.. 4e8520 008e4270 008e4254 008e476c 008e4468 ..Bp..BT..Gl..Dh 4e8530 008e4530 008e41d0 008e4058 008e3cd4 ..E0..A...@X..<. 4e8540 008e3d8c 008e3b2c 008e3b54 008e3bfc ..=...;,..;T..;. 4e8550 008e3c44 008e3e64 008e4394 008e43a8 ..d..C...C. 4e8560 008e441c 008e4460 008e3a9c 008e3a7c ..D...D`..:...:| 4e8570 008e39ac 008e3988 008e3954 008e3e08 ..9...9...9T..>. 4e8580 008e4288 008e42f0 008e3884 008e38ac ..B...B...8...8. 4e8590 008e4668 00500ace 00500ad0 00500ad2 ..Fh.P...P...P.. 4e85a0 005007c0 00053000 00054000 008e4ce4 .P....0...@...L. 4e85b0 008e4d7c 008e4d2c 008e4da8 008e4dec ..M|..M,..M...M. 4e85c0 008e4e28 008e4e50 008e4dd0 008e4e6c ..N(..NP..M...Nl 4e85d0 008e4e94 00500af8 008e4ef0 008e4f2c ..N..P....N...O, 4e85e0 008e4f4c 00500b04 008e4f74 008e4fb0 ..OL.P....Ot..O. 4e85f0 008e4fc8 008e4fd0 008e5114 008e5318 ..O...O...Q...S. 4e8600 008e52e0 008e520c 008e51fc 008e5328 ..R...R...Q...S( 4e8610 008e51f4 008e5494 008e581c 008e57bc ..Q...T...X...W. 4e8620 008e56f4 008e577c 0000ff00 004e8ebc ..V...W|.....N.. 4e8630 004e8950 004e8ec8 004e8ed8 008e591c .N.P.N...N....Y. 4e8640 008e5a50 008e5aa8 008e5b68 008e5b90 ..ZP..Z...[h..[. 4e8650 008e59c0 008e5bc0 008e5974 008e5b70 ..Y...[...Yt..[p 4e8660 008e5924 008e5964 008e5bf8 008e5cf0 ..Y$..Yd..[...\. 4e8670 008e5d2c 008e5dc0 0000fffc 008e5eb0 ..],..].......^. 4e8680 008e5ef8 00500b0c 00500b14 008e5ffc ..^..P...P...._. 4e8690 004e8ee0 00001a00 ffffe5ff 00054100 .N............A. 4e86a0 00060000 004e8efc 00054200 00054204 .....N....B...B. 4e86b0 004e8f18 004e8f44 004e8f70 004e8f90 .N...N.D.N.p.N.. 4e86c0 004e8fac 004e8fc4 004e8ff0 004e900c .N...N...N...N.. 4e86d0 008e6288 008e62a8 008e6300 008e6324 ..b...b...c...c$ 4e86e0 008e6334 008e62a0 00500b18 008e6950 ..c4..b..P....iP 4e86f0 00500850 008e63b4 008e6928 fffbfff0 .P.P..c...i(.... 4e8700 ff000000 00ff0000 000000ff 40404040 ............@@@@ 4e8710 fefefeff 80808080 ........ Contents of section .dport0.usb_in_rom: 4e0100 01120200 ffff40ff 0cf37010 02022010 ......@...p... . 4e0110 01300000 00000000 00000000 00000000 .0.............. 4e0120 0209003c 01018000 09fa0004 060000ff ...<............ 4e0130 00000507 02010200 07008205 00020002 ................ 4e0140 05070383 00400701 04054003 01000507 .....@....@..... 4e0150 02050200 07000605 00020002 00000000 ................ 4e0160 0209003c 01018000 09fa0004 060000ff ...<............ 4e0170 00000507 02010040 07008205 40020000 .......@....@... 4e0180 05070383 00400701 04054002 00000507 .....@....@..... 4e0190 02050040 07000605 40020000 00000000 ...@....@....... 4e01a0 03040409 00000000 00000000 00000000 ................ 4e01b0 03100041 00540048 00450052 004f0053 ...A.T.H.E.R.O.S 4e01c0 03180055 00530042 0032002e 00300020 ...U.S.B.2...0. 4e01d0 0057004c 0041004e 00000000 00000000 .W.L.A.N........ 4e01e0 030c0031 00320033 00340035 ...1.2.3.4.5 Contents of section .dport0.data: 500000 00000000 00000000 00000000 00000000 ................ 500010 00000000 00000000 00000000 00000000 ................ 500020 00000000 00000000 00000000 00000000 ................ 500030 00000000 00000000 00000000 00000000 ................ 500040 00000000 00000000 00000000 00000000 ................ 500050 00000000 00000000 00000000 00000000 ................ 500060 00000000 00000000 00000000 00000000 ................ 500070 00000000 00000000 00000000 00000000 ................ 500080 00000000 00000000 00000000 00000000 ................ 500090 00000000 00000000 00000000 00000000 ................ 5000a0 00000000 00000000 00000000 00000000 ................ 5000b0 00000000 00000000 00000000 00000000 ................ 5000c0 00000000 00000000 00000000 00000000 ................ 5000d0 00000000 00000000 00000000 00000000 ................ 5000e0 00000000 00000000 00000000 00000000 ................ 5000f0 00000000 00000000 00000000 00000000 ................ 500100 00000000 00000000 00000000 00000000 ................ 500110 00000000 00000000 00000000 00000000 ................ 500120 00000000 00000000 00000000 00000000 ................ 500130 00000000 00000000 00000000 00000000 ................ 500140 00000000 00000000 00000000 00000000 ................ 500150 00000000 00000000 00000000 00000000 ................ 500160 00000000 00000000 00000000 00000000 ................ 500170 00000000 00000000 00000000 00000000 ................ 500180 00000000 00000000 00000000 00000000 ................ 500190 00000000 00000000 00000000 00000000 ................ 5001a0 00000000 00000000 00000000 00000000 ................ 5001b0 00000000 00000000 00000000 00000000 ................ 5001c0 00000000 00000000 00000000 00000000 ................ 5001d0 00000000 00000000 00000000 00000000 ................ 5001e0 00000000 00000000 00000000 00000000 ................ 5001f0 00000000 00000000 00000000 00000000 ................ 500200 00000000 00000000 00000000 00000000 ................ 500210 00000000 00000000 00000000 00000000 ................ 500220 00000000 00000000 00000000 00000000 ................ 500230 00000000 00000000 00000000 00000000 ................ 500240 00000000 00000000 00000000 00000000 ................ 500250 00000000 00000000 00000000 00000000 ................ 500260 00000000 00000000 00000000 00000000 ................ 500270 00000000 00000000 00000000 00000000 ................ 500280 00000000 00000000 00000000 00000000 ................ 500290 00000000 00000000 00000000 00000000 ................ 5002a0 00000000 00000000 00000000 00000000 ................ 5002b0 00000000 00000000 00000000 00000000 ................ 5002c0 00000000 00000000 00000000 00000000 ................ 5002d0 00000000 00000000 00000000 00000000 ................ 5002e0 00000000 00000000 00000000 00000000 ................ 5002f0 00000000 00000000 00000000 00000000 ................ 500300 00000000 00000000 00000000 00000000 ................ 500310 00000000 00000000 00000000 00000000 ................ 500320 00000000 00000000 00000000 00000000 ................ 500330 00000000 00000000 00000000 00000000 ................ 500340 00000000 00000000 00000000 00000000 ................ 500350 00000000 00000000 00000000 00000000 ................ 500360 00000000 00000000 00000000 00000000 ................ 500370 00000000 00000000 00000000 00000000 ................ 500380 00000000 00000000 00000000 00000000 ................ 500390 00000000 00000000 00000000 00000000 ................ 5003a0 00000000 00000000 00000000 00000000 ................ 5003b0 00000000 00000000 00000000 00000000 ................ 5003c0 00000000 00000000 00000000 00000000 ................ 5003d0 00000000 00000000 00000000 00000000 ................ 5003e0 00000000 00000000 00000000 00000000 ................ 5003f0 00000000 00000000 00000000 00000000 ................ Contents of section .rodata: 4e8720 00500400 00500950 004e0260 00000000 .P...P.P.N.`.... 4e8730 00000000 00000000 00000000 00000000 ................ 4e8740 55aa55aa aa55aa55 ffffffff 00000000 U.U..U.U........ 4e8750 00000000 00989680 00000000 00000000 ................ 4e8760 00000000 00000001 01312d00 00000000 .........1-..... 4e8770 00000000 00000000 00000002 02625a00 .............bZ. 4e8780 00000000 00000000 00000000 ffffffff ................ 4e8790 00000000 00000000 00000000 00000000 ................ 4e87a0 00001b62 004e9034 1b620000 00000020 ...b.N.4.b..... 4e87b0 05010101 01010101 01010201 01010101 ................ 4e87c0 01010100 00040000 0002230f c280dbff ..........#..... 4e87d0 0d02230f 000008a2 004e903c 000008a2 ..#......N.<.... 4e87e0 000008a2 01010101 01040000 0000001d ................ 4e87f0 0000001d 01010101 01010000 00000000 ................ 4e8800 00000000 00000000 00800000 00800000 ................ 4e8810 00400000 00000000 00000000 00000000 .@.............. 4e8820 00400000 00400000 00400000 00000000 .@...@...@...... 4e8830 00000000 00000000 00000000 00000000 ................ 4e8840 01020202 02020202 02020202 02020203 ................ 4e8850 03030500 00000000 00000000 00000000 ................ 4e8860 52414d20 6661696c 0a0d0000 46617461 RAM fail....Fata 4e8870 6c206578 63657074 696f6e20 28256429 l exception (%d) 4e8880 3a207063 3d307825 78206261 64766164 : pc=0x%x badvad 4e8890 64723d30 78257820 64756d70 20617265 dr=0x%x dump are 4e88a0 613d3078 25780a00 2d41312d 0a0d0000 a=0x%x..-A1-.... 4e88b0 6d697361 6c69676e 65645f6c 6f61640a misaligned_load. 4e88c0 0d000000 46617461 6c206578 63657074 ....Fatal except 4e88d0 696f6e20 28256429 3a200970 633d3078 ion (%d): .pc=0x 4e88e0 2578200a 0d096261 64766164 64723d30 %x ...badvaddr=0 4e88f0 78257820 0a0d0964 756d7020 61726561 x%x ...dump area 4e8900 3d307825 780a0000 09657063 313d3078 =0x%x....epc1=0x 4e8910 25782c20 65706332 3d307825 782c2065 %x, epc2=0x%x, e 4e8920 7063333d 30782578 2c206570 63343d30 pc3=0x%x, epc4=0 4e8930 7825780a 00000000 30782530 38782c20 x%x.....0x%08x, 4e8940 30782530 38782c20 30782530 38782c20 0x%08x, 0x%08x, 4e8950 0a0d0000 202d2025 735f7665 723a2025 .... - %s_ver: % 4e8960 78202d20 0a0a0d00 61736963 00000000 x - ....asic.... 4e8970 64696476 69642066 726f6d20 6f776c20 didvid from owl 4e8980 0a000000 64656661 756c7420 64696476 ....default didv 4e8990 6964200a 00000000 382e2077 61697420 id .....8. wait 4e89a0 666f7220 646f776e 6c6f6164 2e2e2e2e for download.... 4e89b0 200a0d00 32000000 33000000 20332e31 ...2...3... 3.1 4e89c0 206e6f20 70617463 680a0d00 20332e31 no patch... 3.1 4e89d0 206e6f20 65657020 6f722063 6f727275 no eep or corru 4e89e0 70740a0d 00000000 20332e31 20534e53 pt...... 3.1 SNS 4e89f0 543a2065 65702069 6e697420 6661696c T: eep init fail 4e8a00 6564210a 0d000000 342e2077 64742073 ed!.....4. wdt s 4e8a10 74617274 0a0d0000 342e2077 61726d20 tart....4. warm 4e8a20 73746172 740a0d00 342e2063 6f6c6420 start...4. cold 4e8a30 73746172 740a0d00 352e2068 69662028 start...5. hif ( 4e8a40 2564290a 00000000 53544152 542e2e2e %d).....START... 4e8a50 2e0a0000 524f4d3e 3a6d6469 6f20646f ....ROM>:mdio do 4e8a60 776e6c6f 61642072 65616479 0a000000 wnload ready.... 4e8a70 362e2053 4e53543a 20686f73 74696620 6. SNST: hostif 4e8a80 636f7272 7570740a 0d000000 52414d20 corrupt.....RAM 4e8a90 616c6c6f 63617469 6f6e2028 25642062 allocation (%d b 4e8aa0 79746573 29206661 696c6564 210a0000 ytes) failed!... 4e8ab0 414c4c4f 4352414d 20437572 72656e74 ALLOCRAM Current 4e8ac0 20416464 72203078 25780a00 414c4c4f Addr 0x%x..ALLO 4e8ad0 4352414d 2052656d 61696e69 6e672042 CRAM Remaining B 4e8ae0 79746573 2025640a 00000000 2d453132 ytes %d.....-E12 4e8af0 2d000000 2d453130 2d000000 2d453131 -...-E10-...-E11 4e8b00 2d000000 2d453133 2d000000 4d697361 -...-E13-...Misa 4e8b10 6c69676e 6564206c 6f61643a 2070633d ligned load: pc= 4e8b20 30782578 20626164 76616464 723d3078 0x%x badvaddr=0x 4e8b30 25782064 756d7020 61726561 3d307825 %x dump area=0x% 4e8b40 780a0000 61737365 7274696f 6e206661 x...assertion fa 4e8b50 696c6564 3f207063 3d307825 782c206c iled? pc=0x%x, l 4e8b60 696e653d 25642c20 64756d70 20617265 ine=%d, dump are 4e8b70 613d3078 25780a00 54617267 65742049 a=0x%x..Target I 4e8b80 443a2030 78257820 28256429 0a000000 D: 0x%x (%d).... 4e8b90 44656275 6720496e 666f3a00 30782530 Debug Info:.0x%0 4e8ba0 38782000 30313233 34353637 38390000 8x .0123456789.. 4e8bb0 30313233 34353637 38396162 63646566 0123456789abcdef 4e8bc0 00000000 30313233 34353637 38394142 ....0123456789AB 4e8bd0 43444546 00000000 3c6e756c 6c3e0000 CDEF...... 4e8be0 09737a3a 2025642c 206c643a 2025702c .sz: %d, ld: %p, 4e8bf0 20666e3a 2025700d 00000000 21696e76 fn: %p.....!inv 4e8c00 616c6964 2064706f 72742061 64647265 alid dport addre 4e8c10 73732c20 30782530 38780a0d 00000000 ss, 0x%08x...... 4e8c20 63702025 64206279 74657320 66726f6d cp %d bytes from 4e8c30 20307825 30387820 746f2030 78253038 0x%08x to 0x%08 4e8c40 78000000 702e6f66 743a2030 78253034 x...p.oft: 0x%04 4e8c50 782c2070 2e737a20 3a203078 25303478 x, p.sz : 0x%04x 4e8c60 0a000000 57616974 696e6720 666f7220 ....Waiting for 4e8c70 686f7374 20726573 65742e2e 00000000 host reset...... 4e8c80 72656365 69766564 2e0a0000 42616420 received....Bad 4e8c90 456e6769 6e65206e 756d6265 720a0000 Engine number... 4e8ca0 456d7074 79205258 20526561 700a0000 Empty RX Reap... 4e8cb0 456d7074 79205458 20526561 70200a00 Empty TX Reap .. 4e8cc0 496e7661 6c696420 50697065 206e756d Invalid Pipe num 4e8cd0 6265720a 00000000 0a0d093d 3e5b646e ber........=>[dn 4e8ce0 515d2030 78253038 78200a5b 00000000 Q] 0x%08x .[.... 4e8cf0 093d3e5b 7570515d 20307825 30387820 .=>[upQ] 0x%08x 4e8d00 0a5b0000 093d3e5b 68702064 6e515d20 .[...=>[hp dnQ] 4e8d10 30782530 3878200a 5b000000 093d3e5b 0x%08x .[....=>[ 4e8d20 6d702064 6e515d20 30782530 3878200a mp dnQ] 0x%08x . 4e8d30 5b000000 0a0d5b68 7020646e 515d2030 [.....[hp dnQ] 0 4e8d40 78253038 782c2000 444d4120 54524143 x%08x, .DMA TRAC 4e8d50 45203078 25303878 0a0d2020 20205b00 E 0x%08x.. [. 4e8d60 0a0d5b6d 7020646e 515d2030 78253038 ..[mp dnQ] 0x%08 4e8d70 782c2000 0a0d5b64 6e515d20 30782530 x, ...[dnQ] 0x%0 4e8d80 38782c20 00000000 0a0a0d5b 7570515d 8x, .......[upQ] 4e8d90 20307825 3038782c 20000000 2d206375 0x%08x, ...- cu 4e8da0 73746f6d 20757362 20636f6e 6669670a stom usb config. 4e8db0 00000000 095b6355 53425f52 45515f44 .....[cUSB_REQ_D 4e8dc0 4f574e4c 4f41445d 3a203078 25303878 OWNLOAD]: 0x%08x 4e8dd0 2c202530 32780a0d 00000000 090a0d3d , %02x.........= 4e8de0 3d3e5b63 5553425f 5245515f 434f4d50 =>[cUSB_REQ_COMP 4e8df0 5d3a2030 78253038 780a0d00 636b7375 ]: 0x%08x...cksu 4e8e00 6d3d2578 00000000 56656e64 6f72436d m=%x....VendorCm 4e8e10 643a2044 6f776e6c 6f616443 6f6d706c d: DownloadCompl 4e8e20 65746521 0a000000 7a665265 73657455 ete!....zfResetU 4e8e30 53424649 464f0a0d 00000000 7a665475 SBFIFO......zfTu 4e8e40 726e4f66 66506f77 65720a0d 00000000 rnOffPower...... 4e8e50 47656e65 72617465 20457665 6e740a00 Generate Event.. 4e8e60 215b534f 55524345 5f305d20 62697437 ![SOURCE_0] bit7 4e8e70 206f6e0a 0d000000 21555342 20726573 on.....!USB res 4e8e80 65740a0d 00000000 21555342 20737573 et......!USB sus 4e8e90 70656e64 0a0d0000 21555342 20726573 pend....!USB res 4e8ea0 756d650a 0d000000 362e2075 73625f68 ume.....6. usb_h 4e8eb0 636c6b20 7264790a 0d000000 30782530 clk rdy.....0x%0 4e8ec0 38782854 295d0000 30782530 38782825 8x(T)]..0x%08x(% 4e8ed0 63292d3e 00000000 0a0d2020 20000000 c)->...... ... 4e8ee0 6d69692d 6d64696f 202d2072 65736574 mii-mdio - reset 4e8ef0 202d2073 74617274 200a0000 6d69692d - start ...mii- 4e8f00 6d64696f 202d2072 65736574 202d2065 mdio - reset - e 4e8f10 6e64200a 00000000 73746172 74656420 nd .....started 4e8f20 72656365 6976696e 67206279 74657320 receiving bytes 4e8f30 746f2025 78206c65 6e677468 2025640a to %x length %d. 4e8f40 00000000 636f6d70 6c657465 64207265 ....completed re 4e8f50 63656976 696e6720 62797465 7320746f ceiving bytes to 4e8f60 20257820 6c656e67 74682025 640a0000 %x length %d... 4e8f70 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa 4e8f80 64206c65 6e677468 20307825 780a0000 d length 0x%x... 4e8f90 4669726d 77617265 20457865 63204164 Firmware Exec Ad 4e8fa0 64726573 73203078 25780a00 4669726d dress 0x%x..Firm 4e8fb0 77617265 20636865 636b7375 6d203078 ware checksum 0x 4e8fc0 25780a00 4669726d 77617265 20636865 %x..Firmware che 4e8fd0 636b7375 6d206661 696c6564 202d2072 cksum failed - r 4e8fe0 65206e65 676f7469 6174696e 67200a00 e negotiating .. 4e8ff0 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa 4e9000 64206973 20676f6f 64200a00 434f4d4d d is good ..COMM 4e9010 414e4420 544f2053 54415254 20464952 AND TO START FIR 4e9020 4d574152 45205245 43454956 4544200a MWARE RECEIVED . 4e9030 00000000 372e312e 30000000 4c58322e ....7.1.0...LX2. 4e9040 312e3000 00500400 00500400 00500950 1.0..P...P...P.P 4e9050 00500b78 .P.x Contents of section .data: 500400 008e6928 008e1104 008e6928 008e6928 ..i(......i(..i( 500410 008e1174 008e102c 008e6928 008e6928 ...t...,..i(..i( 500420 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500430 008e63ac 008e63ac 008e63ac 008e63ac ..c...c...c...c. 500440 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500450 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500460 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500470 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500480 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500490 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004a0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004b0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004c0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004d0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004e0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004f0 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500500 00000000 ffffffff 008e6920 00000012 ..........i .... 500510 008e6920 00000011 008e6920 00000010 ..i ......i .... 500520 008e6920 0000000f 008e6920 0000000e ..i ......i .... 500530 008e6920 0000000d 008e6920 0000000c ..i ......i .... 500540 008e6920 0000000b 008e6920 0000000a ..i ......i .... 500550 008e6920 00000009 008e6920 00000008 ..i ......i .... 500560 008e6920 00000007 008e6920 00000006 ..i ......i .... 500570 008e6920 00000005 008e6920 00000004 ..i ......i .... 500580 008e6920 00000003 008e6920 00000002 ..i ......i .... 500590 008e6920 00000001 008e6920 00000000 ..i ......i .... 5005a0 fffffffe 00040000 fffffffe 00020000 ................ 5005b0 fffffffe 00010000 fffffffe 00008000 ................ 5005c0 fffffffe 00004000 fffffffe 00002000 ......@....... . 5005d0 fffffffe 00001000 fffffffe 00000800 ................ 5005e0 fffffffe 00000400 fffffffe 00000200 ................ 5005f0 fffffffe 00000100 fffffffe 00000080 ................ 500600 fffffffe 00000040 fffffffe 00000020 .......@....... 500610 fffffffe 00000010 fffffffe 00000008 ................ 500620 fffffffe 00000004 fffffffe 00000002 ................ 500630 fffffffe 00000001 00000000 00000000 ................ 500640 008e2320 0050000c 008e2488 00500020 ..# .P....$..P. 500650 008e29bc 00500044 008e2f5c 0050004c ..)..P.D../\.P.L 500660 008e4858 00500070 008e22f8 00500118 ..HX.P.p.."..P.. 500670 008e2cc4 00500104 008e1d6c 005000e0 ..,..P.....l.P.. 500680 008e1c98 00500144 008e2b08 00500150 .....P.D..+..P.P 500690 008e2dd0 00500160 008e216c 0050017c ..-..P.`..!l.P.| 5006a0 00000000 0050006c 008e2b2c 0050018c .....P.l..+,.P.. 5006b0 008e2be4 005001a4 008e5010 00500294 ..+..P....P..P.. 5006c0 008e4f60 00500280 008e1548 005001c0 ..O`.P.....H.P.. 5006d0 008e337c 00500328 008e4eb8 005002f4 ..3|.P.(..N..P.. 5006e0 008e6264 00500264 008e4848 00500258 ..bd.P.d..HH.P.X 5006f0 008e5c58 005002b4 00000001 00000001 ..\X.P.......... 500700 008e24b8 00000000 00000000 00000000 ..$............. 500710 00000000 00000000 00000000 00000000 ................ 500720 00020004 00080000 00000000 00000000 ................ 500730 00000000 00000000 aa00aa00 aaaaaaaa ................ 500740 eeaaaaaa eeeeeeee feeeeeee ffffffff ................ 500750 ffffffff 7fffffff f7efdfbf 7efcfdfb ............~... 500760 fdfbdfbf 00000000 00000000 00000000 ................ 500770 00000000 00000000 00000000 00000000 ................ 500780 07090000 00000000 00000000 00000000 ................ 500790 00000000 00000000 00000000 00000000 ................ 5007a0 00000000 00000000 00000000 00000000 ................ 5007b0 00000000 00000000 00000000 00000000 ................ 5007c0 00053000 00000800 00000000 00000000 ..0............. 5007d0 00000000 00000000 00053000 00000900 ..........0..... 5007e0 00000000 00000000 00000000 00000000 ................ 5007f0 00053000 00000a00 00000000 00000000 ..0............. 500800 00000000 00000000 00053000 00000b00 ..........0..... 500810 00000000 00000000 00000000 00000000 ................ 500820 00053000 00000c00 00000000 00000000 ..0............. 500830 00000000 00000000 00053000 00000d00 ..........0..... 500840 00000000 00000000 00000000 00000000 ................ 500850 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500860 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500870 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500880 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500890 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008a0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008b0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008c0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008d0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008e0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008f0 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500900 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500910 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500920 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500930 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500940 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP Contents of section .ResetVector.text: 8e0000 60001c00 008e0800 22221221 e0000000 `......."".!.... 8e0010 008e0063 004e8720 00528001 00000000 ...c.N. .R...... 8e0020 c00000e4 3112fff7 02e73102 160012ff ....1.....1..... 8e0030 f615fff6 16fff7c0 30d72005 66016000 ........0. .f.`. 8e0040 21000000 00000000 00000000 00000000 !............... 8e0050 04360500 0200d30f d30f7531 14074714 .6........u1..G. 8e0060 05330c07 04437631 e6043605 7539ed00 .3...Cv1..6.u9.. 8e0070 020015ff e6c030d7 20600006 00074714 ......0. `....G. 8e0080 05330c07 0443043e 057539f0 03020012 .3...C.>.u9..... 8e0090 ffe1ca20 83208421 8522222c 0c743b0f ... . .!."",.t;. 8e00a0 8650b455 9630b433 7433f463 ffe50000 .P.U.0.3t3.c.... 8e00b0 653fe065 5fdd12ff d8020531 01020000 e?.e_......1.... 8e00c0 0200c011 01493100 48310102 00c00014 .....I1.H1...... 8e00d0 000404d2 31140005 04d33150 03c9 ....1.....1P.. Contents of section .WindowVectors.text: 8e0800 005c9401 5d94025e 94035f94 00430000 .\..]..^.._..C.. 8e0810 00000000 00000000 00000000 00000000 ................ 8e0820 00000000 00000000 00000000 00000000 ................ 8e0830 00000000 00000000 00000000 00000000 ................ 8e0840 005c9001 5d90025e 90035f90 00530000 .\..]..^.._..S.. 8e0850 00000000 00000000 00000000 00000000 ................ 8e0860 00000000 00000000 00000000 00000000 ................ 8e0870 00000000 00000000 00000000 00000000 ................ 8e0880 009c9400 1d90019d 94029e94 039f9404 ................ 8e0890 08940509 94060a94 070b9400 43000000 ............C... 8e08a0 00000000 00000000 00000000 00000000 ................ 8e08b0 00000000 00000000 00000000 00000000 ................ 8e08c0 009c9001 9d90029e 90071d90 039f9004 ................ 8e08d0 78900579 90067a90 077b9000 53000000 x..y..z..{..S... 8e08e0 00000000 00000000 00000000 00000000 ................ 8e08f0 00000000 00000000 00000000 00000000 ................ 8e0900 00dc9400 1d9001dd 9402de94 03df9404 ................ 8e0910 04940505 94060694 07079408 08940909 ................ 8e0920 940a0a94 0b0b9400 43000000 00000000 ........C....... 8e0930 00000000 00000000 00000000 00000000 ................ 8e0940 00dc9001 dd9002de 900b1d90 03df9004 ................ 8e0950 b49005b5 9006b690 07b79008 b89009b9 ................ 8e0960 900aba90 0bbb9000 5300 ........S. Contents of section .KernelExceptionVector.text: 8e0d40 00140063 fff9 ...c.. Contents of section .UserExceptionVector.text: 8e0e20 211c9092 14931513 000602e8 3003230a !...........0.#. 8e0e30 83309416 0a3000 .0...0. Contents of section .DoubleExceptionVector.text: 8e0f20 04140063 fff9 ...c.. Contents of section .Level2InterruptVector.text: 8e0a20 02d2160a 2000 .... . Contents of section .Level3InterruptVector.text: 8e0b20 02d3160a 2000 .... . Contents of section .DebugExceptionVector.text: 8e0c20 00470063 fff9 .G.c.. Contents of section .NMIExceptionVector.text: 8e0ce4 015300 .S. Contents of section .text: 8e1000 200a0011 00001300 0103e631 01020016 ..........1.... 8e1010 00021700 03d30f77 6b069060 b4667763 .......wk..`.fwc 8e1020 f85402fc 0f140063 fff90000 03b13095 .T.....c......0. 8e1030 17b33204 01300502 3072490b 64500825 ..2..0..0rI.dP.% 8e1040 5cff0502 31020030 02b131b1 33030241 \...1..0..1.3..A 8e1050 02330c83 30040330 00230400 331a03c3 .3..0..0.#..3... 8e1060 53040331 140007d5 10211c70 04340a0a S..1.....!.p.4.. 8e1070 40000000 d100cf48 cf460000 8154cf40 @......H.F...T.@ 8e1080 8155ce4c 8156ce48 8157ce44 d160ce40 .U.L.V.H.W.D.`.@ 8e1090 d170cd4c d180cd48 d190cd44 d1a0cd40 .p.L...H...D...@ 8e10a0 d1b0cc4c d1c0cc48 d1d0cc44 d1e0cc40 ...L...H...D...@ 8e10b0 d1f00103 41c8340f 14000311 0c225c70 ....A.4......"\p 8e10c0 240a7005 130c743b 1604550c 23522024 $.p...t;..U.#R $ 8e10d0 52219354 94552352 22245223 93569457 R!.T.U#R"$R#.V.W 8e10e0 032c9004 2d90031c 94041d94 032e9004 .,..-........... 8e10f0 2f90031e 94041f94 82548355 84568557 /........T.U.V.W 8e1100 00030000 03b13002 0130b333 73290c02 ......0..0.3s).. 8e1110 0230c826 b0220202 31030030 821403b1 .0.&."..1..0.... 8e1120 31831565 20442316 15241616 25161713 1..e D#..$..%... 8e1130 000802e6 3003e631 03b13021 1c700102 ....0..1..0!.p.. 8e1140 00c0406c 10085c00 071e0009 aec0d10f ..@l..\......... 8e1150 02e631c0 20845a01 020003b1 31835985 ..1. .Z.....1.Y. 8e1160 5b000300 6c1006df f0d10fc7 2f211c70 [...l......./!.p 8e1170 00030000 95171200 0803b130 02e61693 ...........0.... 8e1180 10921121 1c701200 0a010200 03240204 ...!.p.......$.. 8e1190 24096c10 0e0f1600 c0d11c00 0b0de331 $.l............1 8e11a0 0d02300f 00309d19 0d01309f 1a9d1b0f ..0..0....0..... 8e11b0 03308dc0 9f12df10 8ec10fd0 008d198e .0.............. 8e11c0 1a8f1b0d 02310e00 310f0131 8c121000 .....1..1..1.... 8e11d0 0c1d000a 0c03310d 000200d0 090d3600 ......1.......6. 8e11e0 d10f0000 6c1004c1 5272533b 18000da8 ....l...RrS;.... 8e11f0 28288000 17000e6f 85310d28 1108770c ((.....o.1.(..w. 8e1200 18000f26 7224c83e 23762424 7625c020 ...&r$.>#v$$v%. 8e1210 08690c09 6239d10f 28762422 7625086a .i..b9..(v$"v%.j 8e1220 0cc0200a 6239d10f c020d10f c020d10f .. .b9... ... .. 8e1230 6c1004da 20db30dc 205bffea d2a0d10f l... .0. [...... 8e1240 02d21621 1c909214 12000894 16951702 ...!............ 8e1250 e6310102 00c04021 1c706c10 0e0f2600 .1....@!.pl...&. 8e1260 0fe2300c e4301d00 100cff01 0dff010e ..0..0.......... 8e1270 033064f0 5e9e120d 02300e00 309d190d .0d.^....0..0... 8e1280 01302e16 0a2d160b 0f0c060f cc010ce3 .0...-.......... 8e1290 311d000e c1ef0ccf 040cef0c 0f0f062f 1............../ 8e12a0 fc120dfc 0b8dc02e c201011f 020fd000 ................ 8e12b0 0fe2300c e4301d00 100cff01 0dff0165 ..0..0.........e 8e12c0 ffc58d19 8e1a8f1b 0d02310e 00310f01 ..........1..1.. 8e12d0 312e1202 1000111d 000a0e03 310d0002 1...........1... 8e12e0 00d0090e 3600d10f 82548456 85570123 ....6....T.V.W.# 8e12f0 00000000 02d31621 1c909214 12000894 .......!........ 8e1300 16951702 e6310102 00c04021 1c706c10 .....1....@!.pl. 8e1310 0e0f3600 0fe2300c e4301d00 120cff01 ..6...0..0...... 8e1320 0dff010e 033064f0 5e9e120d 02300e00 .....0d.^....0.. 8e1330 309d190d 01302e16 0a2d160b 0f0c060f 0....0...-...... 8e1340 cc010ce3 311d000e c1ef0ccf 040cef0c ....1........... 8e1350 0f0f062f fc120dfc 0b8dc02e c201011f .../............ 8e1360 020fd000 0fe2300c e4301d00 120cff01 ......0..0...... 8e1370 0dff0165 ffc58d19 8e1a8f1b 0d02310e ...e..........1. 8e1380 00310f01 312e1202 1000131d 000a0e03 .1..1........... 8e1390 310d0002 00d009d1 0f825484 56855701 1.........T.V.W. 8e13a0 33000000 6c1006da 101b0014 c1c05815 3...l.........X. 8e13b0 edc02016 0015d410 13001615 00171700 .. ............. 8e13c0 1804280a 88800c02 00283680 0c020029 ..(......(6....) 8e13d0 32807981 17287216 1a00190b 80000c02 2.y..(r......... 8e13e0 0029627d 0599020c 02002966 7db12202 .)b}......)f}.". 8e13f0 024f6924 cbd10f00 6c10162a 1c10db20 .Oi$....l..*... 8e1400 2c0a8058 15d80dee 309d130b e8301a00 ,..X....0....0.. 8e1410 1ade10c0 90180018 9b1c8c20 2882129c ........... (... 8e1420 1299110b 8000d10f 6c100469 280e8830 ........l..i(..0 8e1430 8a31293a 4c698404 79a111c8 ae180018 .1):Li..y....... 8e1440 2882161a 001b0b80 00c020d1 0fc021d1 (......... ...!. 8e1450 0f000000 6c100402 26000202 43d10f00 ....l...&...C... 8e1460 6c100402 0600d10f 6c1004cc 215bfffc l.......l...![.. 8e1470 d10f0000 6c101613 00182832 121a001c ....l.....(2.... 8e1480 0b80002a 1c10db20 2c0a8058 15b60aee ...*... ,..X.... 8e1490 309a1389 209912d2 10581428 0119022d 0... ....X.(...- 8e14a0 daf82b0a 056dba20 ad2e2ce2 7e2c9610 ..+..m. ..,.~,.. 8e14b0 2ae27f2a 96112fe2 802f9612 2ee2812e *..*../../...... 8e14c0 9613c8c3 299c10d2 a0883bda 100b8000 ....).....;..... 8e14d0 d10f0000 6c10162a 1c10db20 2c0a8058 ....l..*... ,..X 8e14e0 15a10dee 309d130b e8301a00 1dde10c0 ....0....0...... 8e14f0 90130018 9b1c8c20 2832129c 1299110b ....... (2...... 8e1500 80000bb1 300cb230 0db3300e b4302832 ....0..0..0..0(2 8e1510 121a001e 0b80001d 00151a00 1f0c0200 ................ 8e1520 2bd27d0c 02002cd2 7e0c0200 2832122d +.}...,.~...(2.- 8e1530 d27f0b80 00883ada 100b8000 2832590b ......:.....(2Y. 8e1540 800063ff fc000000 6c100418 0018888e ..c.....l....... 8e1550 0b8000c8 ae68a105 68a21069 a30bda20 .....h..h..i... 8e1560 580775d1 0fda2058 088fd10f da205812 X.u... X..... X. 8e1570 9ad10f00 6c1004c0 a0130018 12002028 ....l......... ( 8e1580 3a4c0339 026d8a04 2a9400b1 99140021 :L.9.m..*......! 8e1590 8b20c8b3 8a210bb0 00b82274 29f11c00 . ...!...."t)... 8e15a0 271a0028 1d00261e 00251f00 241b0022 '..(..&..%..$.." 8e15b0 18002319 00299930 98bd9fbe 9ebf2db6 ..#..).0......-. 8e15c0 109ab99c b8c0a91b 002a5813 5f12002b .........*X._..+ 8e15d0 c0a3db20 58135cc0 a0db2058 135bc0a2 ... X.\... X.[.. 8e15e0 db205813 59c0a8db 20581357 c0acdb20 . X.Y... X.W... 8e15f0 581355c0 addb2058 1354db20 c0a65813 X.U... X.T. ..X. 8e1600 52d10f00 6c100418 00182882 47da200b R...l.....(.G. . 8e1610 8000d10f 6c1004c2 30150015 26fa000c ....l...0...&... 8e1620 02002252 7d062201 0322020c 0200c133 .."R}."..".....3 8e1630 14002c22 567dc020 db40da20 5bfefcb1 ..,"V}. .@. [... 8e1640 227329f3 c2a10c02 0029527d 0699010a "s)......)R}.... 8e1650 99020c02 00180018 28824629 567d0b80 ........(.F)V}.. 8e1660 00c2b20c 02002a52 7d06aa01 0baa020c ......*R}....... 8e1670 02002a56 7d5bff7a d10f0000 6c100412 ..*V}[.z....l... 8e1680 00182822 381a002d 0b800028 22130b80 ..("8..-...("... 8e1690 00282211 0b80001a 002e2822 121b002f .(".......(".../ 8e16a0 2c2a020b 80002822 5f0b8000 d10f0000 ,*....("_....... 8e16b0 6c100615 0018da30 285260c0 b1dc100b l......0(R`..... 8e16c0 8000da30 2b1100dc 20285260 0b1b460b ...0+... (R`..F. 8e16d0 4b360b0b 4f2b1500 0b800028 523b2a1a K6..O+.....(R;*. 8e16e0 f40b8000 d10f0000 6c1006c0 a09a1012 ........l....... 8e16f0 001523fa 00c0ac0c 02002922 7d039901 ..#.......)"}... 8e1700 0a99020c 02001800 1829267d 2a0a8028 .........)&}*..( 8e1710 8260c0b2 dc100b80 001c0030 8b107cb9 .`.........0..|. 8e1720 67c1860c 02002f22 7d03ff01 08ff020c g...../"}....... 8e1730 02002f26 7d1e0031 0c02002d 227d0edd ../&}..1...-"}.. 8e1740 020c0200 2d267d1a 00032b0a 80c0c25b ....-&}...+....[ 8e1750 ffd81a00 322b0a82 c1c05bff d51a0033 ....2+....[....3 8e1760 2b0a92c0 c65bffd2 1a00342b 0a98c0cc +....[....4+.... 8e1770 5bffcf1a 00352b0a a4c1c05b ffcd1a00 [....5+....[.... 8e1780 362b0ab4 c0c85bff cad10f00 6c1004c0 6+....[.....l... 8e1790 b21d0037 19003912 00380c02 002f928a ...7..9..8.../.. 8e17a0 0c02001c 003a2a92 800cfc01 0c02002c .....:*........, 8e17b0 968a0bab 020c0200 2b96800c 02002892 ........+.....(. 8e17c0 810c0200 2a96800c 02002f96 8ac1e00c ....*...../..... 8e17d0 02002cd2 860ecc02 0c02001b 00182cd6 ..,...........,. 8e17e0 862bb212 7e87661a 003b0bb0 00c7ac1b .+..~.f..;...... 8e17f0 003c0c02 00190032 1e003d2b b2800a9f .<.....2..=+.... 8e1800 010c0200 9ef0b49d 1c003e0a dd010c02 ..........>..... 8e1810 009cd001 0404b89c 0bbb180a cc010c02 ................ 8e1820 009bc0bc 99c78f0a 99010c02 009890c0 ................ 8e1830 f00c0200 2f26801d 003f0c02 002d2681 ..../&...?...-&. 8e1840 1e00310e dd020c02 002d2681 d10f1a00 ..1......-&..... 8e1850 400bb000 c0c10c02 002c2680 d10f0000 @........,&..... 8e1860 6c100412 00181a00 15c0ce2d fa000c02 l..........-.... 8e1870 002ba27d 0dbb010c bb020c02 002ba67d .+.}.........+.} 8e1880 1900410c 02002822 1629a67c 1a00420b ..A...(".).|..B. 8e1890 80002822 1d0b8000 63fff600 6c10041a ..("....c...l... 8e18a0 00151200 18190043 0c020028 221629a6 .......C...(".). 8e18b0 7c1a0042 0b800028 227bc0a0 0b800028 |..B...("{.....( 8e18c0 22170b80 0063ffee 6c100413 00371b00 "....c..l....7.. 8e18d0 44297a80 c0a02ab5 000c0200 28328409 D)z...*.....(2.. 8e18e0 88020c02 00283684 c0420c02 00223286 .....(6..B..."2. 8e18f0 0422020c 02002236 86d10f00 6c10085b ."...."6....l..[ 8e1900 ff1dc0a1 12001524 fa000c02 0029227d .......$.....)"} 8e1910 0499010a 99020c02 0029267d 1800450c .........)&}..E. 8e1920 02002826 7c5bff55 5bff3a13 00182832 ..(&|[.U[.:...(2 8e1930 5a0b8000 28325e0b 8000d5a0 c0b20c02 Z...(2^......... 8e1940 0029227d 0499010b 99020c02 00883e29 .)"}..........>) 8e1950 267d0b80 002cea0f 190039c1 700c0200 &}...,....9.p... 8e1960 2b928a0c bb010c02 002b968a 69a3231f +........+..i.#. 8e1970 00460c02 002e928a 0fee010c 02002e96 .F.............. 8e1980 8a0c0200 2d928a07 dd020c02 002d968a ....-........-.. 8e1990 600027cd a32a1a00 0c020028 928a0a88 `.'..*.....(.... 8e19a0 020c0200 28968a60 0010c4c0 0c02002b ....(..`.......+ 8e19b0 928a0cbb 020c0200 2b968ac0 a50c0200 ........+....... 8e19c0 29227d04 99010a99 020c0200 2832161a )"}.........(2.. 8e19d0 00472926 7d0b8000 5bfe72c0 a60c0200 .G)&}...[.r..... 8e19e0 29227d04 99010a99 020c0200 2832161a )"}.........(2.. 8e19f0 00482926 7d0b8000 2832620b 8000d6a0 .H)&}...(2b..... 8e1a00 cea0c0a7 0c020029 227d0499 010a9902 .......)"}...... 8e1a10 0c020028 32552a0a fc29267d 0b8000ce ...(2U*..)&}.... 8e1a20 a76001e0 2bacfe6f b2026001 b469a14e .`..+..o..`..i.N 8e1a30 28325f0b 80001b00 4929b100 b1990909 (2_.....I)...... 8e1a40 4f29b500 6e92b060 00141b00 4a0c0200 O)..n..`....J... 8e1a50 2a227d0b aa020c02 002a267d 60001f5b *"}......*&}`..[ 8e1a60 ff9ac1a4 0c020029 227d0499 010a9902 .......)"}...... 8e1a70 0c020028 32161a00 4b29267d 0b8000c0 ...(2...K)&}.... 8e1a80 b90c0200 2a227d04 aa010baa 020c0200 ....*"}......... 8e1a90 2a267d2b 32166951 081a004c 0bb00060 *&}+2.iQ...L...` 8e1aa0 00116953 081a004d 0bb00060 00051a00 ..iS...M...`.... 8e1ab0 4e0bb000 c1a50c02 0029227d 0499010a N........)"}.... 8e1ac0 99020c02 00883e29 267d0b80 00d5a028 ......>)&}.....( 8e1ad0 32121a00 4fdb500b 8000cf5f c0ab0c02 2...O.P...._.... 8e1ae0 0029227d 0499010a 99020c02 0029267d .)"}.........)&} 8e1af0 cc6a2832 5f0b8000 5bfefb5b ff732832 .j(2_...[..[.s(2 8e1b00 1c0b8000 c0ad0c02 0029227d 0499010a .........)"}.... 8e1b10 99020c02 0029267d 5bff51d1 0f695302 .....)&}[.Q..iS. 8e1b20 60008669 51026000 80695249 2832511a `..iQ.`..iRI(2Q. 8e1b30 00501b00 510b8000 2832a02a 0a640b80 .P..Q...(2.*.d.. 8e1b40 002832a5 2a0a640b 80002832 121a0052 .(2.*.d...(2...R 8e1b50 0b800028 32121a00 530b8000 581127c0 ...(2...S...X.'. 8e1b60 a0581166 da105811 9565a073 8a1264a0 .X.f..X..e.s..d. 8e1b70 6e0ba000 d10f6955 66c0aa0c 02002922 n.....iUf.....)" 8e1b80 7d049901 0a99020c 02002832 161a0054 }.........(2...T 8e1b90 29267d0b 80001a00 37c0b30c 02002ba6 )&}.....7.....+. 8e1ba0 820c0200 27a68363 fffc2832 511a0050 ....'..c..(2Q..P 8e1bb0 1b00510b 80002832 a02a0a64 0b800028 ..Q...(2.*.d...( 8e1bc0 32a52a0a 640b8000 2832121a 00520b80 2.*.d...(2...R.. 8e1bd0 005810a9 5bfeed28 32ca0b80 005bff2f .X..[..(2....[./ 8e1be0 d10f5bff 39c0a80c 02002922 7d049901 ..[.9.....)"}... 8e1bf0 0a99020c 02002832 161a0055 29267d0b ......(2...U)&}. 8e1c00 800063fe 795bff30 2832161a 00560b80 ..c.y[.0(2...V.. 8e1c10 0063fe6a 6c100419 0015c0a0 0c02002a .c.jl..........* 8e1c20 967d1800 570c0200 28967c5b ff34c020 .}..W...(.|[.4. 8e1c30 d10f0000 6c1004d5 20120058 93219520 ....l... ..X.!. 8e1c40 c020d10f 6c10041d 0058b33c 0c2c148a . ..l....X.<.,.. 8e1c50 d182d00e cb117ba3 0b02ce0a 0baf0c9f ......{......... 8e1c60 d19ed0d1 0f180018 2882121a 00590b80 ........(....Y.. 8e1c70 0063fffc 6c100413 00181200 58283212 .c..l.......X(2. 8e1c80 1a005a8b 200b8000 2832128b 211a005b ..Z. ...(2..!..[ 8e1c90 0b8000d1 0f000000 6c100418 005d1900 ........l....].. 8e1ca0 5c13005e 93229821 9920d10f 6c100413 \..^.".!. ..l... 8e1cb0 005f8332 83310343 5b581327 032228d3 ._.2.1.C[X.'."(. 8e1cc0 a0581325 03a80c72 83f6d10f 6c100458 .X.%...r....l..X 8e1cd0 001d1200 5f8220d1 0f000000 6c100412 ...._. .....l... 8e1ce0 005f8222 8221d10f 6c1004d1 0f000000 ._.".!..l....... 8e1cf0 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... 8e1d00 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... 8e1d10 6c1004c0 60190060 1a006217 0061c033 l...`..`..b..a.3 8e1d20 d5a06d3a 108851b1 66a78472 4b04a98b ..m:..Q.f..rK... 8e1d30 7b230825 5c140665 0a0a550a 18005f95 {#.%\..e..U..._. 8e1d40 82d10f00 6c100458 13041500 632b3ae8 ....l..X....c+:. 8e1d50 8250d3a0 245ce802 aa0c5813 3c88400a .P..$\....X.<.@. 8e1d60 32399250 aa889840 d10f0000 6c100418 29.P...@....l... 8e1d70 006b1900 6a1a0069 1b00681c 00671d00 .k..j..i..h..g.. 8e1d80 661e0065 1f006413 006c9328 98279922 f..e..d..l.(.'." 8e1d90 9a269b25 9c249d23 9e219f20 d10f0000 .&.%.$.#.!. .... 8e1da0 6c10041a 006d1500 6ec0700c 02002952 l....m..n.p...)R 8e1db0 950a9902 0c020029 56950c02 00275698 .......)V....'V. 8e1dc0 0c020027 5699280a c00c0200 2856930c ...'V.(.....(V.. 8e1dd0 02001600 6f275692 0e2411a6 44c76c06 ....o'V..$..D.l. 8e1de0 44010c02 0093400c 02002b52 9f0b0b51 D.....@...+R...Q 8e1df0 65bff3c0 21d10f00 6c100418 006f0e24 e...!...l....o.$ 8e1e00 11a844c7 8c084401 0c020084 4012006e ..D...D.....@..n 8e1e10 0c020025 229f0509 51659ff3 253500c0 ...%"...Qe..%5.. 8e1e20 21d10f00 6c100412 00442321 00282101 !...l....D#!.(!. 8e1e30 c93ccd86 14006e0c 02002442 8704044f .<....n...$B...O 8e1e40 774710c0 22d10fc0 81282501 c020d10f wG.."....(%.. .. 8e1e50 c021d10f 7647efc0 23d10f00 6c100418 .!..vG..#...l... 8e1e60 00442881 001a0070 160018cb 8372a33e .D(....p.....r.> 8e1e70 a32575a2 39752a25 c030da20 043b092b .%u.9u*%.0. .;.+ 8e1e80 b1005bff c768a108 2862161a 00710b80 ..[..h..(b...q.. 8e1e90 00b122b1 3303034f 02024f72 59dbc020 ..".3..O..OrY.. 8e1ea0 d10f2862 161a0072 0b8000c0 21d10f28 ..(b...r....!..( 8e1eb0 62161a00 730b8000 c024d10f 6c100418 b...s....$..l... 8e1ec0 00442881 001a0070 ca8172a3 23a32373 .D(....p..r.#.#s 8e1ed0 a21e732a 13da20db 405bffc7 b122b248 ..s*.. .@[...".H 8e1ee0 0a843902 024f7239 ebc020d1 0fc021d1 ..9..Or9.. ...!. 8e1ef0 0f180018 2882161a 00740b80 00c024d1 ....(....t....$. 8e1f00 0f000000 6c100629 3ae81500 44140018 ....l..):...D... 8e1f10 c0700c02 0099100c 02002851 009711b0 .p........(Q.... 8e1f20 886481ea c4d01200 1523fa00 1600370c .d.......#....7. 8e1f30 02002c22 7d03cc01 0dcc020c 02002c26 ..,"}.........,& 8e1f40 7dc4c30c 02002b22 7d03bb01 0cbb020c }.....+"}....... 8e1f50 02002b26 7d2a5ac0 0c020029 62840a99 ..+&}*Z....)b... 8e1f60 020c0200 28423bc1 a4296684 0b8000c4 ....(B;..)f..... 8e1f70 c40c0200 2b227d03 bb010cbb 020c0200 ....+"}......... 8e1f80 2b267d2a aa3f0c02 00296284 0a99010c +&}*.?...)b..... 8e1f90 02002842 3b2a1af4 2966840b 8000c4c9 ..(B;*..)f...... 8e1fa0 0c02002b 227d03bb 010cbb02 0c02002b ...+"}.........+ 8e1fb0 267dc0a2 0c020029 62860a99 020c0200 &}.....)b....... 8e1fc0 28423bc1 a4296686 0b8000c7 eb160076 (B;..)f........v 8e1fd0 1a00750c 02002d62 860edd01 0c02002d ..u...-b.......- 8e1fe0 6686c5d0 0c02002c 227d03cc 010dcc02 f......,"}...... 8e1ff0 0c02002c 267d1b00 770c0200 29a2870b ...,&}..w...)... 8e2000 99010c02 0028423b 29a6872a 0a640b80 .....(B;)..*.d.. 8e2010 00c4d51a 00780c02 002c227d 03cc010d .....x...,"}.... 8e2020 cc020c02 002c267d c0b60c02 0029a281 .....,&}.....).. 8e2030 0b99020c 02002842 3b29a681 c1a40b80 ......(B;)...... 8e2040 00c0a40c 02002962 860a9902 0c020028 ......)b.......( 8e2050 423bc1a4 2966860b 8000c4e6 0c02002d B;..)f.........- 8e2060 227d03dd 010edd02 0c02002d 267d1c00 "}.........-&}.. 8e2070 790c0200 2b62800c bb020c02 002b6680 y...+b.......+f. 8e2080 0c02008e 10b0ef0c 02009f10 6be10260 ............k..` 8e2090 00b80c02 00296286 0c020099 110c0200 .....)b......... 8e20a0 88110808 406580a2 28423bc1 a40b8000 ....@e..(B;..... 8e20b0 63ffccc4 c716003c 0c02002b 227d03bb c......<...+"}.. 8e20c0 010cbb02 0c02002b 267d2a1a 160c0200 .......+&}*..... 8e20d0 2962810a 99020c02 0028423b c1a42966 )b.......(B;..)f 8e20e0 810b8000 c4880c02 002f227d 03ff0108 ........./"}.... 8e20f0 ff020c02 002f267d 1e007a0c 02002d62 ...../&}..z...-b 8e2100 840edd02 0c02002d 6684c0c1 2c550012 .......-f...,U.. 8e2110 007b0c02 00222281 12006e13 007c0c02 .{...""...n..|.. 8e2120 002a229f 7a300427 5500d10f 0a0851c8 .*".z0.'U.....Q. 8e2130 8a28423b 2a0a640b 800063ff e00a094f .(B;*.d...c....O 8e2140 ca96c0a1 c0b05bff 16d10f0c 02008a10 ......[......... 8e2150 6aa10263 ff5c1c00 7d0c0200 2b227d0c j..c.\..}...+"}. 8e2160 bb020c02 002b267d d10fd10f 6c100418 .....+&}....l... 8e2170 00801900 7f1a007e 13008193 23982299 .......~....#.". 8e2180 219a20d1 0f000000 6c1004d1 0f000000 !. .....l....... 8e2190 6c100419 0082c0a0 c1839a90 299c101a l...........)... 8e21a0 00836d8a 039a90b8 99180018 28824ec0 ..m.........(.N. 8e21b0 a00b8000 d10f0000 6c100413 00182832 ........l.....(2 8e21c0 480b8000 28324dd4 a00b8000 28324ec7 H...(2M.....(2N. 8e21d0 df1c0082 002104c0 9100991a 8bc00d99 .....!.......... 8e21e0 030a9a01 0b9b019b c00b8000 283249da ............(2I. 8e21f0 400b8000 d10f0000 6c100413 00182832 @.......l.....(2 8e2200 480b8000 28324dd4 a00b8000 28324e1c H...(2M.....(2N. 8e2210 00820021 04c0918b c000991a 0a9a020b ...!............ 8e2220 9b029bc0 0b800028 3249da40 0b8000d1 .......(2I.@.... 8e2230 0f000000 6c10041a 0084c182 728b1d19 ....l.......r... 8e2240 00850c02 002d9000 0c02002c 90010c02 .....-.....,.... 8e2250 002b9002 0c020029 90030c02 00cd3c2e .+.....)......<. 8e2260 ac110c02 0029e000 0c020028 e0010c02 .....).....(.... 8e2270 002fe002 0c02002e e0030c02 00150018 ./.............. 8e2280 2852480b 80001900 86285249 09290b93 (RH......(RI.).. 8e2290 9094910b 8000d10f 6c10041a 0084c182 ........l....... 8e22a0 728b1d19 00870c02 002d9000 0c02002c r........-....., 8e22b0 90010c02 002b9002 0c020029 90030c02 .....+.....).... 8e22c0 001c0086 0c2c0b8b c0ceb12d ad012ddc .....,.....-..-. 8e22d0 7fb2dd0c 020028d0 000c0200 2fd0010c ......(...../... 8e22e0 02002ed0 020c0200 2dd0030c 02008ac1 ........-....... 8e22f0 0bb000d2 a0d10f00 6c100418 008b1900 ........l....... 8e2300 8a1a0089 1b008813 008c9325 98249926 ...........%.$.& 8e2310 9a219b20 d10f0000 6c1004d1 0f000000 .!. ....l....... 8e2320 6c100418 00901900 8f1a008e 1b008d13 l............... 8e2330 00919324 98239922 9a219b20 d10f0000 ...$.#.".!. .... 8e2340 6c100413 00371200 920c0200 223684d1 l....7......"6.. 8e2350 0f000000 6c1004d1 0f000000 6c100418 ....l.......l... 8e2360 00938c23 19009413 001808c8 0179890e ...#.........y.. 8e2370 da20883a 0c494c99 210b8000 6000171a . .:.IL.!...`... 8e2380 00952832 128b22dd 200b8000 da20883a ..(2..". .... .: 8e2390 c0909921 0b80001b 0037c0c3 0c02002c ...!.....7....., 8e23a0 b682c1a0 0c02002a b68363ff fc000000 .......*..c..... 8e23b0 6c100419 00968890 1a009765 805b9290 l..........e.[.. 8e23c0 9b208aa1 64a05213 00181a00 988b2228 . ..d.R......."( 8e23d0 32128c21 dd200b80 00283212 dbc01a00 2..!. ...(2..... 8e23e0 990b8000 2832121a 009a0b80 00c040c2 ....(2........@. 8e23f0 54040941 cc972832 121a009b 0b800028 T..A..(2.......( 8e2400 32121a00 9c8b200b 8000b422 b1447549 2..... ....".DuI 8e2410 df283212 1a009b0b 8000d10f 6c1004d1 .(2.........l... 8e2420 0f000000 6c1004d1 0f000000 6c100658 ....l.......l..X 8e2430 114a1800 2dd3a014 00180822 28600008 .J..-......"(`.. 8e2440 58114503 a90c729b 0a284215 da100b80 X.E...r..(B..... 8e2450 0064afeb 221000d1 0f000000 6c100413 .d..".......l... 8e2460 00370c02 00233285 c082c023 0324407c .7...#2....#.$@| 8e2470 37040482 39d10fd2 40d10f00 6c100412 7...9...@...l... 8e2480 009d2221 06d10f00 6c100418 00a41900 .."!....l....... 8e2490 a31a00a2 1b00a11c 00a01d00 9f1e009e ................ 8e24a0 1300a593 28982799 269a249b 239c229d ....(.'.&.$.#.". 8e24b0 219e20d1 0f000000 6c100413 00186929 !. .....l.....i) 8e24c0 11283214 c0ad0b80 00283214 c0aa0b80 .(2......(2..... 8e24d0 00d10fc0 9d792107 283214da 200b8000 .....y!.(2.. ... 8e24e0 d10f0000 6c100ecc 2ad810c3 60268400 ....l...*...`&.. 8e24f0 b1166000 1ed610da 20db4058 1168db40 ..`..... .@X.h.@ 8e2500 aa592990 00da2029 6400b166 58114fd2 .Y)... )d..fX.O. 8e2510 a065afe2 c0d0da10 7a61150a 6b0c0bb2 .e......za..k... 8e2520 026db909 b0662c60 002c3400 b1336000 .m...f,`.,4..3`. 8e2530 01c0202d 3400d10f 6c100ed9 50dd10da .. -4...l...P... 8e2540 40261611 221613c0 60c020c0 409d189a @&.."...`. .@... 8e2550 1b991a25 1213b133 273dff27 70ffc285 ...%...3'=.'p... 8e2560 6473c478 79152730 00c29db1 33797932 ds.xy.'0....3yy2 8e2570 273000c0 a1b1339a 1d60002a da700b50 '0....3..`.*.p.P 8e2580 00600019 c1c87bc2 04c2d42d 16118b1b .`....{....-.... 8e2590 2a1211ab aa2aadff 2aa0ff0b 5000b122 *....*..*...P.." 8e25a0 63ffb2c0 b09b1dc3 c07c790b 273000c0 c........|y.'0.. 8e25b0 d1b1339d 1e600003 c0e09e1e 2f7cd00f ..3..`....../|.. 8e25c0 0f476ff9 23c08098 1c891c09 9b0a07bb .Go.#........... 8e25d0 0929bcd0 273000b1 33991c2a 7cd00a0a .)..'0..3..*|... 8e25e0 476ea9e4 991c6000 03c0c09c 1c290a6c Gn....`......).l 8e25f0 c2de7d79 21273000 8f1eb133 2e7cd0b1 ..}y!'0....3.|.. 8e2600 ff9f1e0e 0e476fe9 0d273000 b133287c .....Go..'0..3(| 8e2610 d0080847 6e89f1c4 c2797916 273000c0 ...Gn....yy.'0.. 8e2620 b1b1332a 7c9465a2 05273000 c0a1b133 ..3*|.e..'0....3 8e2630 6001fdc0 a0c0b07c 79026000 efc4d47d `......|y.`....} 8e2640 79026000 e7c5e57e 79026000 dfc5f87f y.`....~y.`..... 8e2650 79026000 d7280a62 78790260 00ce290a y.`..(.bxy.`..). 8e2660 64797902 6000c52c 0a707c79 026000ba dyy.`..,.p|y.`.. 8e2670 2d0a757d 79026000 b32e0a78 7e790260 -.u}y.`....x~y.` 8e2680 00aac0f0 2f161060 002cc188 7a8204c2 ..../..`.,..z... 8e2690 94291611 8a1b2412 11c4842b 0a64aa44 .)....$....+.d.D 8e26a0 244dff24 423f7b71 027879d5 674fd204 $M.$B?{q.xy.gO.. 8e26b0 0406c29d 2916102a 0a627a7a 02600086 ....)..*.bzz.`.. 8e26c0 2b0a6277 b2026000 ad2c0a73 7c7a0260 +.bw..`..,.s|z.` 8e26d0 017a2d0a 7377d202 6001a12e 0a787e7a .z-.sw..`....x~z 8e26e0 02600256 2f0a7877 fa026001 a9287cbc .`.V/.xw..`..(|. 8e26f0 64823629 7cab6492 302a7ca8 64a2672b d.6)|.d.0*|.d.g+ 8e2700 7c9c64b2 242c0a70 7c710e2d 7c8b64d2 |.d.$,.p|q.-|.d. 8e2710 182e0a78 7e710260 008ada40 db10c1c0 ...x~q.`...@.... 8e2720 1d00a65b ff70d6a0 600079c0 b164a103 ...[.p..`.y..d.. 8e2730 281211c1 f8da80b4 88281611 78fa0263 (........(..x..c 8e2740 ff478a1a 63ff4ec4 9479721a c4a477aa .G..c.N..yr...w. 8e2750 9bc5b57b 7a026001 1ec5c577 ca8e2d7c ...{z.`....w..-| 8e2760 a865d132 63ff85c4 e27e7a02 600136c4 .e.2c....~z.`.6. 8e2770 f277fa02 600194c2 9ec0b1c3 c18d1cde .w..`........... 8e2780 10c2a0d6 d00da638 c0d0286c ff6d8a14 .......8..(l.m.. 8e2790 df9000d1 0400b81a b1dd0848 0108cf39 ...........H...9 8e27a0 2fe400b1 eed91099 188b1c8a 1e2d1210 /............-.. 8e27b0 06bb0cb0 bc0dcb39 9b1fc9a4 2c1210c8 .......9....,... 8e27c0 cadac00b 5000b122 c0d02d16 10c37060 ....P.."..-...p` 8e27d0 0001c270 8e1d891f cde9b199 600008da ...p........`... 8e27e0 700b5000 8919b122 8a1fb099 9919b0aa p.P...."........ 8e27f0 9a1f6b91 e92b1210 8718c8b5 dab00b50 ..k..+.........P 8e2800 00b122b1 6960000c 2a7000b1 770b5000 ..".i`..*p..w.P. 8e2810 291212b1 22b066b0 99291612 6b91e88c )...".f..)..k... 8e2820 1d971864 cd2f8d1f b1dd9d19 60008ac0 ...d./......`... 8e2830 a063fe02 64b10c2f 1211c1b8 c1e8daf0 .c..d../........ 8e2840 b4ff2f16 117fe27d 8a1a63fe 48280a64 ../....}..c.H(.d 8e2850 78723d29 0a647792 0263fe90 2a0a707a xr=).dw..c..*.pz 8e2860 7934c3a0 0b50002a 0a780b50 00c0b8c0 y4...P.*.x.P.... 8e2870 c19c1e9b 1c63fe74 c5d37d79 192f1211 .....c.t..}y./.. 8e2880 c1e8dbf0 b4ff2f16 117fe246 891a6000 ....../....F..`. 8e2890 4d280a63 787179c2 a50b5000 da700b50 M(.cxqy...P..p.P 8e28a0 00b22263 ff02c295 7979ebc2 a50b5000 .."c....yy....P. 8e28b0 63fef5c2 a00b5000 b1228a19 b0aa9a19 c.....P.."...... 8e28c0 6ba1ef63 fc8f7ab2 04c2c42c 16118a1b k..c..z....,.... 8e28d0 63fdc2c1 d87bd204 c2e42e16 11891b2f c....{........./ 8e28e0 1211a9ff 2ffdff2f f23f9f18 ccf31800 ..../../.?...... 8e28f0 a7981889 18299000 c0606490 7a89182a .....)...`d.z..* 8e2900 9001b166 b19965af f563fe9c c4b37b79 ...f..e..c....{y 8e2910 852d1211 c1c8dbd0 b4dd2d16 117dca02 .-........-..}.. 8e2920 63fc608b 1a63fc67 d10fda40 db10c0ca c.`..c.g...@.... 8e2930 1d00a85b feecd6a0 63fe692e 7c8b65ef ...[....c.i.|.e. 8e2940 5563fda8 281211c1 f8da80b4 88281611 Uc..(........(.. 8e2950 78f2048a 1a63fd3d c1987a92 04c2a42a x....c.=..z....* 8e2960 16118a1b 63fd2eda 40db10c1 c01d00a9 ....c...@....... 8e2970 5bfedcd6 a063fe2c c06063fe 2b000000 [....c.,.`c.+... 8e2980 6c100adb 20921494 16951796 189719de l... ........... 8e2990 101a00aa 93152f1c 302d1c10 9d119f10 ....../.0-...... 8e29a0 c0349312 8aa08ce0 8de18ee2 5bfee2d2 .4..........[... 8e29b0 a0d10f00 6c1004d1 0f000000 6c100418 ....l.......l... 8e29c0 00ab1300 ac932198 20d10f00 6c100418 ......!. ...l... 8e29d0 00182b21 018c2128 82128d22 1a00ad0b ..+!..!(...".... 8e29e0 8000d10f 6c100419 00ae8321 1800af14 ....l......!.... 8e29f0 0018a939 798b0e28 4212db30 1a00b00b ...9y..(B..0.... 8e2a00 8000c020 d10fda20 5bfff01a 00b12b21 ... ... [.....+! 8e2a10 01284212 bc2cdd30 0b800029 2101c0a0 .(B..,.0...)!... 8e2a20 ca95aa3c aa2b2fb0 0c2fc403 2eb00d2e ...<.+/../...... 8e2a30 c4022db0 0e2dc401 2bb00f2b c4002b21 ..-..-..+..+..+! 8e2a40 01b4aa0a 0a4f7ba3 d8c021d1 0f000000 .....O{...!..... 8e2a50 6c10041a 00b22aa1 01022b02 290a000a l.....*...+.)... 8e2a60 1a4f6aa1 0c6da906 8cb0b4bb 09c90360 .Oj..m.........` 8e2a70 0001c090 cd90da20 83225bff dac8a71d ....... ."[..... 8e2a80 00b3c021 93d0d10f c020d10f 6c100418 ...!..... ..l... 8e2a90 00b38880 0b8000d1 0f000000 6c100613 ............l... 8e2aa0 0018da20 283260c0 b2dc100b 8000cfad ... (2`......... 8e2ab0 1a00b428 32122b11 002c1101 0b800019 ...(2.+..,...... 8e2ac0 00b22a11 002b1101 2a95002b 9501c9bd ..*..+..*..+.... 8e2ad0 1c0070aa bd7ac316 7dc21328 32601c00 ..p..z..}..(2`.. 8e2ae0 b50b8000 2832571a 00b50b80 00cca2c0 ....(2W......... 8e2af0 20d10f28 32560b80 00c021d1 0f000000 ..(2V....!..... 8e2b00 6c1004d1 0f000000 6c100418 00b81900 l.......l....... 8e2b10 b71a00b6 1300b993 23982299 219a20d1 ........#.".!. . 8e2b20 0f000000 6c1004d1 0f000000 6c100418 ....l.......l... 8e2b30 00be1900 bd1a00bc 1b00bb1c 00ba1300 ................ 8e2b40 bf932598 2499239a 229b219c 20d10f00 ..%.$.#.".!. ... 8e2b50 6c100413 00c0c020 9230d10f 6c100492 l...... .0..l... 8e2b60 40c05093 41954395 42d10f00 6c100483 @.P.A.C.B...l... 8e2b70 221500c0 c0816831 09845098 229250c8 ".....h1..P.".P. 8e2b80 409423d1 0f000000 6c100483 221700c0 @.#.....l..."... 8e2b90 69310785 70c060c0 40cc50d1 0f752906 i1..p.`.@.P..u). 8e2ba0 cc6d9470 60000dd6 50855365 5fee63ff .m.p`...P.Se_.c. 8e2bb0 e9885398 639422d1 0f000000 6c100413 ..S.c.".....l... 8e2bc0 00c08230 c040c052 c9249522 8a218923 ...0.@.R.$.".!.# 8e2bd0 88209930 94230b80 00942282 30652fe9 . .0.#....".0e/. 8e2be0 9430d10f 6c100418 00c41900 c31a00c2 .0..l........... 8e2bf0 1b00c113 00c59324 98239922 9a219b20 .......$.#.".!. 8e2c00 d10f0000 6c100493 23c05094 24952095 ....l...#.P.$. . 8e2c10 21d10f00 6c100458 0f501c00 c61800c7 !...l..X.P...... 8e2c20 89c0c0b0 083828c9 92a8aa8d 910dad0c .....8(......... 8e2c30 6ad113db 90899065 9ff06000 091e00c7 j......e..`..... 8e2c40 0e3e28c0 b0aeaa99 209a21c8 b292b0d1 .>(..... .!..... 8e2c50 0fdbc063 fff60000 6c100417 00c68570 ...c....l......p 8e2c60 c030c060 c85b7521 0bd65085 50655ff5 .0.`.[u!..P.Pe_. 8e2c70 600009c0 60c85484 50c86694 60932093 `...`.T.P.f.`. . 8e2c80 22d10fd6 7063fff2 6c100413 00c6c020 "...pc..l...... 8e2c90 9230d10f 6c100412 00c6c030 8820c98d .0..l......0. .. 8e2ca0 580f2d8c 2089c10a 990c6b91 11dac088 X.-. .....k..... 8e2cb0 c389c099 2093c08b c40b8000 63ffdcd1 .... .......c... 8e2cc0 0f000000 6c100418 00cb1900 ca1a00c9 ....l........... 8e2cd0 1b00c813 00cc9324 98239922 9a219b20 .......$.#.".!. 8e2ce0 d10f0000 6c100412 00151400 cd0c0200 ....l........... 8e2cf0 23227f19 00ce7431 110c0200 28227fc0 #"....t1....(".. 8e2d00 52c02309 880c0852 39d10fc0 21d10f00 R.#....R9...!... 8e2d10 6c100413 0037c083 0c020028 3682221a l....7.....(6.". 8e2d20 000c0200 22368363 fffc0000 6c100415 ...."6.c....l... 8e2d30 00371600 cf68210f 6922166f 34139361 .7...h!.i".o4..a 8e2d40 0c020023 5682d10f c8369362 0c020023 ...#V....6.b...# 8e2d50 5683d10f 6c100619 00cfc081 db10c0c2 V...l........... 8e2d60 c0d39d11 9c108ab0 2895008b b15bffef ........(....[.. 8e2d70 d10f0000 6c10061e 00cfdb10 c0c2c0d0 ....l........... 8e2d80 9d119c10 8ab02de5 008bb15b ffe8d10f ......-....[.... 8e2d90 6c100612 00cf1800 d0c09029 25009921 l..........)%..! 8e2da0 98225bff ecdb10c0 c18d229d 119c108a ."[......."..... 8e2db0 b08bb15b ffded10f 6c100414 00cf2241 ...[....l....."A 8e2dc0 00180037 8342c824 0c020023 8683d10f ...7.B.$...#.... 8e2dd0 6c100418 00d61900 d51a00d4 1b00d31c l............... 8e2de0 00d21d00 d11300d7 93269825 99249a23 .........&.%.$.# 8e2df0 9b229c21 9d20d10f 6c100412 00d8c030 .".!. ..l......0 8e2e00 0c020023 2681c093 0c020018 00182882 ...#&.........(. 8e2e10 3d292683 0b80001b 00d95800 401900da =)&.......X.@... 8e2e20 0c020023 2681c0a7 0c02002a 26822395 ...#&......*&.#. 8e2e30 04239505 d10f0000 6c1004d1 0f000000 .#......l....... 8e2e40 6c1004c0 20d10f00 6c100413 00db1400 l... ...l....... 8e2e50 d86d3a08 0c020028 42857a8f ff0c0200 .m:....(B.z..... 8e2e60 1900db22 46806d9a 080c0200 2a428579 ..."F.m.....*B.y 8e2e70 afffd10f 6c100413 00db1400 d86d3a08 ....l........m:. 8e2e80 0c020028 42857a8f ff0c0200 1900db22 ...(B.z........" 8e2e90 46806d9a 080c0200 2a428579 afffd10f F.m.....*B.y.... 8e2ea0 6c100414 00d80c02 00234285 7f3f03c0 l........#B..?.. 8e2eb0 20d10f0c 02002842 80282400 c021d10f .....(B.($..!.. 8e2ec0 6c1004d1 0f000000 6c100412 00da8223 l.......l......# 8e2ed0 d10f0000 6c100418 00da2881 00c88e2a ....l.....(....* 8e2ee0 2000c8a9 5bffd82a 2001b122 65aff4d1 ...[..* .."e... 8e2ef0 0f000000 6c100417 00da1400 dcc08125 ....l..........% 8e2f00 7100c060 83717521 0f1200dd 05863826 q..`.qu!......8& 8e2f10 75000642 389231d1 0fd10f00 6c100414 u..B8.1.....l... 8e2f20 00d8db30 0c020023 4283280a 80083802 ...0...#B.(...8. 8e2f30 0c020002 4a142846 83580ec4 0a8b140c ....J.(F.X...... 8e2f40 02002b46 810a0a47 0c02002a 468029fa ..+F...G...*F.). 8e2f50 7f093901 0c020029 4683d10f 6c10041f ..9....)F...l... 8e2f60 00dac0e1 1400e318 00e21900 e11a00e0 ................ 8e2f70 1b00df1c 00dd1d00 de1300e4 93272ef5 .............'.. 8e2f80 0092f194 25982699 249a239b 229c219d ....%.&.$.#.".!. 8e2f90 20d10f00 6c10040c 02008220 d10f0000 ...l...... .... 8e2fa0 6c10040c 02009320 d10f0000 6c1004c9 l...... ....l... 8e2fb0 20682116 68220f68 23146824 0568250a h!.h".h#.h$.h%. 8e2fc0 63fffcc0 20d10fc0 22d10fc0 21d10fc0 c... ..."...!... 8e2fd0 23d10f00 6c1004c8 29b024c0 35c02604 #...l...).$.5.&. 8e2fe0 3238d10f c024d10f 6c1004c9 20682112 28...$..l... h!. 8e2ff0 68221324 2cfdc033 c0260432 38d10fc0 h".$,..3.&.28... 8e3000 20d10fc0 21d10fc0 22d10f00 6c10041a ...!..."...l... 8e3010 00e55bff e0c0b80b ab021a00 e55bffe0 ..[..........[.. 8e3020 d10f0000 6c100612 00182822 121a00e6 ....l.....(".... 8e3030 0b80001a 00e75bff d70c0200 9a100c02 ......[......... 8e3040 00891071 97ec2822 121a00e8 0b80001a ...q..("........ 8e3050 00e75bff d01300e9 0c02009a 100c0200 ..[............. 8e3060 89100399 010c0200 99101a00 e70c0200 ................ 8e3070 8b105bff cb28223b c0aa0b80 001a00e7 ..[..(";........ 8e3080 5bffc40c 02009a10 1a00ea0c 02008910 [............... 8e3090 0a99020c 02009910 1a00e70c 02008b10 ................ 8e30a0 5bffbf28 223bc0aa 0b80001a 00e75bff [..(";........[. 8e30b0 b90c0200 9a100c02 00891003 99010c02 ................ 8e30c0 0099101a 00e70c02 008b105b ffb52822 ...........[..(" 8e30d0 3bc0aa0b 8000d10f 6c100412 00182822 ;.......l.....(" 8e30e0 cc0b8000 2822cd0b 80002822 bdc0a4c0 ....("....(".... 8e30f0 b10b8000 2822bfc0 a0c0b10b 8000c0a0 ....(".......... 8e3100 2822c0c0 b22c6a80 0b8000d1 0f000000 ("...,j......... 8e3110 6c100412 00182822 cc0b8000 2822cd0b l.....("....(".. 8e3120 80002822 bdc0a4c0 b10b8000 2822bdc0 ..("........(".. 8e3130 a5c0b10b 80002822 bfc0a0c0 b10b8000 ......("........ 8e3140 2822bfc0 a1c0b10b 80002822 bfc0a2c0 ("........(".... 8e3150 b10b8000 2822bfc0 a3c0b10b 80001200 ....(".......... 8e3160 ebd10f00 6c100416 00182862 d2da300b ....l.....(b..0. 8e3170 8000d5a0 69a60a28 62121a00 ec0b8000 ....i..(b....... 8e3180 d10f2862 79db30da 200b8000 040b4fdc ..(by.0. .....O. 8e3190 a02862c0 da500c0c 4f0b8000 d10f0000 .(b..P..O....... 8e31a0 6c1004d1 0f000000 6c100414 00eb8932 l.......l......2 8e31b0 99428831 98418230 9240d10f 6c100414 .B.1.A.0.@..l... 8e31c0 00182842 d0da300b 80002842 c6da300b ..(B..0...(B..0. 8e31d0 8000c8a7 88208b22 0b8000d1 0f284212 ..... .".....(B. 8e31e0 1a00ed0b 8000d10f 6c1004da 30130018 ........l...0... 8e31f0 2832c70b 8000dba0 c8a98821 8c22c0a0 (2.........!.".. 8e3200 0b8000d1 0f283212 1a00ee0b 8000d10f .....(2......... 8e3210 6c100413 00eb1200 18600009 2822cfda l........`..(".. 8e3220 30c0b30b 80002822 c9c0a30b 800065af 0.....("......e. 8e3230 ea600009 2822cfda 30c0b20b 80002822 .`..("..0.....(" 8e3240 c9c0a20b 800065af ea600009 2822cfda ......e..`..(".. 8e3250 30c0b10b 80002822 c9c0a10b 800065af 0.....("......e. 8e3260 ea600009 2822ceda 30c0b50b 80002822 .`..("..0.....(" 8e3270 c5c0a50b 800065af ea2822c5 c0a40b80 ......e..("..... 8e3280 00c8ad28 22ceda30 c0b40b80 00c04160 ...("..0......A` 8e3290 0001c040 2822c9c0 a00b8000 c8aa2822 ...@("........(" 8e32a0 cfda30c0 b00b8000 c041654f cbd10f00 ..0......AeO.... 8e32b0 6c100412 00182822 d1da300b 800069a6 l.....("..0...i. 8e32c0 0c282212 1a00ef0b 8000c72f d10f2822 .("......../..(" 8e32d0 c3db400b 8000d2a0 d10f0000 6c100412 ..@.........l... 8e32e0 00182822 d2da300b 800068a6 072822c8 ..("..0...h..(". 8e32f0 db400b80 00d10f00 6c10046f 3503c021 .@......l..o5..! 8e3300 d10fc020 d10f0000 6c100424 6a80222a ... ....l..$j."* 8e3310 00034239 d10f0000 6c1004c0 20d10f00 ..B9....l... ... 8e3320 6c1004d1 0f000000 6c1004c0 20223400 l.......l... "4. 8e3330 224400d1 0f000000 6c100419 00f01300 "D......l....... 8e3340 f21a00f8 1b00f71c 00f61d00 f51e00f4 ................ 8e3350 1f00f318 00f19824 9a229b29 9c289d27 .......$.".).(.' 8e3360 9e269f2b 99209325 1800fa98 2a1300fb .&.+. .%....*... 8e3370 1900f999 21932cd1 0f000000 6c100418 ....!.,.....l... 8e3380 01021901 011a0100 1b00ff1c 00fe1d00 ................ 8e3390 f01e00fd 1f00fc13 01039327 98289926 ...........'.(.& 8e33a0 9a229b24 9c259d21 9e239f20 d10f0000 .".$.%.!.#. .... 8e33b0 6c100418 0104828d c0308421 948d9321 l........0.!...! 8e33c0 d10f0000 6c10048a 202da106 0c02002d ....l... -.....- 8e33d0 a50b8ca1 2ba105ac bb0c0200 18001828 ....+..........( 8e33e0 82b69ba7 2aac100b 80001c01 04db2088 ....*......... . 8e33f0 c1c0a08c c20b8000 d10f0000 6c100416 ............l... 8e3400 0104826e 836fc050 72390695 6e956f60 ...n.o.Pr9..n.o` 8e3410 00038821 986e9521 d10f0000 6c10041b ...!.n.!....l... 8e3420 010488b0 da208bb2 0b8000d1 0f000000 ..... .......... 8e3430 6c100619 01081200 181a0107 1b01061c l............... 8e3440 01059c10 9b119a12 28229699 13da100b ........("...... 8e3450 80002822 ae1a0109 0b800028 22af1a01 ..(".......("... 8e3460 0a0b8000 2822ae1a 010b0b80 002822ae ....(".......(". 8e3470 1a010c0b 80001901 04c02092 9e929f92 .......... ..... 8e3480 9dd10f00 6c1004d1 0f000000 6c100414 ....l.......l... 8e3490 01048930 99408831 98418232 9242d10f ...0.@.1.A.2.B.. 8e34a0 6c100414 00181301 04284212 1a010d8b l........(B..... 8e34b0 330b8000 2842121a 010e8b35 0b800015 3...(B.....5.... 8e34c0 010f1201 108a330c 02002a26 8089350c ......3...*&..5. 8e34d0 02002956 80284212 1a01118b 390b8000 ..)V.(B.....9... 8e34e0 89390c02 002926c0 2842121a 01128b3b .9...)&.(B.....; 8e34f0 0b8000c0 a18d3b0c 02009d50 1c0113c7 ......;....P.... 8e3500 bf0c0200 2bc6810c 02002a26 810c0200 ....+.....*&.... 8e3510 2a26c10c 02009a51 d10f0000 6c1004c0 *&.....Q....l... 8e3520 20d10f00 6c100414 0110c051 6921070c ...l......Qi!.. 8e3530 02002546 81d10f69 25070c02 002546c1 ..%F...i%....%F. 8e3540 d10f6926 0713010f 0c020095 31d10f00 ..i&........1... 8e3550 6c100469 21041201 09d10f69 25041201 l..i!......i%... 8e3560 0bd10f68 260263ff fc12010c d10f0000 ...h&.c......... 8e3570 6c100694 10160018 683423da 305bfff4 l.......h4#.0[.. 8e3580 d5a02862 79db30da 200b8000 dca02862 ..(by.0. .....(b 8e3590 b08b10da 500b8000 da305bff e2d10fc0 ....P....0[..... 8e35a0 c06a4150 c070d4c0 2862a60b 8000d5a0 .jAP.p..(b...... 8e35b0 cca163ff fc286279 da20db30 0b800028 ..c..(by. .0...( 8e35c0 6252dba0 c0a00b80 009a5128 6279db30 bR........Q(by.0 8e35d0 da200b80 002a5504 8b10b144 c0c09c50 . ...*U....D...P 8e35e0 2c55052c 55062c55 07c87097 50d75074 ,U.,U.,U..p.P.Pt 8e35f0 b9b56000 01d7c0c9 7e120104 2862a10b ..`.....~...(b.. 8e3600 8000c0b0 97a0dc70 892d8770 9bc0c890 .......p.-.p.... 8e3610 99a19a2d 657fe4d1 0fd10f00 6c100412 ...-e.......l... 8e3620 00186933 358a402d a1060c02 008ca12d ..i35.@-.......- 8e3630 a50b2ba1 05acbb0c 02002822 b69ba72a ..+.......("...* 8e3640 ac100b80 001a0104 8eae8baf cee594ae ................ 8e3650 28229794 af0b8000 60001528 22b1db40 ("......`..("..@ 8e3660 1a010a0b 80001a01 0fc0910c 020029a6 ..............). 8e3670 81c020d1 0f94b163 ffd50000 6c100469 .. ....c....l..i 8e3680 340c1a01 0489adc8 90994194 add10fda 4.........A..... 8e3690 305bffaf 18001828 82b4db40 0b8000da 0[.....(...@.... 8e36a0 305bffa0 d10f0000 6c1004d1 0f000000 0[......l....... 8e36b0 6c1004d1 0f000000 6c1004d1 0f000000 l.......l....... 8e36c0 6c1004b0 346e4603 c020d10f c021d10f l...4nF.. ...!.. 8e36d0 6c10046b 35066a33 03c420d1 0f226a40 l..k5.j3.. .."j@ 8e36e0 d10f0000 6c100419 01130c02 00180114 ....l........... 8e36f0 29928014 010b1200 18798802 60008f23 )........y..`..# 8e3700 4cdc6000 122822b3 da400b80 00dba088 L.`..("..@...... 8e3710 318c32c0 a00b8000 2822b7da 400b8000 1.2.....("..@... 8e3720 65afe114 010c6000 122822b3 da400b80 e.....`..("..@.. 8e3730 00dba088 318c32c0 a00b8000 2822b7da ....1.2.....(".. 8e3740 400b8000 65afe116 010a246c f82822b7 @...e.....$l.(". 8e3750 da600b80 00c9a228 22b5da60 0b800088 .`.....("..`.... 8e3760 308b320b 8000c051 600001c0 502822b7 0.2....Q`...P(". 8e3770 da400b80 00c9a328 22b3da40 0b8000db .@.....("..@.... 8e3780 a088318c 32c0a00b 8000c051 655fbdd1 ..1.2......Qe_.. 8e3790 0f000000 6c1004c0 23c08428 34002244 ....l...#..(4."D 8e37a0 00d10f00 6c100419 01151301 171a011d ....l........... 8e37b0 1b011c1c 011b1d01 1a1e0119 1f011818 ................ 8e37c0 01169824 9a229b29 9c289d27 9e269f2b ...$.".).(.'.&.+ 8e37d0 99209325 18011f98 2a130120 19011e99 . .%....*.. .... 8e37e0 21932cd1 0f000000 6c100412 00181301 !.,.....l....... 8e37f0 04282212 1a01218b 390b8000 1a012215 .("...!.9.....". 8e3800 01100c02 00282212 2b52c60b 80002822 .....(".+R....(" 8e3810 b81a010b 0b800028 22121a01 238b3b0b .......("...#.;. 8e3820 80001a01 2214010f 0c020028 22128b46 ...."......("..F 8e3830 0b800028 22b81a01 0c0b8000 2822121a ...(".......(".. 8e3840 01248b33 0b80001a 01220c02 00282212 .$.3....."...(". 8e3850 2b52860b 80002822 b81a0109 0b800028 +R....(".......( 8e3860 22128b35 1a01250b 80001a01 220c0200 "..5..%....."... 8e3870 2822122b 42850b80 002822b8 1a010a0b (".+B....("..... 8e3880 8000d10f 6c100412 0126882c 1a0127c4 ....l....&.,..'. 8e3890 90688108 0c020029 a4886000 08180018 .h.....)..`..... 8e38a0 2882350b 8000c090 992bd10f 6c100412 (.5......+..l... 8e38b0 0126882c 69820818 00182882 360b8000 .&.,i.....(.6... 8e38c0 2b211289 2bc0a00b a939992b d10f0000 +!..+....9.+.... 8e38d0 6c1004c0 31280aef 140051c0 73c160c0 l...1(....Q.s.`. 8e38e0 2a6d2a31 0f391129 9c3f0799 03090947 *m*1.9.).?.....G 8e38f0 0499020c 02002a90 0006aa02 0c02002a ......*........* 8e3900 94000c02 00259000 0855010c 02002594 .....%...U....%. 8e3910 00b13303 0347c031 c0aa6daa 310f3911 ..3..G.1..m.1.9. 8e3920 299c5f07 99030909 47049902 0c02002a )._.....G......* 8e3930 900006aa 020c0200 2a94000c 02002590 ........*.....%. 8e3940 00085501 0c020025 9400b133 030347d1 ..U....%...3..G. 8e3950 0f000000 6c100413 01261501 28263002 ....l....&..(&0. 8e3960 c04f283c 58060643 086609c0 83266001 .O(h.Eh. 8e3e80 4cc0c97c a15b68a9 4ec0db7d a10dc020 L..|.[h.N..}... 8e3e90 d10f28b2 310b8000 d2a0d10f 28b2240b ..(.1.......(.$. 8e3ea0 8000c021 d10f28b2 2d0b8000 d2a0d10f ...!..(.-....... 8e3eb0 28b22e0b 8000d2a0 d10f28b2 2f0b8000 (.........(./... 8e3ec0 d2a0d10f 28b2300b 8000d2a0 d10f28b2 ....(.0.......(. 8e3ed0 250b8000 d2a0d10f 28b2230b 8000d2a0 %.......(.#..... 8e3ee0 d10f28b2 260b8000 c0211a01 281d0110 ..(.&....!..(... 8e3ef0 1c010f0c 020022d6 870c0200 22c6860c ......"....."... 8e3f00 020022d6 c70c0200 92c7c0b8 0c020029 .."............) 8e3f10 a2c20b99 020c0200 29a6c2c0 820c0200 ........)....... 8e3f20 2fa2c208 ff020c02 002fa6c2 0c02002e /......../...... 8e3f30 a2c202ee 020c0200 2ea6c22d 1a000c02 ...........-.... 8e3f40 002ca2c2 0dcc020c 02002ca6 c22b2a00 .,........,..+*. 8e3f50 0c020029 a2c20b99 020c0200 29a6c2d1 ...)........)... 8e3f60 0f000000 6c100419 01331600 15120126 ....l....3.....& 8e3f70 1300180c 02002a21 02c38029 667c78a1 ......*!...)f|x. 8e3f80 63c3b17b a9792721 031a0134 28321208 c..{.y'!...4(2.. 8e3f90 7711db70 0b8000c0 efc0d1c0 c08b2715 w..p..........'. 8e3fa0 01281401 2764b059 283212c0 7f1a0135 .(..'d.Y(2.....5 8e3fb0 0b8000c0 c09c271b 01360c02 002a627d ......'..6...*b} 8e3fc0 0baa020c 02002a66 7d0c0200 c0912956 ......*f}.....)V 8e3fd0 c00c0200 2956830c 02002756 c00c0200 ....)V....'V.... 8e3fe0 294488d1 0f1a0137 2832122b 21032c21 )D.....7(2.+!.,! 8e3ff0 052c2512 08bb119b 280b8000 c0c29c2c .,%.....(......, 8e4000 d10f9c27 0c02002d 56c00c02 002c5683 ...'...-V....,V. 8e4010 0c02002e 56c00c02 002d4488 2832121a ....V....-D.(2.. 8e4020 01380b80 001d0139 0c02002c 627d0dcc .8.....9...,b}.. 8e4030 010c0200 2c667dc3 af2bfa00 0c020029 ....,f}..+.....) 8e4040 627d0b99 010a9902 0c020029 667d0778 b}.........)f}.x 8e4050 390b8000 d10f0000 6c100612 01262821 9.......l....&(! 8e4060 1a130127 140018b0 886480ae 284232c0 ...'.....d..(B2. 8e4070 9129251a 0b80001a 012c2e7a 092ea500 .)%......,.z.... 8e4080 1b013a1c 013b1e01 2d0c0200 2d3083c0 ..:..;..-...-0.. 8e4090 912f0a03 79d73d2c 260a2d22 106dfa10 ./..y.=,&.-".m.. 8e40a0 0e9f090d 9c09b199 2cc1002c f5000909 ........,..,.... 8e40b0 4728d108 c091c1fd 08884728 e5046dfa G(........G(..m. 8e40c0 100a9d09 0b9c092c c1002cd5 00b19909 .......,..,..... 8e40d0 09476000 3f9b2a2d 2210c091 c0f36dfa .G`.?.*-".....m. 8e40e0 100e9f09 0d9b092b b1002bf5 00b19909 .......+..+..... 8e40f0 094728d1 08290a01 2f0a1d08 884728e5 .G(..)../....G(. 8e4100 046dfa10 0a9d090c 9b092bb1 002bd500 .m........+..+.. 8e4110 b1990909 472c6a0a 2ce5000c 0200c052 ....G,j.,......R 8e4120 1c012829 30880c02 0028c283 0c020098 ..()0....(...... 8e4130 100c0200 8d102e0a 602b0a80 0d0f412f ........`+....A/ 8e4140 24020bdb 010eda01 2a24012b 24000c02 $.......*$.+$... 8e4150 00891009 89472925 020c0200 88100888 .....G)%........ 8e4160 57088811 0c02008f 100f0f57 a8ff2f25 W..........W../% 8e4170 030c0200 2cc2830c 02009c10 0c02008b ....,........... 8e4180 100b8b47 08bb110c 02008910 090947ab ...G..........G. 8e4190 99292504 0c020088 10088857 0888110c .)%........W.... 8e41a0 02008f10 0f0f57a8 ff2f2505 7ed80e28 ......W../%.~..( 8e41b0 42270b80 00892b0a 5938992b d10f69ad B'....+.Y8.+..i. 8e41c0 07284228 0b8000d1 0fd95063 ffeb0000 .(B(......Pc.... 8e41d0 6c1004c0 202b0afd 13012729 0aff0c02 l... +....').... 8e41e0 002a30ab 0baa010c 02002a34 ab0c0200 .*0.......*4.... 8e41f0 2934910c 02002934 900c0200 2934970c )4....)4....)4.. 8e4200 02002934 950c0200 293494c1 800c0200 ..)4....)4...... 8e4210 2834830c 02002234 83c0610c 02002634 (4...."4..a...&4 8e4220 81c4500c 02002534 920c0200 2234890c ..P...%4...."4.. 8e4230 02002234 abc2440c 02002434 830c0200 .."4..D...$4.... 8e4240 2234910c 02002234 900c0200 223497d1 "4...."4...."4.. 8e4250 0f000000 6c100413 0127240a bf0c0200 ....l....'$..... 8e4260 22309404 22010c02 00223494 d10f0000 "0.."...."4..... 8e4270 6c100414 01268a20 9a438921 99448822 l....&. .C.!.D." 8e4280 98458323 9346d10f 6c100412 01268823 .E.#.F..l....&.# 8e4290 0b80001b 012864a0 4b8da01f 013c8cd1 .....(d.K....<.. 8e42a0 0c02002f f03cc093 0f2e147f 9007b1e9 .../.<.......... 8e42b0 09094f60 0001d9e0 c9906d99 0c0c0200 ..O`......m..... 8e42c0 2db2bf0c 02009dc0 b4cc8da0 8824c090 -............$.. 8e42d0 29d50729 d50599d0 2fd50699 a12fa504 )..)..../..../.. 8e42e0 0b8000d1 0f6da905 0c02002c b2bfd10f .....m.....,.... 8e42f0 6c100412 01268825 0b800064 a07389a0 l....&.%...d.s.. 8e4300 2ca1048e 91299105 1b01280c 2d4f0e99 ,....)....(.-O.. 8e4310 086dd90c 0c02008d 900c0200 2db6beb4 .m..........-... 8e4320 99c0d30c 0c41cac5 68c11068 c25a69c3 .....A..h..h.Zi. 8e4330 12c0e70c 02002eb6 c0600007 c0f10c02 .........`...... 8e4340 002fb6c0 0c020088 900c0200 28b6bec0 ./..........(... 8e4350 df1c013c 0c02002d b6c0c0b8 0c020029 ...<...-.......) 8e4360 c02d0b99 020c0200 29c42d88 260b8000 .-......).-.&... 8e4370 d10f1f01 27280ac0 0c02002e f09408ee ....'(.......... 8e4380 020c0200 2ef494d1 0f0c0200 2db6c063 ............-..c 8e4390 ffb10000 6c100418 00182882 161a013d ....l.....(....= 8e43a0 0b8000d1 0f000000 6c100413 00182832 ........l.....(2 8e43b0 161a013e 0b800012 0075c1a1 0c020029 ...>.....u.....) 8e43c0 22810a99 020c0200 28323b2a 0a642926 ".......(2;*.d)& 8e43d0 810b8000 1800510c 02002922 80089902 ......Q...)".... 8e43e0 0c020029 26800c02 002f2283 08ff020c ...)&..../"..... 8e43f0 02002f26 831e013f 0c02002d 22840edd ../&...?...-"... 8e4400 020c0200 2d2684c0 c10c0200 2b228c0c ....-&......+".. 8e4410 bb020c02 002b268c d10f0000 6c100413 .....+&.....l... 8e4420 013c2b0a c01a0127 1601280c 020029a0 .<+....'..(...). 8e4430 940b9902 0c020029 a494c08f 0c020028 .......).......( 8e4440 66c01501 400c0200 2566bec0 480c0200 f...@...%f..H... 8e4450 22302d04 22020c02 0022342d d10f0000 "0-."...."4-.... 8e4460 6c10045b f2e7d10f 6c100412 01271500 l..[....l....'.. 8e4470 180c0200 2420a379 470e2852 2b0b8000 ....$ .yG.(R+... 8e4480 2852121a 01410b80 00c07016 01267f47 (R...A....p..&.G 8e4490 4f0c0200 2320a278 370e0c02 002920a2 O...# .x7....) . 8e44a0 0909460c 02002924 a27e3705 2852210b ..F...)$.~7.(R!. 8e44b0 80007d37 05285222 0b80007f 37052852 ..}7.(R"....7.(R 8e44c0 200b8000 896b6992 0a0c0200 c0942924 ....ki.......)$ 8e44d0 8860000a 6991070c 0200c0a1 2a248897 .`..i.......*$.. 8e44e0 6b784747 0c020023 20ab2c0a fd7e3711 kxGG...# .,..~7. 8e44f0 0c02002b 20ab0cbb 010c0200 2b24ab27 ...+ .......+$.' 8e4500 651a7d37 112e0afb 0c02002d 20ab0edd e.}7.......- ... 8e4510 010c0200 2d24ab7c 3711280a f70c0200 ....-$.|7.(..... 8e4520 2f20ab08 ff010c02 002f24ab d10f0000 / ......./$..... 8e4530 6c100412 01271500 180c0200 2420a37b l....'......$ .{ 8e4540 470e0c02 002820a6 79870528 52330b80 G....( .y..(R3.. 8e4550 0079470e 0c020029 20a47997 05285234 .yG....) .y..(R4 8e4560 0b8000c0 70160126 7f47700c 02002320 ....p..&.Gp...# 8e4570 a2783717 0c020029 20a20909 460c0200 .x7....) ...F... 8e4580 2924a228 52121a01 420b8000 7e370528 )$.(R...B...~7.( 8e4590 52210b80 007d3705 2852220b 80007f37 R!...}7.(R"....7 8e45a0 05285220 0b80007c 3709976c 0c0200c0 .(R ...|7..l.... 8e45b0 91292488 7b37070c 0200c0a4 2a248889 .)$.{7......*$.. 8e45c0 6b69920a 0c0200c0 b42b2488 60000a69 ki.......+$.`..i 8e45d0 91070c02 00c0c12c 2488976b 784f0260 .......,$..kxO.` 8e45e0 00800c02 002320ab 7e371d2a 0afd0c02 .....# .~7.*.... 8e45f0 002920ab 0a99010c 02002924 ab285212 .) .......)$.(R. 8e4600 1a014327 651a0b80 007d3738 2a0afb0c ..C'e....}78*... 8e4610 02002920 ab0a9901 0c020029 24ab2852 ..) .......)$.(R 8e4620 121a0144 0b800019 00ce1a00 150c0200 ...D............ 8e4630 28522a29 a67f0b80 00285229 0b800028 (R*).....(R)...( 8e4640 522c0b80 007c371a 2a0af70c 02002920 R,...|7.*.....) 8e4650 ab0a9901 0c020029 24ab2852 121a0145 .......)$.(R...E 8e4660 0b8000d1 0f000000 6c1004c3 a1130015 ........l....... 8e4670 25fa0017 00180c02 0029327d 0599010a %........)2}.... 8e4680 99020c02 0028725e 29367d0b 80001200 .....(r^)6}..... 8e4690 37c04469 a1220c02 002c2286 04cc020c 7.Di."...,"..... 8e46a0 02002c26 86c6bf0c 02002a22 840baa01 ..,&......*".... 8e46b0 0c02002a 26846000 9c1b0075 c76e69a3 ...*&.`....u.ni. 8e46c0 200c0200 2eb28406 ee010c02 002eb684 ............... 8e46d0 0c02002d b28c06dd 010c0200 2db68c60 ...-........-..` 8e46e0 0073283a 050c0200 28b683c1 ff0c0200 .s(:....(....... 8e46f0 2e22840f ee020c02 002e2684 c7d90c02 ."........&..... 8e4700 002c2284 0dcc010c 02002c26 841a0146 .,".......,&...F 8e4710 0c02002a b682c090 0c020028 723b2a0a ...*.......(r;*. 8e4720 6429b684 0b80000c 02002c22 8406cc01 d)........,".... 8e4730 0c02002c 2684c6b7 0c02002a 22840baa ...,&......*"... 8e4740 010c0200 2a26840c 02002922 86049902 ....*&....)".... 8e4750 0c020029 2686c3e2 0c02002d 327d05dd ...)&......-2}.. 8e4760 010edd02 0c02002d 367dd10f 6c100412 .......-6}..l... 8e4770 00182822 5e0b8000 d4a0c3b0 13001525 ..("^..........% 8e4780 fa001901 26c0700c 02002a32 7d05aa01 ....&.p...*2}... 8e4790 0baa020c 02002822 372a367d 27951c27 ......("7*6}'..' 8e47a0 951d2795 2c0b8000 28223b2a 1a2c0b80 ..'.,...(";*.,.. 8e47b0 00190128 0c02002a 92ca77a7 f6c3a30c ...(...*..w..... 8e47c0 02002932 7d059901 0a99020c 02002822 ..)2}.........(" 8e47d0 161a0147 29367d0b 80001601 27694233 ...G)6}.....'iB3 8e47e0 c3a40c02 0029327d 0599010a 99020c02 .....)2}........ 8e47f0 0028221f 29367d0b 8000c3c5 0c02002b .(".)6}........+ 8e4800 327d05bb 010cbb02 0c02002b 367d0c02 2}.........+6}.. 8e4810 0027648b 28225e0b 800068a1 132a0ac0 .'d.("^...h..*.. 8e4820 0c020029 60940a99 020c0200 296494d1 ...)`.......)d.. 8e4830 0f2c0abf 0c02002b 60940cbb 010c0200 .,.....+`....... 8e4840 2b6494d1 0f000000 6c100418 01481301 +d......l....H.. 8e4850 49932198 20d10f00 6c100419 01511a01 I.!. ...l....Q.. 8e4860 501b014f 1c014e1d 014d1e01 4c1f014b P..O..N..M..L..K 8e4870 13014a18 0152982a 99292a26 1a2b2619 ..J..R.*.)*&.+&. 8e4880 9c249d23 9e229320 9f211801 5a282614 .$.#.". .!..Z(&. 8e4890 1f015413 01531e01 551d0133 1c01561b ..T..S..U..3..V. 8e48a0 01571a01 58190159 2926109a 2f9b2d9c .W..X..Y)&../.-. 8e48b0 2e9d2c9e 2b93279f 28180163 98261f01 ..,.+.'.(..c.&.. 8e48c0 5c13015b 1e015d1d 015e1c01 5f1b0160 \..[..]..^.._..` 8e48d0 1a016119 01629925 2a26182b 26172c26 ..a..b.%*&.+&.,& 8e48e0 162d2615 2e261123 26132f26 12130164 .-&..&.#&./&...d 8e48f0 23261bd1 0f000000 6c1004c0 53242c2f #&......l...S$,/ 8e4900 05440315 00510404 47054402 0c020023 .D...Q..G.D....# 8e4910 4400d10f 6c1004c0 53240a80 a4240544 D...l...S$...$.D 8e4920 03150051 04044705 44020c02 00234400 ...Q..G.D....#D. 8e4930 d10f0000 6c1004c0 53240a90 a4240544 ....l...S$...$.D 8e4940 03150051 04044705 44020c02 00234400 ...Q..G.D....#D. 8e4950 d10f0000 6c10040f 27110b36 11048514 ....l...'..6.... 8e4960 a766c073 266c3f07 66031700 51060647 .f.s&l?.f...Q..G 8e4970 0766020c 02002564 00d10f00 6c10040f .f....%d....l... 8e4980 26110b35 11a655c0 63255c3e 06550316 &..5..U.c%\>.U.. 8e4990 00510505 47065502 0c020024 5400d10f .Q..G.U....$T... 8e49a0 6c10042a 0a9fc073 0f261126 6c3f0766 l..*...s.&.&l?.f 8e49b0 03170051 06064707 66020c02 00296000 ...Q..G.f....)`. 8e49c0 0a99010c 02002964 00c08104 b714b177 ......)d.......w 8e49d0 03880c0b 77110877 1d0c0200 25600007 ....w..w....%`.. 8e49e0 55020c02 00256400 d10f0000 6c100418 U....%d.....l... 8e49f0 01651901 66288100 299100b0 88658179 .e..f(..)....e.y 8e4a00 6591761a 01672aa1 0065a16d c0a1c0bf e.v..g*..e.m.... 8e4a10 5bffb9c0 a0c0b15b ffbfc0a1 c0b15bff [......[......[. 8e4a20 bdc0a02b 0a865bff c3c0a1c0 b65bffc1 ...+..[......[.. 8e4a30 c0a1c0b1 2c2a005b ffc7c0a1 c0b12c2a ....,*.[......,* 8e4a40 005bffce c0a1c0b1 2c2a005b ffd5c0a2 .[......,*.[.... 8e4a50 2b0af25b ffa9c0a2 c1b25bff aec0a3c1 +..[......[..... 8e4a60 b25bffac c0a22b0a 865bffb2 c0a3c0b6 .[....+..[...... 8e4a70 5bffb0c0 a2c0b02c 2a005bff b6c0a2c0 [......,*.[..... 8e4a80 b02c2a00 5bffbdc0 a2c0b02c 2a005bff .,*.[......,*.[. 8e4a90 c4c0a32b 0afe5bff 98c0aec1 b35bff9d ...+..[......[.. 8e4aa0 c0ae2b0a 835bffa3 c0a3c0b0 c4c05bff ..+..[........[. 8e4ab0 a9c0a3c0 b0c4c05b ffb1c0a3 c0b0c4c0 .......[........ 8e4ac0 5bffb7c0 a42b0aff 5bff8bc0 afc0b45b [....+..[......[ 8e4ad0 ff91c0af 2b0a835b ff97c0a4 c0b1c4c0 ....+..[........ 8e4ae0 5bff9cc0 a4c0b1c4 c05bffa4 c0a4c0b1 [........[...... 8e4af0 c4c05bff abc0a5c4 bf5bff7f c0a4c0b5 ..[......[...... 8e4b00 5bff84c0 a5c0b55b ff83c0a4 2b0a865b [......[....+..[ 8e4b10 ff89c0a5 c0b65bff 87c0a5c0 b12c2a00 ......[......,*. 8e4b20 5bff8cc0 a5c0b12c 2a005bff 94c0a5c0 [......,*.[..... 8e4b30 b12c2a00 5bff9ac0 a62b0a6f 5bff6ec0 .,*.[....+.o[.n. 8e4b40 a6c0b65b ff74c0a7 c0b65bff 72c0a62b ...[.t....[.r..+ 8e4b50 0a865bff 78c0a7c0 b65bff76 c0a6c0b1 ..[.x....[.v.... 8e4b60 2c2a005b ff7cc0a6 c0b12c2a 005bff83 ,*.[.|....,*.[.. 8e4b70 c0a6c0b1 2c2a005b ff8ad10f 6c100418 ....,*.[....l... 8e4b80 01651901 66288100 299100b0 88658118 .e..f(..)....e.. 8e4b90 6591151a 01672aa1 0065a10c c0a1c0bf e....g*..e...... 8e4ba0 5bff55c0 a0c0b15b ff5bc0a0 2b0a865b [.U....[.[..+..[ 8e4bb0 ff61c0a1 c0b65bff 5fc0a1c0 b1c4c05b .a....[._......[ 8e4bc0 ff65c0a1 c0b1c4c0 5bff6cc0 a1c0b1c4 .e......[.l..... 8e4bd0 c05bff73 c0a22b0a f25bff47 c0a2c1b2 .[.s..+..[.G.... 8e4be0 5bff4cc0 a22b0a86 5bff52c0 a3c0b65b [.L..+..[.R....[ 8e4bf0 ff51c0a2 c0b0c4c0 5bff56c0 a2c0b0c4 .Q......[.V..... 8e4c00 c05bff5e c0a2c0b0 c4c05bff 65c0a32b .[.^......[.e..+ 8e4c10 0afe5bff 39c0aec1 b35bff3e c0ae2b0a ..[.9....[.>..+. 8e4c20 835bff44 c0a3c0b0 c4c05bff 4ac0a3c0 .[.D......[.J... 8e4c30 b0c4c05b ff52c0a3 c0b0c4c0 5bff58c0 ...[.R......[.X. 8e4c40 a42b0aff 5bff2cc0 afc0b45b ff32c0af .+..[.,....[.2.. 8e4c50 2b0a825b ff38c0a4 c0b1c4c0 5bff3dc0 +..[.8......[.=. 8e4c60 a4c0b1c4 c05bff45 c0a4c0b1 c4c05bff .....[.E......[. 8e4c70 4cc0a5c4 bf5bff20 c0a4c0b5 5bff25c0 L....[. ....[.%. 8e4c80 a42b0a86 5bff2bc0 a5c0b65b ff2ac0a5 .+..[.+....[.*.. 8e4c90 c0b1c4c0 5bff2fc0 a5c0b1c4 c05bff37 ....[./......[.7 8e4ca0 c0a5c0b1 c4c05bff 3ed10f00 6c10040c ......[.>...l... 8e4cb0 02008220 d10f0000 6c10040c 02009320 ... ....l...... 8e4cc0 d10f0000 6c100416 0168c839 15016968 ....l....h.9..ih 8e4cd0 31076832 04d10f15 016a0224 0906440b 1.h2.....j.$..D. 8e4ce0 9540d10f 6c100428 2cfc6e82 03c021d1 .@..l..(,.n...!. 8e4cf0 0f180018 19016802 24092882 af09440b ......h.$.(...D. 8e4d00 b84a0b80 00db30da 205bffee 88418240 .J....0. [...A.@ 8e4d10 8b42a822 da205bff e8bc2ac0 b25bffe6 .B.". [...*..[.. 8e4d20 2a2c18c0 b15bffe4 c020d10f 6c1004dc *,...[... ..l... 8e4d30 40db3018 00181a01 68022909 2882b00a @.0.....h.).(... 8e4d40 920bb82a 0b8000c0 c2c0318f 218d208b ...*......1.!. . 8e4d50 221e016a afd2da20 0edd0c0d c3385bff "..j... .....8[. 8e4d60 d6db30b8 2a5bffd4 2a2c1cc0 b15bffd2 ..0.*[..*,...[.. 8e4d70 b42ac0b1 5bffd0d1 0f000000 6c10046e .*..[.......l..n 8e4d80 2403c021 d10f1800 181b0168 022a0928 $..!.......h.*.( 8e4d90 82ae0baa 0bb8aa0b 8000db30 da205bff ...........0. [. 8e4da0 c9c020d1 0f000000 6c1004db 301c0168 .. .....l...0..h 8e4db0 18001802 2a090caa 0b8ca189 a02882b1 ....*........(.. 8e4dc0 b8aaac92 0b8000b4 2ac0b15b ffbbd10f ........*..[.... 8e4dd0 6c100418 00181b01 68022a09 2882b50b l.......h.*.(... 8e4de0 aa0bb8aa 0b8000d2 a0d10f00 6c100414 ............l... 8e4df0 0168c0b0 02230904 330b8831 84308232 .h...#..3..1.0.2 8e4e00 8333a844 b44a5bff acda40db 305bffaa .3.D.J[...@.0[.. 8e4e10 73210fc0 a00c0200 2a25010c 02008224 s!......*%.....$ 8e4e20 7329f1d1 0f000000 6c100428 2cfc6e82 s)......l..(,.n. 8e4e30 03c020d1 0f180018 1b016802 2a092882 .. .......h.*.(. 8e4e40 b70baa0b b8aa0b80 000a024f d10f0000 ...........O.... 8e4e50 6c100418 00181b01 68022a09 2882b30b l.......h.*.(... 8e4e60 aa0bb8aa 0b8000d2 a0d10f00 6c1004db ............l... 8e4e70 301c0168 18001802 2a090caa 0b8ca189 0..h....*....... 8e4e80 a02882b4 b8aaac92 0b8000b4 2ac0b15b .(..........*..[ 8e4e90 ff8ad10f 6c10046e 2403c020 d10f1800 ....l..n$.. .... 8e4ea0 181b0168 022a0928 82b70baa 0bb8aa0b ...h.*.(........ 8e4eb0 80000a02 4fd10f00 6c100419 01721a01 ....O...l....r.. 8e4ec0 711b0170 1c016f1d 016e1e01 6d1f016c q..p..o..n..m..l 8e4ed0 13016b18 0173982b 99299a2a 9b289c27 ..k..s.+.).*.(.' 8e4ee0 9d269e23 93209f22 13017493 2cd10f00 .&.#. ."..t.,... 8e4ef0 6c100416 00182862 52c0a0c2 b00b8000 l.....(bR....... 8e4f00 140175c0 5095a095 a19a406a 2218c031 ..u.P.....@j"..1 8e4f10 286252c0 a0c2b00b 8000b133 894099a1 (bR........3.@.. 8e4f20 95a09a40 7329e892 41d10f00 6c100416 ...@s)..A...l... 8e4f30 01758260 c0308461 c82a8521 b0449560 .u.`.0.a.*.!.D.` 8e4f40 94619321 d10fc020 d10f0000 6c100413 .a.!... ....l... 8e4f50 01758431 85309521 9230b144 9431d10f .u.1.0.!.0.D.1.. 8e4f60 6c100418 01771901 76130178 93239821 l....w..v..x.#.! 8e4f70 9920d10f 6c100416 00182862 52c0a0c2 . ..l.....(bR... 8e4f80 b40b8000 140179c0 5025a507 95a09a40 ......y.P%.....@ 8e4f90 6a2219c0 31286252 c0a0c2b4 0b8000b1 j"..1(bR........ 8e4fa0 33894099 a025a507 9a407329 e7d10f00 3.@..%...@s).... 8e4fb0 6c100415 01798250 c030c826 84209450 l....y.P.0.&. .P 8e4fc0 9320d10f c020d10f 6c100422 2c10d10f . ... ..l..",... 8e4fd0 6c100484 212c2105 2821072a 21068531 l...!,!.(!.*!..1 8e4fe0 2e210429 31072d31 052b3106 2f31042f .!.)1.-1.+1./1./ 8e4ff0 25042e35 042d2505 2c35052b 25062a35 %..5.-%.,5.+%.*5 8e5000 06292507 28350795 219431d1 0f000000 .)%.(5..!.1..... 8e5010 6c100418 017c1901 7b1a017a 13017d93 l....|..{..z..}. 8e5020 23982299 219a20d1 0f000000 6c100484 #.".!. .....l... 8e5030 208240c8 25d42082 20652ff8 25410522 .@.%. . e/.%A." 8e5040 41042341 0605220c 03220cd1 0f000000 A.#A..".."...... 8e5050 6c1004d5 20822028 21062621 05a38803 l... . (!.&!.... 8e5060 660c2625 05282506 245104a3 44245504 f.&%.(%.$Q..D$U. 8e5070 84212221 05a422d1 0f000000 6c100486 .!"!..".....l... 8e5080 208560c8 55d65085 50655ff8 246106a3 .`.U.P.Pe_.$a.. 8e5090 49296506 28210425 61058761 a3882825 I)e.(!.%a..a..(% 8e50a0 04a752a2 42d10f00 6c1004d5 20822028 ..R.B...l... . ( 8e50b0 21062621 0503880c a3662625 05282506 !.&!.....f&%.(%. 8e50c0 24510403 440c2455 04842122 2105a422 $Q..D.$U..!"!.." 8e50d0 d10f0000 6c100422 2104d10f 6c100485 ....l.."!...l... 8e50e0 20265105 87512551 06a76696 309540d1 &Q..Q%Q..f.0.@. 8e50f0 0f000000 6c1004bc 22d10f00 6c100418 ....l..."...l... 8e5100 0018dd40 dc302882 9b2a22b1 c0b00b80 ...@.0(..*"..... 8e5110 00d10f00 6c100614 0018d520 284252c0 ....l...... (BR. 8e5120 a02b2acc 0b8000d2 a08844c0 b02c2acc .+*.......D..,*. 8e5130 0b800088 32261a8c a6269820 8c342c26 ....2&...&. .4,& 8e5140 b18a332a 26b09212 28427229 42862b42 ..3*&...(Br)B.+B 8e5150 879b1099 11db100b 8000c4e0 c3a4c0b3 ................ 8e5160 c0d62f42 88c0c02c 66492d26 ac296249 ../B...,fI-&.)bI 8e5170 2c664c2b 26af0a99 28c2ac0e 99352966 ,fL+&...(....5)f 8e5180 4a883029 2a7ca929 2866448b 312f663d J.0)*|.)(fD.1/f= 8e5190 2d42892e 65822d66 3e2c6648 2a65832b -B..e.-f>,fH*e.+ 8e51a0 66472a22 b02b2c19 2226a528 427c2c2c fG*".+,."&.(B|,, 8e51b0 1899220b 8000884e 0b80002b 20192e22 .."....N...+ .." 8e51c0 b02d4275 69a209da e08c310b d0006000 .-Bui.....1...`. 8e51d0 06dae0c0 c10bd000 2526a9da 20c0b4c4 ........%&.. ... 8e51e0 c0c09129 269e5bff c5284274 2a22b00b ...)&.[..(Bt*".. 8e51f0 8000d10f 6c1004d1 0f000000 6c100424 ....l.......l..$ 8e5200 22a69430 2326a6d1 0f000000 6c1004da "..0#&......l... 8e5210 205800bc d4a0c0b8 5bff9815 0018d3a0 X......[....... 8e5220 8854c0b0 c0c80b80 00dc40da 20c0b0c1 .T........@. ... 8e5230 e6c081c0 f02922a7 2d22aa2d 34032934 .....)".-".-4.)4 8e5240 052f3400 0989140d 8d142834 012e3406 ./4.......(4..4. 8e5250 2852842d 34022934 040b8000 d10f0000 (R.-4.)4........ 8e5260 6c1004da 40c0b85b ff7a2a22 b0180018 l...@..[.z*".... 8e5270 dc400336 0f288277 02660a2b 60190b80 .@.6.(.w.f.+`... 8e5280 002922a8 2822aa7f 974bda40 5bff912b .)".("...K.@[..+ 8e5290 22a75805 eed7a0da 405bff8e c0412b22 ".X.....@[...A+" 8e52a0 a75805fe 2c69072b 6902b17d 0ad739a7 .X..,i.+i..}..9. 8e52b0 bb07cc0c 2c65072b 65022a22 9c003104 ....,e.+e.*"..1. 8e52c0 004b1a0a ba022a26 9cc85eda 20b46cdd .K....*&..^. .l. 8e52d0 30580094 d10fa8ff 2f26aad1 0f000000 0X....../&...... 8e52e0 6c100825 16032616 04241602 c84eda20 l..%..&..$...N. 8e52f0 db30dc40 8441c0d0 5bffd965 4fefdd30 .0.@.A..[..eO..0 8e5300 da200031 04c0b103 3c0f02cc 0a00bb1a . .1....<....... 8e5310 b4cc5800 84d10f00 6c1004dc 40db30da ..X.....l...@.0. 8e5320 20c0d15b ffcfd10f 6c1008da 405bff71 ..[....l...@[.q 8e5330 d5a0da40 5bff67d6 a09a15c0 b8da405b ...@[.g.......@[ 8e5340 ff449611 d7a01800 18c09023 5400c4d0 .D.........#T... 8e5350 2c500103 3b0f02bb 0a9b140d cc022c54 ,P..;.........,T 8e5360 0123a400 29a40128 82792bb0 182a22b0 .#..)..(.y+..*". 8e5370 0b800085 40d6a0da 40255105 5bff55d3 ....@...@%Q.[.U. 8e5380 a0da405b ff2a0565 0c03550c 75ab06da ..@[.*.e..U.u... 8e5390 405bff26 d5a06b56 026000bf 23229cc0 @[.&..kV.`..#".. 8e53a0 6064309c da40c0b2 97165bff 34d720b4 `d0..@....[.4. . 8e53b0 2bc062c0 d19a12c0 832c5cfe c090c050 +.b......,\....P 8e53c0 29a40128 a4006430 b26bc402 6000ac9c )..(..d0.k..`... 8e53d0 179b1000 510400de 1a9e137e 3057da40 ....Q......~0W.@ 8e53e0 c0b45bff 26c08089 13dba025 a4002c79 ..[.&......%..,y 8e53f0 022ca401 2d710ec7 af0a9903 adcc0c0c .,..-q.......... 8e5400 4b0c8a14 2c750e2c b4032ab4 022f7108 K...,u.,..*../q. 8e5410 09330128 75027df7 048a1058 00f28b10 .3.(u.}....X.... 8e5420 8f12c0d1 8c172ef0 01b4662c ccfcb4ee ..........f,.... 8e5430 2ef4016e c445b155 277c1c2b bc1c63ff ...n.E.U'|.+..c. 8e5440 84971687 166a6113 c0828911 2674042f .....ja.....&t./ 8e5450 7001a969 991508ff 022f7401 8b141800 p..i...../t..... 8e5460 188c152a 22b02c74 030c8d14 288276dc ...*".,t....(.v. 8e5470 402d7402 2bb0180b 8000d10f 23269c63 @-t.+.......#&.c 8e5480 ffc00000 6c1004d1 0f000000 6c1004d1 ....l.......l... 8e5490 0f000000 6c100418 00182882 7a2a22b0 ....l.....(.z*". 8e54a0 0b8000b8 a2d10f00 6c100419 017e1301 ........l....~.. 8e54b0 801a0186 1b01851c 01841d01 831e0182 ................ 8e54c0 1f018118 017f9824 9a289b27 9c219d26 .......$.(.'.!.& 8e54d0 9e229f23 99209325 18018898 2a130189 .".#. .%....*... 8e54e0 19018799 29932bd1 0f000000 6c100418 ....).+.....l... 8e54f0 0018dc30 28829e2a 22b1c0b0 0b8000d1 ...0(..*"....... 8e5500 0f000000 6c100413 00182832 85da200b ....l.....(2.. . 8e5510 8000dca0 28329c2a 22b1c0b0 0b8000d2 ....(2.*"....... 8e5520 a0d10f00 6c100428 229b2922 9c73804f ....l..(".)".s.O 8e5530 73904cc0 62c9412a 49042b49 008c44c8 s.L.b.A*I.+I..D. 8e5540 a17ab23b 2d22af7d ca08da20 5bffedd3 .z.;-".}... [... 8e5550 a0cca92e 22a806ee 022e26a8 d10f5bfe ....".....&...[. 8e5560 e5c84c88 44c2f00f 5f02b188 98442fa4 ..L.D..._....D/. 8e5570 01180018 dc302882 84da20c0 b00b8000 .....0(... ..... 8e5580 d10f0000 6c1006da 20263002 28300324 ....l... &0.(0.$ 8e5590 22a60866 11086602 c0809810 5bffd9d7 "..f..f.....[... 8e55a0 a0c0ba5b feb61800 18d5a088 84c0b0c0 ...[............ 8e55b0 ca0b8000 26540306 8914c0a0 c0b32b54 ....&T........+T 8e55c0 012a5400 295402c9 462b229e c1a57baa .*T.)T..F+"...{. 8e55d0 04c0d360 000c2c41 0876c11a 8440654f ...`..,A.v...@eO 8e55e0 ecc0d118 0018dc70 2d540428 8284da20 .......p-T.(... 8e55f0 c0b00b80 00d10fda 40ba5edf 102d3008 ........@.^..-0. 8e5600 c0908843 ba3c0d9c 380b8000 dda065af ...C.<..8.....e. 8e5610 d18a102a 54082922 9e295405 2a410a2a ...*T.)".)T.*A.* 8e5620 54070999 0f02990a 0a8a142a 54062a30 T..........*T.*0 8e5630 05283004 94922922 9e088811 0a880209 .(0...)"........ 8e5640 990f0299 0a289508 2f229e2e 30060fff .....(../"..0... 8e5650 0f02ff0a 2ef4182c 229e2b30 070ccc0f .......,".+0.... 8e5660 02cc0a2b c4192a22 9e2b4109 c0c1b1aa ...+..*".+A..... 8e5670 0cbb022b 45092a26 9e63ff66 6c1004da ...+E.*&.c.fl... 8e5680 205bffa0 d4a0c0b4 5bfe7c16 0018d5a0 [......[.|..... 8e5690 8864c0b0 c0c40b80 00c096c0 a02a5400 .d...........*T. 8e56a0 2954012b 30022b54 02286278 2a22b00b )T.+0.+T.(bx*".. 8e56b0 8000cba6 c0e02e54 032c3003 2d22ab2b .......T.,0.-".+ 8e56c0 22aac092 acdd7db2 1c2a22b0 2862752d ".....}..*".(bu- 8e56d0 26ab2b30 020b8000 dc402862 84da20c0 &.+0.....@(b.. . 8e56e0 b00b8000 d10f2954 0363ffeb c09163ff ......)T.c....c. 8e56f0 f4000000 6c1006c8 3163fffc da40db10 ....l...1c...@.. 8e5700 b41c5bfe 768b1029 b0002ab0 01089911 ..[.v..)..*..... 8e5710 0a990268 920d6894 5b69950c da505bff ...h..h.[i...P[. 8e5720 d7600004 da505bff 97c0302a 52a8c071 .`...P[...0*R..q 8e5730 1600187f a70edc40 286282da 50c0b00b .......@(b..P... 8e5740 80006000 1ada40c0 b85bfe41 dc402a52 ..`...@..[.A.@*R 8e5750 b0022b0f 28627705 bb0a2bb0 190b8000 ..+.(bw...+..... 8e5760 c83f2c52 a82a52a9 07cc022c 56a8c8a1 .?,R.*R....,V... 8e5770 0ba000d1 0fc03163 ffb00000 6c1004da ......1c....l... 8e5780 305bfe5c 29a0017a 970e090a 440aaa0f 0[.\)..z....D... 8e5790 04aa0a88 a5b08898 a5db30da 405bff53 ..........0.@[.S 8e57a0 2e42a87e e712da40 c0c0c7bd c1d60beb .B.~...@........ 8e57b0 012b46a8 c7bf5bff 5bd10f00 6c1004da .+F...[.[...l... 8e57c0 205bfe4c 24a000c0 b8da205b fe37044c [.L$..... [.7.L 8e57d0 0f03cc0a 8cc2db20 88c2da40 8cc60b80 ....... ...@.... 8e57e0 00d10f00 6c100428 29052521 06242900 ....l..().%!.$). 8e57f0 050541a8 44084432 c8576851 0d695205 ..A.D.D2.WhQ.iR. 8e5800 04440904 24122425 04d10f04 141263ff .D..$.$%......c. 8e5810 f4000000 6c1004d1 0f000000 6c1008db ....l.......l... 8e5820 10b41cd7 20023738 da705bfe 2c8b1097 .... .78.p[.,... 8e5830 148a1128 b00626b0 002aacf8 9a162bb0 ...(..&..*....+. 8e5840 07088811 06650f04 550a2951 0d0b8802 .....e..U.)Q.... 8e5850 98152b42 a79b1709 880c0808 4b981358 ..+B........K..X 8e5860 047bd7a0 8b178a16 58048c8b 152b550d .{......X....+U. 8e5870 8d108c13 2ed001b1 790a9739 7de7098a ........y..9}... 8e5880 142bd004 5bffe3d1 0f2f42a8 c0d19212 .+..[..../B..... 8e5890 7ff73000 610400d2 1a7c7b1c 2b590729 ..0.a....|{.+Y.) 8e58a0 590207ca 0c0abb0c aa992955 022b5507 Y.........)U.+U. 8e58b0 28429c08 28022846 9c2c5907 b1cc2c55 (B..(.(F.,Y...,U 8e58c0 07600005 00610400 d21a2942 9b7fe715 .`...a....)B.... 8e58d0 092e022e 469b2d51 08b4577d d719da70 ....F.-Q..W}...p 8e58e0 5bffc060 0011b457 c0f0c78f 08280308 [..`...W.....(.. 8e58f0 98012846 9b2f5506 8a14c0b8 5bfdea8d ..(F./U.....[... 8e5900 52dc308b 1288d1da 608dd60b 8000dd60 R.0.....`......` 8e5910 dc70db20 da405bff 03d10f00 6c1004d1 .p. .@[.....l... 8e5920 0f000000 6c100418 00182882 a60b8000 ....l.....(..... 8e5930 caaec0b0 0c02002b a5090c02 002ba508 .......+.....+.. 8e5940 0c02002b a50b0c02 002ba50a 0c02009b ...+.....+...... 8e5950 a60c0200 9ba70c02 009ba829 ac109921 ...........)...! 8e5960 9920d10f 6c1004da 205bffee c0809822 . ..l... [....." 8e5970 9823d10f 6c10040c 02002621 03062614 .#..l.....&!..&. 8e5980 0c020085 230c0200 242103c0 33055202 ....#...$!..3.R. 8e5990 74300226 6c011501 8a6d6a20 8820058a t0.&l....mj . .. 8e59a0 01088910 08aa110a 9902088a 57088814 ............W... 8e59b0 0588010a 88020988 029820b4 22d10f00 .......... ."... 8e59c0 6c1004da 208b3058 00041800 182882a3 l... .0X.....(.. 8e59d0 da300b80 00d10f00 6c100415 00186430 .0......l.....d0 8e59e0 5bc060c0 402852a7 da300b80 000c0200 [.`.@(R..0...... 8e59f0 26a5010c 020026a5 000c0200 26a5030c &.....&.....&... 8e5a00 020026a5 020c0200 96a20c02 0096a30c ..&............. 8e5a10 020096a4 2635058b 310c0200 9ba32931 ....&5..1.....)1 8e5a20 040c0200 29a503cc 43d7a060 00040c02 ....)...C..`.... 8e5a30 009a4483 30d4a065 3faa6000 01c040db ..D.0..e?.`...@. 8e5a40 70da200c 02002852 ba94720b 8000d10f p. ...(R..r..... 8e5a50 6c1006da 209311d2 306a3141 c0501300 l... ...0j1A.P.. 8e5a60 18c0709a 102832a6 0b8000d6 a0cca163 ..p..(2........c 8e5a70 fffc2832 52c0a0db 400b8000 9a612465 ..(2R...@....a$e 8e5a80 04b177c0 b09b602b 65052b65 062b6507 ..w...`+e.+e.+e. 8e5a90 c8509560 d5607729 cb8a1060 0001c050 .P.`.`w)...`...P 8e5aa0 db505bff cdd10f00 6c100489 30da20c0 .P[.....l...0. . 8e5ab0 c064907a c0f0222a 002d9c10 0c02002f .d.z.."*.-...../ 8e5ac0 95090c02 002f9508 0c02002f 950b0c02 ...../...../.... 8e5ad0 002f950a 0c02009f 960c0200 9f970c02 ./.............. 8e5ae0 009f9828 91060c02 008e9128 950b2891 ...(.......(..(. 8e5af0 05ae880c 02009897 0c02002f 95080c02 .........../.... 8e5b00 002f9509 cdcbdbd0 0c02002e 910802ee ./.............. 8e5b10 020c0200 2e95082c 31040c02 002c950a .......,1....,.. 8e5b20 6000040c 02009dc4 8990dcd0 659f8929 `...........e..) 8e5b30 1a000c02 0028d100 0988020c 020028d5 .....(........(. 8e5b40 000c0200 8fa289a3 9db2ccf9 cc9793a3 ................ 8e5b50 93a29331 60000393 9193a318 00182882 ...1`.........(. 8e5b60 bb0b8000 d10f0000 6c1004d1 0f000000 ........l....... 8e5b70 6c100485 20832175 310f0c02 00285101 l... .!u1....(Q. 8e5b80 c0210808 41688101 d10fc020 d10f0000 .!..Ah..... .... 8e5b90 6c1004da 20120018 2822b90b 8000d3a0 l... ...("...... 8e5ba0 cca3c0a0 60001328 22a10b80 00d2a0cc ....`..("....... 8e5bb0 a163fffc db305800 3cda20d2 a0d10f00 .c...0X.<. ..... 8e5bc0 6c100418 00182882 b9da200b 8000dba0 l.....(... ..... 8e5bd0 cca3c030 60001a83 228923c0 c0739906 ...0`...".#..s.. 8e5be0 9c229c23 6000038a 319a229c 31da3058 .".#`...1.".1.0X 8e5bf0 002ed230 d10f0000 6c100483 20c040c4 ...0....l... .@. 8e5c00 78c56315 00188821 73891528 5212db30 x.c....!s..(R..0 8e5c10 1a018b0b 80002852 121a018c 0b8000d1 ......(R........ 8e5c20 0fdc600c 02001a01 8d293101 db302852 ..`......)1..0(R 8e5c30 12090940 097c390b 8000c0b5 b144da40 ...@.|9......D.@ 8e5c40 580396cc a7285212 1a018e0b 80000c02 X....(R......... 8e5c50 00833463 ffaf0000 6c100419 01921301 ..4c....l....... 8e5c60 941a0191 1b01901c 018f1d01 971e0196 ................ 8e5c70 1f019518 01939826 9d2a9e29 9f289925 .......&.*.).(.% 8e5c80 93279a24 9b239c20 18019c98 2d1c0198 .'.$.#. ....-... 8e5c90 1b01991a 019a1301 9d19019b 992c932e .............,.. 8e5ca0 9a2b9b22 9c21d10f 6c100425 3cf09520 .+.".!..l..%<.. 8e5cb0 0c020024 31022425 04ca36c0 200c0200 ...$1.$%..6. ... 8e5cc0 253cf029 3dff2831 0328957e c8209520 %<.)=.(1.(.~. . 8e5cd0 d2500c02 002a3100 77af090c 02008334 .P...*1.w......4 8e5ce0 653fd9d1 0f2c3dff c0b02bc6 3cd10f00 e?...,=...+.<... 8e5cf0 6c1004d5 20822083 51723903 c020d10f l... . .Qr9.. .. 8e5d00 0c020028 21010808 41c88e0c 02002921 ...(!...A.....)! 8e5d10 01090941 689203c0 20d10f0c 02008a22 ...Ah... ......" 8e5d20 0c02008a a49a50d1 0f000000 6c1008da ......P.....l... 8e5d30 30c0b0c0 410c0200 24a5010c 02002ba5 0...A...$.....+. 8e5d40 000c0200 2ba5020c 02008832 7a896615 ....+......2z.f. 8e5d50 00180c02 0089320c 0200da10 db308855 ......2......0.U 8e5d60 c1c49394 0b80001c 019e0c02 002d3101 .............-1. 8e5d70 0cdd010c 02002d35 010c0200 2b11010c ......-5....+... 8e5d80 bb010c02 008a212b 15012852 a82b3cf0 ......!+..(R.+<. 8e5d90 2aacf00b 80008a21 8855db10 c1c40b80 *......!.U...... 8e5da0 008a210c 020029a1 01049902 0c020029 ..!...)........) 8e5db0 a5019321 d10f0c02 008aa463 ff760000 ...!.......c.v.. 8e5dc0 6c1008da 3014019e c0510c02 0029a101 l...0....Q...).. 8e5dd0 04990105 99020c02 0029a501 0c020088 .........)...... 8e5de0 327a8972 0c02008b 320c0200 93b40c02 2z.r....2....... 8e5df0 008a3273 a9068c21 0c02009c 32160018 ..2s...!....2... 8e5e00 da108865 db30c1c4 0b80000c 02002c31 ...e.0........,1 8e5e10 0104cc01 0c02002c 35010c02 002b1101 .......,5....+.. 8e5e20 04bb010c 02008a21 2b150128 62a82b3c .......!+..(b.+< 8e5e30 f02aacf0 0b80008a 218865db 10c1c40b .*......!.e..... 8e5e40 80008a21 0c020029 a1010599 020c0200 ...!...)........ 8e5e50 29a50193 21d10f0c 02008aa4 63ff6a00 )...!.......c.j. 8e5e60 6c10040c 02001800 1828823b c0a59320 l........(.;... 8e5e70 0b8000d1 0f000000 6c100619 01a11a01 ........l....... 8e5e80 a01b019f 1200189b 109a1128 227a9912 ...........("z.. 8e5e90 c0a00b80 00282272 c0a0db10 0b80001b .....("r........ 8e5ea0 01a22822 7cc0a0b1 bc0b8000 c020d10f ..("|........ .. 8e5eb0 6c100418 00181b01 a1dc2028 82778ab1 l......... (.w.. 8e5ec0 2bb0080b 8000d10f 6c100415 01a1c0a1 +.......l....... 8e5ed0 b3282552 00c92122 0a000828 146d8906 .(%R..!"...(.m.. 8e5ee0 8950b455 09220360 0001c020 032b0cc0 .P.U.".`... .+.. 8e5ef0 200ba239 d10f0000 6c10048a 308ca12b ..9....l...0..+ 8e5f00 a1051601 a12ea106 acbb0c02 002cb101 .............,.. 8e5f10 0c02008d b10c0200 24b100b8 b2ccdb0c ........$....... 8e5f20 02008920 9960b422 60000200 89602fcc ... .`."`....`/. 8e5f30 fca9d904 fc38c9c6 b3c80828 146d890d .....8.....(.m.. 8e5f40 0c02008a 200c0200 9a90b422 b4998a30 .... ......"...0 8e5f50 89a0c895 da908990 659ff827 a1060e77 ........e..'...w 8e5f60 0c07074f 27a50628 310489a1 b8750e88 ...O'..(1....u.. 8e5f70 0cb88f28 350425a5 0628a105 2f3504a9 ...(5.%..(../5.. 8e5f80 88a8770c 02008fb1 0c02009f 71ca48c0 ..w.........q.H. 8e5f90 810c0200 98706000 06c0930c 02009970 .....p`........p 8e5fa0 180018dc 30288276 8a612b60 090b8000 ....0(.v.a+`.... 8e5fb0 cc43cc51 0b2000d1 0fadca0c 02008b20 .C.Q. ......... 8e5fc0 5bffc1d5 a00c0200 822165af cbc0920c [........!e..... 8e5fd0 02009970 63ffc800 6c1004c0 801301a3 ...pc...l....... 8e5fe0 93209824 9825982b 98269827 98289829 . .$.%.+.&.'.(.) 8e5ff0 98229821 982a982c d10f0000 6c100413 .".!.*.,....l... 8e6000 00182832 121a01a4 0b800012 00370c02 ..(2.........7.. 8e6010 002b2284 1a00e72c 2a000cbb 020b0b4f .+"....,*......O 8e6020 5bff8f28 323b2a3a e80b8000 0c02002b [..(2;*:.......+ 8e6030 22841a00 e72cdaff 0cbb010b 0b4f5bff "....,.......O[. 8e6040 880c0200 1c01a52b 22841a00 e70cbb02 .......+"....... 8e6050 0b0b4f5b ff832832 3b2a3ae8 0b80000c ..O[..(2;*:..... 8e6060 02001c01 a62b2284 1a00e70c bb010b0b .....+"......... 8e6070 4f5bff7b 28323b2a 3ae80b80 000c0200 O[.{(2;*:....... 8e6080 2b22861a 00e5c0c1 0cbb020b 0b4f5bff +"...........O[. 8e6090 741a01a7 c1b55bff 7228323b 2a3ae80b t.....[.r(2;*:.. 8e60a0 80001a01 a8c0b55b ff6e2832 3b2a3ae8 .......[.n(2;*:. 8e60b0 0b800028 32121a01 a90b8000 d10f0000 ...(2........... 8e60c0 6c100613 01aa0c02 0088300c 02002815 l.........0...(. 8e60d0 000c0200 2211007f 2f130c02 008a300c ....".../.....0. 8e60e0 02002a15 000c0200 2911007f 97eb0c02 ..*.....)....... 8e60f0 00221100 028247d1 0f000000 6c10041a ."....G.....l... 8e6100 01aac0c2 082b110c bb020b0b 4f5bff54 .....+......O[.T 8e6110 d10f0000 6c10046a 313a0309 40b136b2 ....l..j1:..@.6. 8e6120 34b03806 643b1601 ab041412 c0306d4a 4.8.d;.......0mJ 8e6130 230c0200 8760a23a b4660707 4f738901 #....`.:.f..Os.. 8e6140 cc9a27a4 01078b14 2ba40060 0004a23c ..'.....+..`...< 8e6150 27c400b2 33c020d1 0f000000 6c100416 '...3. .....l... 8e6160 00181a01 ac286212 db20dc30 0b80006a .....(b.. .0...j 8e6170 3117c040 5bffd2d5 a0a24adb 505bffe5 1..@[.....J.P[.. 8e6180 a454c0a0 5bffdd73 42e9dc30 286212db .T..[..sB..0(b.. 8e6190 201a01ad 0b8000d1 0f000000 6c1004d5 ...........l... 8e61a0 206a3112 c76f220a 006d3a08 8450b455 j1..o"..m:..P.U 8e61b0 064403a4 22d10fc0 20d10f00 6c10045b .D.."... ...l..[ 8e61c0 ffc01300 18d4a028 32121a01 aedb400b .......(2.....@. 8e61d0 8000db40 da205bff cf283212 1a01af8b ...@. [..(2..... 8e61e0 220b8000 c0a08920 99255bff c45bffb4 "...... .%[..[.. 8e61f0 dba0bc2a 5bffc728 32121a01 b08b230b ...*[..(2.....#. 8e6200 8000c0a0 5bffbd89 24659053 c0408a20 ....[...$e.S.@. 8e6210 8b215bff d25bffaa 8b218a20 0b2b145b .![..[...!. .+.[ 8e6220 ffdf8c23 7ac11728 32121a01 b10b8000 ...#z..(2....... 8e6230 9424c0a1 5bffb189 24649fd1 60002028 .$..[...$d..`. ( 8e6240 32121a01 b20b8000 c0a2c091 99245bff 2............$[. 8e6250 ab5bff9b 7da70828 32121a01 b30b8000 .[..}..(2....... 8e6260 c020d10f 6c100418 01b81901 b71a01b6 . ..l........... 8e6270 1b01b51c 01b41301 b9932198 2599249a ..........!.%.$. 8e6280 239b229c 20d10f00 6c100412 01bac050 #.". ...l......P 8e6290 c03a0224 026d3a03 9540b444 d10f0000 .:.$.m:..@.D.... 8e62a0 6c1004d1 0f000000 6c100694 106a414a l.......l....jAJ 8e62b0 1b01ba16 0018c070 0b330a28 62a10b80 .......p.3.(b... 8e62c0 002862a6 d2a00b80 00d4a028 6252db50 .(b........(bR.P 8e62d0 c0a00b80 008c10b1 77254504 9a41c0b0 ........w%E..A.. 8e62e0 2b45062b 45058930 94202b25 04cc9592 +E.+E..0. +%.... 8e62f0 3077c9c6 d10f9921 63fff3d1 0f000000 0w.....!c....... 8e6300 6c100412 01ba0233 0a8230c0 50c82f86 l......3..0.P./. 8e6310 20882198 30952124 65052565 06252504 .!.0.!$e.%e.%%. 8e6320 d10f0000 6c1004dc 40db30da 205bfff4 ....l...@.0. [.. 8e6330 d2a0d10f 6c100412 01ba0233 0a8530c8 ....l......3..0. 8e6340 50954194 30d10f00 6c10046f 2d2d1901 P.A.0...l..o--.. 8e6350 bbca3bc0 a01601bd 1401be18 00061c01 ..;............. 8e6360 bc0e2511 09370cac 5ca85582 c093c007 ..%..7..\.U..... 8e6370 64399450 092b0c0b a238d10f c020d10f d9.P.+...8... .. 8e6380 c0a00997 0c1601bd 1800061c 01bc0e25 ...............% 8e6390 111401be ac5ca855 82c099c0 07643994 .....\.U.....d9. 8e63a0 50092b0c 0ba238d1 0f000000 c0236000 P.+...8......#`. 8e63b0 02000000 95179218 12000803 b13002e6 .............0.. 8e63c0 16931092 11211c70 12000a01 02000324 .....!.p.......$ 8e63d0 02042409 6c100e0c 03300d06 001d01bc ..$.l....0...... 8e63e0 8f189c12 0c02300e 00309c19 0c01309e ......0..0....0. 8e63f0 1a9c1b0d fc0a8cc0 de1064c0 020fc000 ..........d..... 8e6400 8d198e1a 8f1b0d02 310e0031 0f01318e ........1..1..1. 8e6410 120c3600 0e033110 000c1d00 0a0d0002 ..6...1......... 8e6420 00d009d1 0f000000 024830b1 22002004 .........H0.". . 8e6430 03493003 02190033 1a6730bf 03835703 .I0....3.g0...W. 8e6440 22020203 06023301 033f0400 31040202 ".....3..?..1... 8e6450 19024931 02483022 2c1f0323 0c034831 ..I1.H0",..#..H1 8e6460 01020002 49306420 7a7f2f08 7e2f197d ....I0d z./.~/.} 8e6470 2f3a6000 8c239cf0 94309531 96329733 /:`..#...0.1.2.3 8e6480 02161401 080463ff dc23dcf0 94309531 ......c..#...0.1 8e6490 96329733 235cf483 30233ce0 98309931 .2.3#\..0#<..0.1 8e64a0 9a329b33 022a1402 080463ff b8010804 .2.3.*....c..... 8e64b0 2ddcf090 d091d192 d293d323 1cf48330 -..........#...0 8e64c0 2ddc1023 3cd09430 95319632 97339834 -..#<..0.1.2.3.4 8e64d0 99359a36 9b370f08 04023e14 03080463 .5.6.7....>....c 8e64e0 ff830000 01080402 48300021 04c02100 ........H0.!..!. 8e64f0 221a0249 31010200 c020d00f c021d00f "..I1.... ...!.. 8e6500 00000f22 11b12203 4830782f 0ab0330f ..."..".H0x/..3. 8e6510 22117827 f7030342 00310408 23100322 ".x'...B.1..#.." 8e6520 18020247 02493103 0330c220 03230c03 ...G.I1..0. .#.. 8e6530 48310102 00c022d0 0f000000 6c100216 H1....".....l... 8e6540 01bf05e6 30d40006 5201b322 02e63101 ....0...R.."..1. 8e6550 020053ff b5d04005 e6310102 00d10f00 ..S...@..1...... 8e6560 6c100228 20002930 00c0a379 8952032b l..( .)0...y.R.+ 8e6570 027ab053 032b037a b831b122 6480b7b1 .z.S.+.z.1."d... 8e6580 337a2043 28200029 3000b122 79893164 3z C( .)0.."y.1d 8e6590 80a4b133 7a203028 20002930 00b12279 ...3z 0( .)0.."y 8e65a0 891e6480 91b13360 001d0000 c0806d88 ..d...3`......m. 8e65b0 0f282000 293000b1 22798904 b133648f .( .)0.."y...3d. 8e65c0 ff09820c d10f0000 1401c017 01c36d48 ..............mH 8e65d0 29282200 2932000f 85117989 5e058902 )(".)2....y.^... 8e65e0 779c2028 22012932 010f8511 79894c05 w. (".)2....y.L. 8e65f0 8902779c 08222c08 233c0801 1102222c ..w..",.#<....", 8e6600 04233c04 74802f15 01c11601 8a758026 .#<.t./......u.& 8e6610 1701c276 80207780 1db422b4 336d4816 ...v. w...".3mH. 8e6620 88208930 b4227989 2374800a 75800776 . .0."y.#t..u..v 8e6630 80047780 01b433c0 20d10f00 058a0277 ..w...3. ......w 8e6640 ac0a798b 03c72fd1 0fc021d1 0f098203 ..y.../...!..... 8e6650 74281915 01c17480 dd75280b 16018a75 t(....t..u(....u 8e6660 80d47628 027680ce 09820cd1 0f088a57 ..v(.v.........W 8e6670 098b570b a20cd10f 6c100202 2a021401 ..W.....l...*... 8e6680 c01501c1 16018a27 0aff7f3f 0b7e3f17 .......'...?.~?. 8e6690 c08378a0 2a600063 00283000 b13328a4 ..x.*`.c.(0..3(. 8e66a0 00c988b1 aa7e37e7 28300028 a400c88b .....~7.(0.(.... 8e66b0 283001b2 3328a401 b2aa658f d2d10f00 (0..3(....e..... 8e66c0 c0806d88 138830b4 3374800f 75801476 ..m...0.3t..u..v 8e66d0 801998a0 778001b4 aad10f00 c08028a4 ....w.........(. 8e66e0 00d10f00 08085f28 a500d10f 08085f28 ......_(......_( 8e66f0 a500c080 28a402d1 0f000000 c0806d88 ....(.........m. 8e6700 0c283000 b13328a4 00b1aa64 8fffd10f .(0..3(....d.... 8e6710 6c100223 2cfc1401 c01501c1 16018a27 l..#,..........' 8e6720 0aff7f2f 067e2f0d 60001c00 283004b1 .../.~/.`...(0.. 8e6730 33ca887e 3711b233 88307680 2e778807 3..~7..3.0v..w.. 8e6740 b3330232 0cd10f00 c0806d88 0f8831b4 .3.2......m...1. 8e6750 3374800a 75800c76 80117780 ffb33302 3t..u..v..w...3. 8e6760 320cd10f b1330232 0cd10f00 b2330232 2....3.2.....3.2 8e6770 0cd10f00 6c100464 407f0235 02050541 ....l..d@..5...A 8e6780 64504027 20002830 00b04468 40257879 dP@' .(0..Dh@%xy 8e6790 226d0816 d640c944 c972b044 b133b122 "m...@.D.r.D.3." 8e67a0 c9652720 00283000 77890863 ffe2c020 .e' .(0.w..c... 8e67b0 d10f0000 08720cd1 0f253000 22200005 .....r...%0." .. 8e67c0 220cd10f 6e44bb87 20863077 69b41901 "...nD.. .0wi... 8e67d0 c41801c5 c7af6000 0687208b 3077b9a2 ......`... .0w.. 8e67e0 244cfcc9 470a7d03 a97c0dcc 017c880d $L..G.}..|...|.. 8e67f0 b433b422 6f44e163 ff88c020 d10fc020 .3."oD.c... ... 8e6800 d10f0000 283000b1 3328a400 b044ca47 ....(0..3(...D.G 8e6810 b1aa6480 487e373d 283000b0 4428a400 ..d.H~7=(0..D(.. 8e6820 c945b1aa cb862830 01b23328 a400b044 .E....(0..3(...D 8e6830 c845b1aa cd8e6000 24d10f00 6c1002da .E....`.$...l... 8e6840 20644ff4 1b01c015 01c11601 8a270aff dO..........'.. 8e6850 7f3fb07e 3fc1c083 78a05460 00a5c090 .?.~?...x.T`.... 8e6860 7faf287e af326a44 13042812 d30f6d88 ..(~.2jD..(...m. 8e6870 0399a0b4 aa0e8811 08440cc8 4929a400 .........D..I).. 8e6880 b0442aac 01654ff4 d10f0000 29a400b0 .D*..eO.....)... 8e6890 44644ff3 b1aa7ea7 cc29a400 b044644f DdO...~..)...DdO 8e68a0 e629a401 b044644f deb2aa63 ffb70000 .)...DdO...c.... 8e68b0 c0806d88 196a454b 8830b433 7b801275 ..m..jEK.0.3{..u 8e68c0 801d7680 2a98a024 4cfcb4aa 77808e63 ..v.*..$L...w..c 8e68d0 ff8bc080 28a400b0 44b1aa63 ff7f0000 ....(...D..c.... 8e68e0 08085f28 a500244c feb2aa63 ff6f0000 .._(..$L...c.o.. 8e68f0 08085f28 a500c080 28a40224 4cfdb3aa .._(....(..$L... 8e6900 63ff5a00 c0806d88 10283000 b13328a4 c.Z...m..(0..3(. 8e6910 00b044c8 46b1aa64 8fff63ff 40d10f00 ..D.F..d..c.@... 8e6920 6c10020f 1400d10f 82148315 211c7001 l...........!.p. 8e6930 14000003 00000000 82518350 02e63182 .........Q.P..1. 8e6940 54845601 020003b1 31835585 57000300 T.V.....1.U.W... 8e6950 6c1002d1 0f000000 6c100202 ea30d10f l.......l....0.. 8e6960 6c100265 200503f0 31000200 d10f0000 l..e ...1....... 8e6970 6c1002cc 2302f030 d10fc020 d10f0000 l...#..0... .... 8e6980 6c100202 e430d10f 6c100202 e230d10f l....0..l....0.. 8e6990 6c100202 e431d10f 6c100203 27030216 l....1..l...'... 8e69a0 06031306 6e323406 15147353 3a056f04 ....n24...sS:.o. 8e69b0 043f0405 440c0041 0400331a c0206d49 .?..D..A..3.. mI 8e69c0 0d736304 03660cb1 220f2211 03131473 .sc..f.."."....s 8e69d0 6301b122 02050607 523ad10f c9350602 c.."....R:...5.. 8e69e0 0607623b d10f0000 736310c0 21c74f07 ..b;....sc..!.O. 8e69f0 423ad10f 00000000 44495630 c020d10f B:......DIV0. .. 8e6a00 6c100202 27020212 06031306 6e322c05 l...'.......n2,. 8e6a10 2f04043f 04745b14 05440c00 41040033 /..?.t[..D..A..3 8e6a20 1a6d4908 73230203 220c0313 14732302 .mI.s#.."....s#. 8e6a30 03220c67 70020202 06d10f00 cc350000 .".gp........5.. 8e6a40 00444956 30c020d1 0f000000 6c10026e .DIV0. .....l..n 8e6a50 322fd620 056f0404 3f04745b 2a05440c 2/. .o..?.t[*.D. 8e6a60 00410400 331a220a 006d490d 73630403 .A..3."..mI.sc.. 8e6a70 660cb122 0f221103 13147363 02222c01 f.."."....sc.",. 8e6a80 d10fc83b d10f0000 73630cc0 21d10f00 ...;....sc..!... 8e6a90 00000000 44495630 c020d10f 6c10026e ....DIV0. ..l..n 8e6aa0 3229052f 04043f04 745b1605 440c0041 2)./..?.t[..D..A 8e6ab0 0400331a d30f6d49 08732302 03220c03 ..3...mI.s#..".. 8e6ac0 13147323 0203220c d10f0000 cc350000 ..s#.."......5.. 8e6ad0 00444956 30c020d1 0f000000 6c10046e .DIV0. .....l..n 8e6ae0 441b0235 02050541 cd520428 146d890d D..5...A.R.(.m.. 8e6af0 8a308920 7a9906b4 22b43324 4cfcd640 .0. z...".3$L..@ 8e6b00 b0446840 116d690e 27300028 2000b122 .Dh@.mi.'0.( .." 8e6b10 b1337789 06b044c0 20d10f00 07820cd1 .3w...D. ....... 8e6b20 0f000000 006d4909 263000b1 33265400 .....mI.&0..3&T. 8e6b30 b155d10f 6e47ed26 3000b133 244cff26 .U..nG.&0..3$L.& 8e6b40 5400255c 017e5727 6e46d926 30002730 T.%\.~W'nF.&0.'0 8e6b50 01b23324 4cfe2654 00275401 b2556000 ..3$L.&T.'T..U`. 8e6b60 0e000000 6c100202 25027f2f c67e2fd7 ....l...%../.~/. 8e6b70 04471428 0a037838 5a6d7915 86308731 .G.(..x8Zmy..0.1 8e6b80 96508632 97518733 9652233c 10975325 .P.2.Q.3.R#<..S% 8e6b90 5c107c47 0b863087 31b83396 509751b8 \.|G..0.1.3.P.Q. 8e6ba0 557d4f07 7e4f147f 4f21d10f 8630b433 U}O.~O..O!...0.3 8e6bb0 9650b455 7e4f047f 4f11d10f 263100b2 .P.U~O..O...&1.. 8e6bc0 33265500 b2557f4f 02d10f00 26300026 3&U..U.O....&0.& 8e6bd0 5400d10f 644ffa00 3304083b 010b330c T...dO..3..;..3. 8e6be0 86306d79 21873188 32076618 96508933 .0my!.1.2.f..P.3 8e6bf0 08771897 51863409 88189852 233c1006 .w..Q.4....R#<.. 8e6c00 99189953 255c107c 47158731 88320766 ...S%\.|G..1.2.f 8e6c10 189650b8 33087718 9751255c 08088602 ..P.3.w..Q%\.... 8e6c20 7d470c87 31b43307 66189650 b455d670 }G..1.3.f..P.U.p 8e6c30 ab337e4f 067f4f18 d10f0000 26300027 .3~O..O.....&0.' 8e6c40 3001b233 26540027 5401b255 7f4f01d1 0..3&T.'T..U.O.. 8e6c50 0f263000 265400d1 0f000000 6c1004d8 .&0.&T......l... 8e6c60 20d940da 40d730d3 20727b1b a742723b .@.@.0. r{..Br; 8e6c70 16a84364 40a36d49 09b022b0 33242000 ..Cd@.mI..".3$ . 8e6c80 243400d2 80d10f00 6e9b0808 7b020b0b $4......n...{... 8e6c90 4164b089 b0ac69c0 0260007d 0a42120a Ad....i..`.}.B.. 8e6ca0 0d436dda 092e7000 2e3400b1 77b133d4 .Cm...p..4..w.3. 8e6cb0 306d2a65 22700022 44002270 01224401 0m*e"p."D."p."D. 8e6cc0 22700222 44022270 03224403 22700422 "p."D."p."D."p." 8e6cd0 44042270 05224405 22700622 44062270 D."p."D."p."D."p 8e6ce0 07224407 22700822 44082270 09224409 ."D."p."D."p."D. 8e6cf0 22700a22 440a2270 0b22440b 22700c22 "p."D."p."D."p." 8e6d00 440c2270 0d22440d 22700e22 440e2270 D."p."D."p."D."p 8e6d10 0f22440f 277c1024 4c10d280 d10fd380 ."D.'|.$L....... 8e6d20 6f9b0260 0082c0a1 269c0f09 963b0646 o..`....&....;.F 8e6d30 120a6635 060f4106 64020422 126dfa15 ..f5..A.d..".m.. 8e6d40 8d709d30 8c719c31 8b729b32 8a739a33 .p.0.q.1.r.2.s.3 8e6d50 277c1023 3c10d430 0c6a110a 9a0c6d2a '|.#<..0.j....m* 8e6d60 45827092 40827192 41827292 42827392 E.p.@.q.A.r.B.s. 8e6d70 43827492 44827592 45827692 46827792 C.t.D.u.E.v.F.w. 8e6d80 47827892 48827992 49827a92 4a827b92 G.x.H.y.I.z.J.{. 8e6d90 4b827c92 4c827d92 4d827e92 4e827f92 K.|.L.}.M.~.N... 8e6da0 4f277c40 244c40d3 40d6a06f a40263fe O'|@$L@.@..o..c. 8e6db0 e20a2b43 0a2914d4 906db907 8c709c30 ..+C.)...m...p.0 8e6dc0 b477b433 0e9a1104 42140334 026d2945 .w.3....B..4.m)E 8e6dd0 82709240 82719241 82729242 82739243 .p.@.q.A.r.B.s.C 8e6de0 82749244 82759245 82769246 82779247 .t.D.u.E.v.F.w.G 8e6df0 82789248 82799249 827a924a 827b924b .x.H.y.I.z.J.{.K 8e6e00 827c924c 827d924d 827e924e 827f924f .|.L.}.M.~.N...O 8e6e10 277c4024 4c400a6a 0cd34063 fe750000 '|@$L@.j..@c.u.. 8e6e20 006d4904 235400b1 55d10f00 6e48f123 .mI.#T..U...nH.# 8e6e30 5400b155 b0447e57 286e48e4 235500b2 T..U.D~W(nH.#U.. 8e6e40 55244cfe 60001a00 6c100203 03470837 U$L.`...l....G.7 8e6e50 11073302 00371107 3302d520 7f2fcc7e ..3..7..3.. ./.~ 8e6e60 2fd60447 146d790a 93509351 93529353 /..G.my..P.Q.R.S 8e6e70 255c107c 47069350 9351255c 087d4703 %\.|G..P.Q%\.}G. 8e6e80 9350b455 7e470423 5500b255 7f470223 .P.U~G.#U..U.G.# 8e6e90 5400d10f T... Contents of section .debug_aranges: 0000 0000001c 00020000 00000400 00000000 ................ 0010 008e1000 0000002a 00000000 00000000 .......*........ 0020 0000001c 00020000 00790400 00000000 .........y...... 0030 008e0c20 00000006 00000000 00000000 ... ............ 0040 0000001c 00020000 00f50400 00000000 ................ 0050 008e0f20 00000006 00000000 00000000 ... ............ 0060 0000001c 00020000 01720400 00000000 .........r...... 0070 008e0d40 00000006 00000000 00000000 ...@............ 0080 0000001c 00020000 01ef0400 00000000 ................ 0090 008e0000 000000de 00000000 00000000 ................ 00a0 0000001c 00020000 026b0400 00000000 .........k...... 00b0 008e0e20 00000017 00000000 00000000 ... ............ 00c0 0000001c 00020000 02e60400 00000000 ................ 00d0 008e0ce4 00000003 00000000 00000000 ................ 00e0 0000001c 00020000 03600400 00000000 .........`...... 00f0 008e0800 0000016a 00000000 00000000 .......j........ 0100 0000001c 00020000 03de0400 00000000 ................ 0110 008e0a20 00000006 00000000 00000000 ... ............ 0120 0000001c 00020000 04580400 00000000 .........X...... 0130 008e0b20 00000006 00000000 00000000 ... ............ 0140 0000001c 00020000 04d20400 00000000 ................ 0150 008e102c 000000d7 00000000 00000000 ...,............ 0160 0000001c 00020000 05540400 00000000 .........T...... 0170 008e1104 0000006f 00000000 00000000 .......o........ 0180 0000001c 00020000 05d70400 00000000 ................ 0190 008e1174 0000006e 00000000 00000000 ...t...n........ 01a0 0000001c 00020000 065c0400 00000000 .........\...... 01b0 008e11e4 0000005c 00000000 00000000 .......\........ 01c0 0000001c 00020000 0a0e0400 00000000 ................ 01d0 008e1240 000000b1 00000000 00000000 ...@............ 01e0 0000001c 00020000 0a930400 00000000 ................ 01f0 008e12f4 000000ae 00000000 00000000 ................ 0200 0000001c 00020000 0b180400 00000000 ................ 0210 008e6920 00000008 00000000 00000000 ..i ............ 0220 0000001c 00020000 0b970400 00000000 ................ 0230 008e6928 0000000d 00000000 00000000 ..i(............ 0240 0000001c 00020000 0c140400 00000000 ................ 0250 008e6938 00000018 00000000 00000000 ..i8............ 0260 0000001c 00020000 0c8e0400 00000000 ................ 0270 008e13a4 0000088e 00000000 00000000 ................ 0280 0000001c 00020000 600a0400 00000000 ........`....... 0290 008e1c34 00000078 00000000 00000000 ...4...x........ 02a0 0000001c 00020000 a8820400 00000000 ................ 02b0 008e1cac 000000f2 00000000 00000000 ................ 02c0 0000001c 00020000 f3df0400 00000000 ................ 02d0 008e1da0 000003e5 00000000 00000000 ................ 02e0 0000001c 00020001 3de70400 00000000 ........=....... 02f0 008e2188 0000018e 00000000 00000000 ..!............. 0300 0000001c 00020001 88d00400 00000000 ................ 0310 008e2318 00000026 00000000 00000000 ..#....&........ 0320 0000001c 00020001 d01d0400 00000000 ................ 0330 008e2340 00000175 00000000 00000000 ..#@...u........ 0340 0000001c 00020002 1bba0400 00000000 ................ 0350 008e24b8 00000513 00000000 00000000 ..$............. 0360 0000001c 00020002 66210400 00000000 ........f!...... 0370 008e29cc 00000155 00000000 00000000 ..)....U........ 0380 0000001c 00020002 afb60400 00000000 ................ 0390 008e2b24 0000002b 00000000 00000000 ..+$...+........ 03a0 0000001c 00020002 f6e20400 00000000 ................ 03b0 008e2b50 000000b2 00000000 00000000 ..+P............ 03c0 0000001c 00020003 3f930400 00000000 ........?....... 03d0 008e2c04 000000de 00000000 00000000 ..,............. 03e0 0000001c 00020003 89060400 00000000 ................ 03f0 008e2ce4 00000114 00000000 00000000 ..,............. 0400 0000001c 00020003 d2490400 00000000 .........I...... 0410 008e2df8 0000019b 00000000 00000000 ..-............. 0420 0000001c 00020004 1bf60400 00000000 ................ 0430 008e2f94 0000041a 00000000 00000000 ../............. 0440 0000001c 00020004 69e20400 00000000 ........i....... 0450 008e33b0 000004d4 00000000 00000000 ..3............. 0460 0000001c 00020004 b9550400 00000000 .........U...... 0470 008e3884 00001071 00000000 00000000 ..8....q........ 0480 0000001c 00020005 10430400 00000000 .........C...... 0490 008e48f8 000003b3 00000000 00000000 ..H............. 04a0 0000001c 00020005 59d90400 00000000 ........Y....... 04b0 008e4cac 00000243 00000000 00000000 ..L....C........ 04c0 0000001c 00020005 a7030400 00000000 ................ 04d0 008e4ef0 00000084 00000000 00000000 ..N............. 04e0 0000001c 00020005 eee00400 00000000 ................ 04f0 008e4f74 000000b5 00000000 00000000 ..Ot............ 0500 0000001c 00020006 37230400 00000000 ........7#...... 0510 008e502c 000008ef 00000000 00000000 ..P,............ 0520 0000001c 00020006 8fc30400 00000000 ................ 0530 008e591c 000003d3 00000000 00000000 ..Y............. 0540 0000001c 00020006 dbf20400 00000000 ................ 0550 008e5cf0 0000016f 00000000 00000000 ..\....o........ 0560 0000001c 00020007 2c9a0400 00000000 ........,....... 0570 008e5e60 00000404 00000000 00000000 ..^`............ 0580 0000001c 00020007 7ac00400 00000000 ........z....... 0590 008e6264 000000e3 00000000 00000000 ..bd............ 05a0 0000001c 00020007 c4af0400 00000000 ................ 05b0 008e6348 00000061 00000000 00000000 ..cH...a........ 05c0 0000001c 00020007 c79e0400 00000000 ................ 05d0 008e6950 00000005 00000000 00000000 ..iP............ 05e0 0000001c 00020007 c8170400 00000000 ................ 05f0 008e63ac 00000079 00000000 00000000 ..c....y........ 0600 0000001c 00020007 c89c0400 00000000 ................ 0610 008e6958 00000026 00000000 00000000 ..iX...&........ 0620 0000001c 00020007 d5850400 00000000 ................ 0630 008e6428 00000137 00000000 00000000 ..d(...7........ 0640 0000001c 00020007 d6580400 00000000 .........X...... 0650 008e6980 00000008 00000000 00000000 ..i............. 0660 0000001c 00020007 d7230400 00000000 .........#...... 0670 008e6988 00000008 00000000 00000000 ..i............. 0680 0000001c 00020007 d7ee0400 00000000 ................ 0690 008e6990 00000008 00000000 00000000 ..i............. 06a0 0000001c 00020007 dae20400 00000000 ................ 06b0 008e6998 00000068 00000000 00000000 ..i....h........ 06c0 0000001c 00020007 dbd60400 00000000 ................ 06d0 008e6a00 00000049 00000000 00000000 ..j....I........ 06e0 0000001c 00020007 dcca0400 00000000 ................ 06f0 008e6a4c 00000050 00000000 00000000 ..jL...P........ 0700 0000001c 00020007 ddbe0400 00000000 ................ 0710 008e6a9c 0000003d 00000000 00000000 ..j....=........ 0720 0000001c 00020007 deb20400 00000000 ................ 0730 008e6adc 00000045 00000000 00000000 ..j....E........ 0740 0000001c 00020007 e9f20400 00000000 ................ 0750 008e6b24 00000135 00000000 00000000 ..k$...5........ 0760 0000001c 00020007 eaff0400 00000000 ................ 0770 008e6c5c 000001c2 00000000 00000000 ..l\............ 0780 0000001c 00020007 f6800400 00000000 ................ 0790 008e6e20 00000074 00000000 00000000 ..n ...t........ 07a0 0000001c 00020007 f78d0400 00000000 ................ 07b0 008e6560 00000118 00000000 00000000 ..e`............ 07c0 0000001c 00020007 f89a0400 00000000 ................ 07d0 008e6678 00000098 00000000 00000000 ..fx............ 07e0 0000001c 00020007 f9a70400 00000000 ................ 07f0 008e6710 00000063 00000000 00000000 ..g....c........ 0800 0000001c 00020007 fab40400 00000000 ................ 0810 008e6774 0000008e 00000000 00000000 ..gt............ 0820 0000001c 00020008 05dd0400 00000000 ................ 0830 008e6804 0000011b 00000000 00000000 ..h............. Contents of section .debug_pubnames: 0000 00000052 00020000 065c0000 00000000 ...R.....\...... 0010 02f15f78 746f735f 7365745f 696e7465 .._xtos_set_inte 0020 72727570 745f6861 6e646c65 725f6172 rrupt_handler_ar 0030 67000000 03655f78 746f735f 7365745f g....e_xtos_set_ 0040 696e7465 72727570 745f6861 6e646c65 interrupt_handle 0050 72000000 00000000 01c50002 00000c8e r............... 0060 00000000 000049bc 5f726f6d 5f73746f ......I._rom_sto 0070 72655f74 61626c65 0000004a ca626173 re_table...J.bas 0080 69635f52 4f4d5f6d 6f64756c 655f7461 ic_ROM_module_ta 0090 626c6500 00004d32 706f7374 0000004d ble...M2post...M 00a0 704d6167 7069655f 66617461 6c5f6578 pMagpie_fatal_ex 00b0 63657074 696f6e5f 68616e64 6c657200 ception_handler. 00c0 00004e1a 6174686f 735f626c 6f636b5f ..N.athos_block_ 00d0 616c6c5f 696e7472 6c766c00 00004e58 all_intrlvl...NX 00e0 6174686f 735f756e 626c6f63 6b5f616c athos_unblock_al 00f0 6c5f696e 74726c76 6c000000 4e946174 l_intrlvl...N.at 0100 686f735f 72657374 6f72655f 696e7472 hos_restore_intr 0110 6c766c00 00004fd8 67656e65 7269635f lvl...O.generic_ 0120 6869665f 6d6f6475 6c655f69 6e737461 hif_module_insta 0130 6c6c0000 00502861 74686f73 5f696e64 ll...P(athos_ind 0140 69726563 74696f6e 5f746162 6c655f69 irection_table_i 0150 6e737461 6c6c0000 00507261 74686f73 nstall...Prathos 0160 5f696e74 65727275 70745f68 616e646c _interrupt_handl 0170 65720000 0050cd61 74686f73 5f696e74 er...P.athos_int 0180 65727275 70745f69 6e697400 00005103 errupt_init...Q. 0190 6174686f 735f696e 69740000 0051365f athos_init...Q6_ 01a0 72656164 5f757362 5f646573 63000000 read_usb_desc... 01b0 51ab7265 61645f75 73625f63 6f6e6600 Q.read_usb_conf. 01c0 000051e5 7365745f 7063695f 636f6e66 ..Q.set_pci_conf 01d0 00000052 4e626f6f 746c6f61 64000000 ...RNbootload... 01e0 526b7063 695f676d 61635f62 6f6f746c Rkpci_gmac_bootl 01f0 6f616400 00005291 7475726e 5f6f6666 oad...R.turn_off 0200 5f726300 000052b1 626f6f74 656e7472 _rc...R.bootentr 0210 79000000 535b6d61 696e0000 00000000 y...S[main...... 0220 0000ab00 02000060 0a000000 00000046 .......`.......F 0230 c3616c6c 6f637261 6d5f6375 7272656e .allocram_curren 0240 745f6164 64720000 0046e561 6c6c6f63 t_addr...F.alloc 0250 72616d5f 72656d61 696e696e 675f6279 ram_remaining_by 0260 74657300 0000474f 636d6e6f 735f616c tes...GOcmnos_al 0270 6c6f6372 616d5f69 6e697400 000047b3 locram_init...G. 0280 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 0290 00480d63 6d6e6f73 5f616c6c 6f637261 .H.cmnos_allocra 02a0 6d5f6465 62756700 00004836 636d6e6f m_debug...H6cmno 02b0 735f616c 6c6f6372 616d5f6d 6f64756c s_allocram_modul 02c0 655f696e 7374616c 6c000000 00000000 e_install....... 02d0 01990002 0000a882 00000000 000046ba ..............F. 02e0 63746963 6b730000 0046cd63 7572725f cticks...F.curr_ 02f0 62616e64 00000048 17636d6e 6f735f63 band...H.cmnos_c 0300 6c6f636b 696e675f 7461626c 65000000 locking_table... 0310 483f636c 6f636b5f 696e666f 00000048 H?clock_info...H 0320 56636d6e 6f735f73 6b69705f 706c6c5f Vcmnos_skip_pll_ 0330 696e6974 00000048 76706c6c 5f637472 init...Hvpll_ctr 0340 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. 0350 00004899 706c6c5f 6374726c 5f736574 ..H.pll_ctrl_set 0360 74696e67 5f356768 7a000000 48d0636d ting_5ghz...H.cm 0370 6e6f735f 64656c61 795f7573 00000049 nos_delay_us...I 0380 30636d6e 6f735f6d 696c6c69 7365636f 0cmnos_milliseco 0390 6e647300 0000495b 636d6e6f 735f7265 nds...I[cmnos_re 03a0 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. 03b0 00498a63 6d6e6f73 5f756172 745f6672 .I.cmnos_uart_fr 03c0 65717565 6e637900 000049b7 636d6e6f equency...I.cmno 03d0 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. 03e0 000049df 636d6e6f 735f636c 6f636b72 ..I.cmnos_clockr 03f0 6567735f 696e6974 0000004a 08636d6e egs_init...J.cmn 0400 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set 0410 0000004a 49636d6e 6f735f70 6c6c5f69 ...JIcmnos_pll_i 0420 6e697400 00004a6c 636d6e6f 735f636c nit...Jlcmnos_cl 0430 6f636b5f 696e6974 0000004a c1636d6e ock_init...J.cmn 0440 6f735f74 69636b00 00004b1c 636d6e6f os_tick...K.cmno 0450 735f636c 6f636b5f 6d6f6475 6c655f69 s_clock_module_i 0460 6e737461 6c6c0000 00000000 0000d100 nstall.......... 0470 020000f3 df000000 00000046 d0656570 ...........F.eep 0480 5f737461 74650000 0046e665 65705f65 _state...F.eep_e 0490 78697374 00000047 48636d6e 6f735f65 xist...GHcmnos_e 04a0 6570726f 6d5f7772 6974655f 68776f72 eprom_write_hwor 04b0 64000000 479c636d 6e6f735f 65657072 d...G.cmnos_eepr 04c0 6f6d5f72 6561645f 68776f72 64000000 om_read_hword... 04d0 47fe636d 6e6f735f 6565705f 69735f65 G.cmnos_eep_is_e 04e0 78697374 00000048 39636d6e 6f735f65 xist...H9cmnos_e 04f0 65705f77 72697465 00000048 dc636d6e ep_write...H.cmn 0500 6f735f65 65705f72 65616400 00004977 os_eep_read...Iw 0510 636d6e6f 735f6565 705f696e 69740000 cmnos_eep_init.. 0520 0049c963 6d6e6f73 5f656570 5f6d6f64 .I.cmnos_eep_mod 0530 756c655f 696e7374 616c6c00 00000000 ule_install..... 0540 000000ed 00020001 3de70000 00000000 ........=....... 0550 487a636d 6e6f735f 6973725f 696e666f Hzcmnos_isr_info 0560 00000048 95636d6e 6f735f65 6e61626c ...H.cmnos_enabl 0570 65645f69 6e746572 72757074 73000000 ed_interrupts... 0580 48d4636d 6e6f735f 696e7472 5f64756d H.cmnos_intr_dum 0590 6d790000 00491163 6d6e6f73 5f696e74 my...I.cmnos_int 05a0 725f696e 69740000 00494163 6d6e6f73 r_init...IAcmnos 05b0 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. 05c0 000049a1 636d6e6f 735f696e 74725f75 ..I.cmnos_intr_u 05d0 6e6d6173 6b5f696e 756d0000 004a0563 nmask_inum...J.c 05e0 6d6e6f73 5f696e74 725f6174 74616368 mnos_intr_attach 05f0 5f697372 0000004a 6a636d6e 6f735f69 _isr...Jjcmnos_i 0600 6e74725f 696e766f 6b655f69 73720000 ntr_invoke_isr.. 0610 004aab63 6d6e6f73 5f696e74 725f6d6f .J.cmnos_intr_mo 0620 64756c65 5f696e73 74616c6c 00000000 dule_install.... 0630 00000000 3e000200 0188d000 00000000 ....>........... 0640 0046ed63 6d6e6f73 5f6d656d 5f696e69 .F.cmnos_mem_ini 0650 74000000 4710636d 6e6f735f 6d656d5f t...G.cmnos_mem_ 0660 6d6f6475 6c655f69 6e737461 6c6c0000 module_install.. 0670 00000000 00013600 020001d0 1d000000 ......6......... 0680 00000048 56617373 6c6f6f70 00000048 ...HVassloop...H 0690 6a617373 7072696e 74000000 48936375 jassprint...H.cu 06a0 7272656e 745f6475 6d700000 00493663 rrent_dump...I6c 06b0 6d6e6f73 5f737973 74656d5f 72657365 mnos_system_rese 06c0 74000000 495d636d 6e6f735f 6d61635f t...I]cmnos_mac_ 06d0 72657365 74000000 4981636d 6e6f735f reset...I.cmnos_ 06e0 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 06f0 68616e64 6c657200 000049c6 636d6e6f handler...I.cmno 0700 735f6173 73666169 6c000000 4a11636d s_assfail...J.cm 0710 6e6f735f 7265706f 72745f66 61696c75 nos_report_failu 0720 72655f74 6f5f686f 73740000 004a6263 re_to_host...Jbc 0730 6d6e6f73 5f746172 6765745f 69645f67 mnos_target_id_g 0740 65740000 004a8e63 6d6e6f73 5f676574 et...J.cmnos_get 0750 5f6b6268 69740000 004ae963 6d6e6f73 _kbhit...J.cmnos 0760 5f69735f 686f7374 5f707265 73656e74 _is_host_present 0770 0000004b 31636d6e 6f735f72 6f6d5f76 ...K1cmnos_rom_v 0780 65727369 6f6e5f67 65740000 004b5f63 ersion_get...K_c 0790 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module 07a0 5f696e73 74616c6c 00000000 00000000 _install........ 07b0 74000200 021bba00 00000000 0046c65f t............F._ 07c0 70757463 00000047 78636d6e 6f735f77 putc...Gxcmnos_w 07d0 72697465 5f636861 72000000 49b3636d rite_char...I.cm 07e0 6e6f735f 7072696e 74660000 0049fe63 nos_printf...I.c 07f0 6d6e6f73 5f707269 6e74665f 696e6974 mnos_printf_init 0800 0000004a 25636d6e 6f735f70 72696e74 ...J%cmnos_print 0810 665f6d6f 64756c65 5f696e73 74616c6c f_module_install 0820 00000000 00000000 c8000200 02662100 .............f!. 0830 00000000 0046c370 61746368 5f737461 .....F.patch_sta 0840 72740000 0046db70 61746368 5f616464 rt...F.patch_add 0850 72000000 476c5f70 61746368 5f64756d r...Gl_patch_dum 0860 70000000 47a45f72 6561645f 726f6d5f p...G._read_rom_ 0870 70617463 68000000 4806636d 6e6f735f patch...H.cmnos_ 0880 726f6d70 5f646563 6f646500 00004889 romp_decode...H. 0890 636d6e6f 735f726f 6d705f69 6e737461 cmnos_romp_insta 08a0 6c6c0000 0048b463 6d6e6f73 5f726f6d ll...H.cmnos_rom 08b0 705f646f 776e6c6f 61640000 00493363 p_download...I3c 08c0 6d6e6f73 5f726f6d 705f696e 69740000 mnos_romp_init.. 08d0 00495763 6d6e6f73 5f726f6d 705f6d6f .IWcmnos_romp_mo 08e0 64756c65 5f696e73 74616c6c 00000000 dule_install.... 08f0 00000000 44000200 02afb600 00000000 ....D........... 0900 0046c663 6d6e6f73 5f737472 696e675f .F.cmnos_string_ 0910 696e6974 00000046 ec636d6e 6f735f73 init...F.cmnos_s 0920 7472696e 675f6d6f 64756c65 5f696e73 tring_module_ins 0930 74616c6c 00000000 00000000 ad000200 tall............ 0940 02f6e200 00000000 00472663 6d6e6f73 .........G&cmnos 0950 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... 0960 474d636d 6e6f735f 7461736b 6c65745f GMcmnos_tasklet_ 0970 696e6974 5f746173 6b000000 47a8636d init_task...G.cm 0980 6e6f735f 7461736b 6c65745f 73636865 nos_tasklet_sche 0990 64756c65 00000047 e9636d6e 6f735f74 dule...G.cmnos_t 09a0 61736b6c 65745f64 69736162 6c650000 asklet_disable.. 09b0 00483c63 6d6e6f73 5f746173 6b6c6574 .H__pci_get 0cd0 5f72785f 656e6700 0000487a 5f5f7063 _rx_eng...Hz__pc 0ce0 695f656e 61626c65 00000048 ac5f5f70 i_enable...H.__p 0cf0 63695f72 65736574 00000048 e05f5f70 ci_reset...H.__p 0d00 63695f62 6f6f745f 696e6974 00000049 ci_boot_init...I 0d10 045f5f70 63695f69 6e697400 0000493d .__pci_init...I= 0d20 5f5f7063 695f6366 675f7069 70650000 __pci_cfg_pipe.. 0d30 0049a95f 5f706369 5f737461 72740000 .I.__pci_start.. 0d40 0049dd5f 5f706369 5f726567 5f63616c .I.__pci_reg_cal 0d50 6c626163 6b000000 4a2d5f5f 7063695f lback...J-__pci_ 0d60 72656170 5f786d69 74746564 0000004a reap_xmitted...J 0d70 8c5f5f70 63695f72 6561705f 72656376 .__pci_reap_recv 0d80 0000004a de5f5f70 63695f69 73725f68 ...J.__pci_isr_h 0d90 616e646c 65720000 004b2a5f 5f706369 andler...K*__pci 0da0 5f786d69 745f6275 66000000 4b8c5f5f _xmit_buf...K.__ 0db0 7063695f 72657475 726e5f72 65637600 pci_return_recv. 0dc0 00004bec 5f5f7063 695f6973 5f706970 ..K.__pci_is_pip 0dd0 655f7375 70706f72 74656400 00004c3f e_supported...L? 0de0 5f5f7063 695f6765 745f6d61 785f6d73 __pci_get_max_ms 0df0 675f6c65 6e000000 4c905f5f 7063695f g_len...L.__pci_ 0e00 6765745f 72657365 72766564 5f686561 get_reserved_hea 0e10 64726f6f 6d000000 4cd85f5f 7063695f droom...L.__pci_ 0e20 73687574 646f776e 0000004d 0f5f5f70 shutdown...M.__p 0e30 63695f67 65745f64 65665f70 69706500 ci_get_def_pipe. 0e40 00004d71 6869665f 7063695f 6d6f6475 ..Mqhif_pci_modu 0e50 6c655f69 6e737461 6c6c0000 004db168 le_install...M.h 0e60 69665f70 63695f61 70695f69 6e737461 if_pci_api_insta 0e70 6c6c0000 00000000 0001ad00 02000469 ll.............i 0e80 e2000000 00000047 6e675f68 69665553 .......Gng_hifUS 0e90 42437478 00000049 7d5f4849 46757362 BCtx...I}_HIFusb 0ea0 5f696e69 74000000 49c85f48 49467573 _init...I._HIFus 0eb0 625f7368 7574646f 776e0000 004a025f b_shutdown...J._ 0ec0 48494675 73625f72 65676973 7465725f HIFusb_register_ 0ed0 63616c6c 6261636b 0000004a 565f4849 callback...JV_HI 0ee0 46757362 5f737461 72740000 004b4c5f Fusb_start...KL_ 0ef0 48494675 73625f63 6f6e6669 675f7069 HIFusb_config_pi 0f00 70650000 004bd35f 48494675 73625f73 pe...K._HIFusb_s 0f10 656e645f 62756666 65720000 004c345f end_buffer...L4_ 0f20 48494675 73625f72 65747572 6e5f7265 HIFusb_return_re 0f30 63765f62 75660000 004c9b5f 48494675 cv_buf...L._HIFu 0f40 73625f73 65745f72 6563765f 62756673 sb_set_recv_bufs 0f50 7a000000 4cfc5f48 49467573 625f7061 z...L._HIFusb_pa 0f60 7573655f 72656376 0000004d 495f4849 use_recv...MI_HI 0f70 46757362 5f726573 756d655f 72656376 Fusb_resume_recv 0f80 0000004d 975f4849 46757362 5f69735f ...M._HIFusb_is_ 0f90 70697065 5f737570 706f7274 65640000 pipe_supported.. 0fa0 004def5f 48494675 73625f67 65745f6d .M._HIFusb_get_m 0fb0 61785f6d 73675f6c 656e0000 004e455f ax_msg_len...NE_ 0fc0 48494675 73625f69 73725f68 616e646c HIFusb_isr_handl 0fd0 65720000 004e955f 48494675 73625f67 er...N._HIFusb_g 0fe0 65745f64 65666175 6c745f70 69706500 et_default_pipe. 0ff0 00004f07 6869665f 7573625f 6d6f6475 ..O.hif_usb_modu 1000 6c655f69 6e737461 6c6c0000 004f4748 le_install...OGH 1010 49467573 625f4465 73635472 61636544 IFusb_DescTraceD 1020 756d7000 00000000 0000020e 00020004 ump............. 1030 b9550000 00000000 473c436f 6e74726f .U......G.. 04a50 61646472 6573734c 0000001c f0022300 addressL......#. 04a60 08616464 72657373 48000000 1cf00223 .addressH......# 04a70 02087661 6c75654c 0000001c f0022304 ..valueL......#. 04a80 0876616c 75654800 00001cf0 02230600 .valueH......#.. 04a90 09574d49 5f415654 0000003d bb0e0000 .WMI_AVT...=.... 04aa0 3e020800 003e1c0f 0000110c 00003e53 >....>........>S 04ab0 08747570 6c654e75 6d4c0000 001cf002 .tupleNumL...... 04ac0 23000874 75706c65 4e756d48 0000001c #..tupleNumH.... 04ad0 f0022302 08617674 0000003e 0f022304 ..#..avt...>..#. 04ae0 00110100 003e7508 62656163 6f6e5065 .....>u.beaconPe 04af0 6e64696e 67436f75 6e740000 0016c802 ndingCount...... 04b00 23000007 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON 04b10 46494700 1000003e de084874 6348616e FIG....>..HtcHan 04b20 646c6500 00003a71 02230008 506f6f6c dle...:q.#..Pool 04b30 48616e64 6c650000 00369102 2304084d Handle...6..#..M 04b40 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. 04b50 00010802 2308084d 61784576 656e7445 ....#..MaxEventE 04b60 76747300 00000108 02230c00 02010300 vts......#...... 04b70 003ede04 0009574d 495f434d 445f4841 .>....WMI_CMD_HA 04b80 4e444c45 52000000 3ee0075f 574d495f NDLER...>.._WMI_ 04b90 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. 04ba0 00003f47 0870436d 6448616e 646c6572 ..?G.pCmdHandler 04bb0 0000003e e7022300 08436d64 49440000 ...>..#..CmdID.. 04bc0 00133602 23040846 6c616773 00000013 ..6.#..Flags.... 04bd0 36022306 00075f57 4d495f44 49535041 6.#..._WMI_DISPA 04be0 5443485f 5441424c 45001000 003fa808 TCH_TABLE....?.. 04bf0 704e6578 74000000 3fa80223 00087043 pNext...?..#..pC 04c00 6f6e7465 78740000 0003fd02 2304084e ontext......#..N 04c10 756d6265 724f6645 6e747269 65730000 umberOfEntries.. 04c20 00010802 23080870 5461626c 65000000 ....#..pTable... 04c30 3fc70223 0c000300 003f4704 0009574d ?..#.....?G...WM 04c40 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY 04c50 0000003e fc030000 3faf0400 0300003f ...>....?......? 04c60 47040009 4854435f 4255465f 434f4e54 G...HTC_BUF_CONT 04c70 45585400 00003a35 0c574d49 5f455654 EXT...:5.WMI_EVT 04c80 5f434c41 53530004 0000405f 18574d49 _CLASS....@_.WMI 04c90 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. 04ca0 ffffffff 0d574d49 5f455654 5f434c41 .....WMI_EVT_CLA 04cb0 53535f43 4d445f45 56454e54 00000d57 SS_CMD_EVENT...W 04cc0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 04cd0 5f524550 4c590001 0d574d49 5f455654 _REPLY...WMI_EVT 04ce0 5f434c41 53535f4d 41580002 0009574d _CLASS_MAX....WM 04cf0 495f4556 545f434c 41535300 00003fea I_EVT_CLASS...?. 04d00 075f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX 04d10 54000c00 0040bd08 48746342 75664374 T....@..HtcBufCt 04d20 78000000 3fd50223 00084576 656e7443 x...?..#..EventC 04d30 6c617373 00000040 5f022304 08466c61 lass...@_.#..Fla 04d40 67730000 00133602 23080009 776d695f gs....6.#...wmi_ 04d50 68616e64 6c655f74 00000003 fd09574d handle_t......WM 04d60 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> 04d70 75030000 40cf0400 06000040 bd010300 u...@......@.... 04d80 0040ea04 0009574d 495f4449 53504154 .@....WMI_DISPAT 04d90 43485f54 41424c45 0000003f 47030000 CH_TABLE...?G... 04da0 40f70400 02010300 00411604 00060000 @........A...... 04db0 268d0103 0000411f 04000201 03000041 &.....A........A 04dc0 2c040006 00000108 01030000 41350400 ,...........A5.. 04dd0 02010300 00414204 00060000 13220103 .....AB......".. 04de0 0000414b 0400075f 776d695f 7376635f ..AK..._wmi_svc_ 04df0 61706973 002c0000 4293085f 574d495f apis.,..B.._WMI_ 04e00 496e6974 00000040 f0022300 085f574d Init...@..#.._WM 04e10 495f5265 67697374 65724469 73706174 I_RegisterDispat 04e20 63685461 626c6500 00004118 02230408 chTable...A..#.. 04e30 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. 04e40 00004125 02230808 5f574d49 5f53656e ..A%.#.._WMI_Sen 04e50 64457665 6e740000 00412e02 230c085f dEvent...A..#.._ 04e60 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv 04e70 656e7473 436f756e 74000000 413b0223 entsCount...A;.# 04e80 10085f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl 04e90 65746548 616e646c 65720000 0039a202 eteHandler...9.. 04ea0 2314085f 574d495f 47657443 6f6e7472 #.._WMI_GetContr 04eb0 6f6c4570 00000041 3b022318 085f574d olEp...A;.#.._WM 04ec0 495f5368 7574646f 776e0000 00414402 I_Shutdown...AD. 04ed0 231c085f 574d495f 52656376 4d657373 #.._WMI_RecvMess 04ee0 61676548 616e646c 65720000 00399902 ageHandler...9.. 04ef0 2320085f 574d495f 53657276 69636543 # ._WMI_ServiceC 04f00 6f6e6e65 63740000 00415102 23240870 onnect...AQ.#$.p 04f10 52657365 72766564 00000003 fd022328 Reserved......#( 04f20 00077a73 446d6144 65736300 14000043 ..zsDmaDesc....C 04f30 15086374 726c0000 00016c02 23000873 ..ctrl....l.#..s 04f40 74617475 73000000 016c0223 0208746f tatus....l.#..to 04f50 74616c4c 656e0000 00016c02 23040864 talLen....l.#..d 04f60 61746153 697a6500 0000016c 02230608 ataSize....l.#.. 04f70 6c617374 41646472 00000043 15022308 lastAddr...C..#. 04f80 08646174 61416464 72000000 01900223 .dataAddr......# 04f90 0c086e65 78744164 64720000 00431502 ..nextAddr...C.. 04fa0 23100003 00004293 04000300 00429304 #.....B......B.. 04fb0 00077a73 446d6151 75657565 00080000 ..zsDmaQueue.... 04fc0 43550868 65616400 0000431c 02230008 CU.head...C..#.. 04fd0 7465726d 696e6174 6f720000 00431c02 terminator...C.. 04fe0 23040007 7a735478 446d6151 75657565 #...zsTxDmaQueue 04ff0 00100000 43b90868 65616400 0000431c ....C..head...C. 05000 02230008 7465726d 696e6174 6f720000 .#..terminator.. 05010 00431c02 23040878 6d697465 645f6275 .C..#..xmited_bu 05020 665f6865 61640000 00148102 23080878 f_head......#..x 05030 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. 05040 00148102 230c0002 01030000 43b90400 ....#.......C... 05050 03000043 23040002 01030000 43c90400 ...C#.......C... 05060 03000043 55040002 01030000 43d90400 ...CU.......C... 05070 02010300 0043e204 00020103 000043eb .....C........C. 05080 04000600 00148101 03000043 f4040002 ...........C.... 05090 01030000 44010400 06000014 81010300 ....D........... 050a0 00440a04 00020103 00004417 04000600 .D........D..... 050b0 00010801 03000044 20040006 0000431c .......D .....C. 050c0 01030000 442d0400 02010300 00443a04 ....D-.......D:. 050d0 0007646d 615f656e 67696e65 5f617069 ..dma_engine_api 050e0 00400000 45b0085f 696e6974 00000043 .@..E.._init...C 050f0 bb022300 085f696e 69745f72 785f7175 ..#.._init_rx_qu 05100 65756500 000043cb 02230408 5f696e69 eue...C..#.._ini 05110 745f7478 5f717565 75650000 0043db02 t_tx_queue...C.. 05120 2308085f 636f6e66 69675f72 785f7175 #.._config_rx_qu 05130 65756500 000043e4 02230c08 5f786d69 eue...C..#.._xmi 05140 745f6275 66000000 43ed0223 10085f66 t_buf...C..#.._f 05150 6c757368 5f786d69 74000000 43cb0223 lush_xmit...C..# 05160 14085f72 6561705f 72656376 5f627566 .._reap_recv_buf 05170 00000043 fa022318 085f7265 7475726e ...C..#.._return 05180 5f726563 765f6275 66000000 44030223 _recv_buf...D..# 05190 1c085f72 6561705f 786d6974 65645f62 .._reap_xmited_b 051a0 75660000 00441002 2320085f 73776170 uf...D..# ._swap 051b0 5f646174 61000000 44190223 24085f68 _data...D..#$._h 051c0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets 051d0 00000044 26022328 085f6465 73635f64 ...D&.#(._desc_d 051e0 756d7000 000043cb 02232c08 5f676574 ump...C..#,._get 051f0 5f706163 6b657400 00004433 02233008 _packet...D3.#0. 05200 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. 05210 0000443c 02233408 5f707574 5f706163 ..D<.#4._put_pac 05220 6b657400 0000443c 02233808 70526573 ket...D<.#8.pRes 05230 65727665 64000000 03fd0223 3c00095f erved......#<.._ 05240 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 05250 696f6e5f 7461626c 655f7400 000030ef ion_table_t...0. 05260 09574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... 05270 4158165f 415f6d61 67706965 5f696e64 AX._A_magpie_ind 05280 69726563 74696f6e 5f746162 6c650003 irection_table.. 05290 4c000046 de08636d 6e6f7300 000045b0 L..F..cmnos...E. 052a0 02230008 64626700 000003ca 0323b803 .#..dbg......#.. 052b0 08686966 00000029 980323c0 03086874 .hif...)..#...ht 052c0 63000000 3b0b0323 f8030877 6d695f73 c...;..#...wmi_s 052d0 76635f61 70690000 0045d203 23ac0408 vc_api...E..#... 052e0 75736266 69666f5f 61706900 000032c3 usbfifo_api...2. 052f0 0323d804 08627566 5f706f6f 6c000000 .#...buf_pool... 05300 376c0323 e4040876 62756600 000014ab 7l.#...vbuf..... 05310 03238005 08766465 73630000 00138d03 .#...vdesc...... 05320 23940508 616c6c6f 6372616d 00000009 #...allocram.... 05330 830323a8 0508646d 615f656e 67696e65 ..#...dma_engine 05340 00000044 430323b4 0508646d 615f6c69 ...DC.#...dma_li 05350 62000000 2c2c0323 f4050868 69665f70 b...,,.#...hif_p 05360 63690000 002e8c03 23a80600 095f415f ci......#...._A_ 05370 6d616770 69655f69 6e646972 65637469 magpie_indirecti 05380 6f6e5f74 61626c65 5f740000 0045e411 on_table_t...E.. 05390 10000047 34086130 00000001 a5022300 ...G4.a0......#. 053a0 08613100 000001a5 02230408 61320000 .a1......#..a2.. 053b0 0001a502 23080861 33000000 01a50223 ....#..a3......# 053c0 0c000e00 00470150 00004741 0f040007 .....G.P..GA.... 053d0 5854656e 73615f65 78636570 74696f6e XTensa_exception 053e0 5f667261 6d655f73 00800000 48250878 _frame_s....H%.x 053f0 745f7063 00000001 a5022300 0878745f t_pc......#..xt_ 05400 70730000 0001a502 23040878 745f7361 ps......#..xt_sa 05410 72000000 01a50223 08087874 5f767072 r......#..xt_vpr 05420 69000000 01a50223 0c087874 5f613200 i......#..xt_a2. 05430 000001a5 02231008 78745f61 33000000 .....#..xt_a3... 05440 01a50223 14087874 5f613400 000001a5 ...#..xt_a4..... 05450 02231808 78745f61 35000000 01a50223 .#..xt_a5......# 05460 1c087874 5f657863 63617573 65000000 ..xt_exccause... 05470 01a50223 20087874 5f6c636f 756e7400 ...# .xt_lcount. 05480 000001a5 02232408 78745f6c 62656700 .....#$.xt_lbeg. 05490 000001a5 02232808 78745f6c 656e6400 .....#(.xt_lend. 054a0 000001a5 02232c08 77620000 00473402 .....#,.wb...G4. 054b0 23300009 4350555f 65786365 7074696f #0..CPU_exceptio 054c0 6e5f6672 616d655f 74000000 47411108 n_frame_t...GA.. 054d0 00004874 086d6f72 655f6461 74610000 ..Ht.more_data.. 054e0 001cf002 2300086c 656e0000 001cf002 ....#..len...... 054f0 2302086f 66667365 74000000 16610223 #..offset....a.# 05500 04001108 00004896 08727370 00000016 ......H..rsp.... 05510 61022300 086f6666 73657400 00001661 a.#..offset....a 05520 02230400 110c0000 48dd0861 64647200 .#......H..addr. 05530 00001661 02230008 6869665f 68616e64 ...a.#..hif_hand 05540 6c650000 0028f502 23040872 785f7069 le...(..#..rx_pi 05550 70650000 0016c802 23080874 785f7069 pe......#..tx_pi 05560 70650000 0016c802 23090009 415f494e pe......#...A_IN 05570 54333200 00000108 095f5f61 5f696e74 T32......__a_int 05580 33325f74 00000048 dd09615f 696e7433 32_t...H..a_int3 05590 325f7400 000048ea 076d6469 6f5f6277 2_t...H..mdio_bw 055a0 5f657865 63001800 00499208 73746172 _exec....I..star 055b0 745f6164 64726573 73000000 16610223 t_address....a.# 055c0 00086c65 6e677468 00000016 61022304 ..length....a.#. 055d0 08657865 635f6164 64726573 73000000 .exec_address... 055e0 16610223 08086368 65636b73 756d0000 .a.#..checksum.. 055f0 00166102 230c0866 77645f73 74617465 ..a.#..fwd_state 05600 00000048 fb022310 08637572 72656e74 ...H..#..current 05610 5f77725f 70747200 000016d7 02231400 _wr_ptr......#.. 05620 06000001 08010300 0001a504 00190000 ................ 05630 01a50100 0049ab1a 00050000 499f1900 .....I......I... 05640 0001a501 000049bc 1a001b5f 726f6d5f ......I...._rom_ 05650 73746f72 655f7461 626c6500 000049ab store_table...I. 05660 0503004e 8720010e 000001a5 10000049 ...N. .........I 05670 e60f0300 0e000001 0f0b0000 49f30f0a ............I... 05680 00030000 49e60400 1c000001 901c0000 ....I........... 05690 01900300 0049ff04 00030000 48250400 .....I......H%.. 056a0 02010e00 00010f3c 00004a21 0f3b0003 .......<..J!.;.. 056b0 00004a14 04000e00 00010f07 00004a35 ..J...........J5 056c0 0f060003 00004a28 04000e00 00010f12 ......J(........ 056d0 00004a49 0f110003 00004a3c 04000300 ..JI......J<.... 056e0 0001a504 000e0000 010f4300 004a640f ..........C..Jd. 056f0 42000300 004a5704 000e0000 010f2d00 B....JW.......-. 05700 004a780f 2c000300 004a6b04 000e0000 .Jx.,....Jk..... 05710 010f1b00 004a8c0f 1a000300 004a7f04 .....J.......J.. 05720 00110800 004abd08 696e7374 616c6c5f .....J..install_ 05730 666e0000 0009e902 23000861 70695f74 fn......#..api_t 05740 626c0000 0003fd02 23040019 00004a93 bl......#.....J. 05750 0100004a ca0f1600 1b626173 69635f52 ...J.....basic_R 05760 4f4d5f6d 6f64756c 655f7461 626c6500 OM_module_table. 05770 00004abd 05030050 06400103 00000440 ..J....P.@.....@ 05780 04000201 03000045 b0040003 000046de .......E......F. 05790 04000300 0005b304 00020103 00000135 ...............5 057a0 04000201 02010300 000fe204 00020103 ................ 057b0 00000c7b 04000201 03000007 cb040002 ...{............ 057c0 01030000 0b2b0400 02010300 00098304 .....+.......... 057d0 00020103 00000875 04000201 0300000e .......u........ 057e0 58040002 01030000 0f860400 02010300 X............... 057f0 002f5d04 00030000 06d70400 02010300 ./]............. 05800 000a8004 00020103 0000138d 04000201 ................ 05810 03000014 ab040002 01030000 29980400 ............)... 05820 02010300 002e8c04 00020103 00002c2c ..............,, 05830 04000201 03000037 6c040002 01030000 .......7l....... 05840 32c30400 02010300 00444304 00020109 2........DC..... 05850 5f78746f 735f6861 6e646c65 725f6675 _xtos_handler_fu 05860 6e630000 0043b903 00004bc1 0400095f nc...C....K...._ 05870 78746f73 5f68616e 646c6572 0000004b xtos_handler...K 05880 d9060000 4be00103 00004a12 04000201 ....K.....J..... 05890 0300004c 00040002 010e0000 010f1400 ...L............ 058a0 004c180f 13000300 004c0b04 000e0000 .L.......L...... 058b0 010f0500 004c2c0f 04000300 004c1f04 .....L,......L.. 058c0 00060000 0841010e 0000010f 1100004c .....A.........L 058d0 460f1000 0300004c 39040003 000043b9 F......L9.....C. 058e0 04000e00 00010f1c 00004c61 0f1b0003 ..........La.... 058f0 00004c54 04000300 0043b904 000e0000 ..LT.....C...... 05900 010f0200 004c7c0f 01000300 004c6f04 .....L|......Lo. 05910 000e0000 010f1000 004c900f 0f000300 .........L...... 05920 004c8304 000e0000 010f1900 004ca40f .L...........L.. 05930 18000300 004c9704 000e0000 010f1e00 .....L.......... 05940 004cb80f 1d000300 004cab04 000e0000 .L.......L...... 05950 010f0f00 004ccc0f 0e000300 004cbf04 .....L.......L.. 05960 000e0000 010f0d00 004ce00f 0c000300 .........L...... 05970 004cd304 00060000 28f5010e 0000010f .L......(....... 05980 1a00004c fa0f1900 0300004c ed040002 ...L.......L.... 05990 01096d64 696f5f62 775f6578 65635f74 ..mdio_bw_exec_t 059a0 00000049 0a030000 4d030400 06000001 ...I....M....... 059b0 0801096a 6d705f66 756e6300 00000101 ...jmp_func..... 059c0 1d017770 6f737400 01010392 01300290 ..wpost......0.. 059d0 00008e13 a4008e13 f700004d 701e7061 ...........Mp.pa 059e0 74746572 6e000000 49d90291 501f6900 ttern...I...P.i. 059f0 00000182 1f746d70 00000001 a5001d01 .....tmp........ 05a00 bc4d6167 7069655f 66617461 6c5f6578 .Magpie_fatal_ex 05a10 63657074 696f6e5f 68616e64 6c657200 ception_handler. 05a20 01010492 01b00102 9000008e 13f8008e ................ 05a30 14280000 4dca2001 bc657863 5f667261 .(..M. ..exc_fra 05a40 6d650000 004a0b01 521e6475 6d700000 me...J..R.dump.. 05a50 0004a203 91d07e00 2101d161 74686f73 ......~.!..athos 05a60 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 05a70 00010801 03920120 02900000 8e142800 ....... ......(. 05a80 8e145100 004e1a20 01d1737a 00000001 ..Q..N. ..sz.... 05a90 08015220 01d16c69 6e6b5f63 6865636b ..R ..link_check 05aa0 00000030 d4015300 2201ef61 74686f73 ...0..S."..athos 05ab0 5f626c6f 636b5f61 6c6c5f69 6e74726c _block_all_intrl 05ac0 766c0000 0001a501 01039201 20029000 vl.......... ... 05ad0 008e1454 008e145f 00004e58 1f746d70 ...T..._..NX.tmp 05ae0 00000001 a5001d01 fe617468 6f735f75 .........athos_u 05af0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 05b00 766c0001 01039201 20029000 008e1460 vl...... ......` 05b10 008e1468 00004e94 1f746d70 00000004 ...h..N..tmp.... 05b20 00002301 01066174 686f735f 72657374 ..#...athos_rest 05b30 6f72655f 696e7472 6c766c00 01010392 ore_intrlvl..... 05b40 01200290 00008e14 68008e14 7200004e . ......h...r..N 05b50 d7240101 066f6c64 5f696e74 72000000 .$...old_intr... 05b60 01a50152 00250101 10415236 3030325f ...R.%...AR6002_ 05b70 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 05b80 68616e64 6c657200 01049201 b0010290 handler......... 05b90 00008e14 74008e14 d200004f 45240101 ....t......OE$.. 05ba0 10657863 5f667261 6d650000 004a0b01 .exc_frame...J.. 05bb0 521e6475 6d700000 0004a203 91d07e1f R.dump........~. 05bc0 73746b70 74720000 004a501f 69000000 stkptr...JP.i... 05bd0 01080025 01013b41 52363030 325f6661 ...%..;AR6002_fa 05be0 74616c5f 65786365 7074696f 6e5f6861 tal_exception_ha 05bf0 6e646c65 72000104 9201b001 02900000 ndler........... 05c00 8e14d400 8e154500 004fd824 01013b65 ......E..O.$..;e 05c10 78635f66 72616d65 0000004a 0b01521f xc_frame...J..R. 05c20 72657365 745f6675 6e630000 0001011e reset_func...... 05c30 64756d70 00000004 a20391d0 7e1f6570 dump........~.ep 05c40 63310000 0001a51f 65706332 00000001 c1......epc2.... 05c50 a51f6570 63330000 0001a51f 65706334 ..epc3......epc4 05c60 00000001 a5002301 01be6765 6e657269 ......#...generi 05c70 635f6869 665f6d6f 64756c65 5f696e73 c_hif_module_ins 05c80 74616c6c 00010103 92012002 9000008e tall...... ..... 05c90 1548008e 15730000 50282401 01be6170 .H...s..P($...ap 05ca0 69730000 004b8b01 521f686f 73746966 is...K..R.hostif 05cb0 00000005 7e002301 01d86174 686f735f ....~.#...athos_ 05cc0 696e6469 72656374 696f6e5f 7461626c indirection_tabl 05cd0 655f696e 7374616c 6c000101 03920120 e_install...... 05ce0 02900000 8e157400 8e160300 0050721f ......t......Pr. 05cf0 74626c00 0000036b 1f690000 00040000 tbl....k.i...... 05d00 2301021c 6174686f 735f696e 74657272 #...athos_interr 05d10 7570745f 68616e64 6c657200 01010392 upt_handler..... 05d20 01200290 00008e16 04008e16 14000050 . .............P 05d30 cd240102 1c696e75 6d000000 04000152 .$...inum......R 05d40 2401021c 696e7465 72727570 745f6672 $...interrupt_fr 05d50 616d6500 000030e8 01530023 01022361 ame...0..S.#..#a 05d60 74686f73 5f696e74 65727275 70745f69 thos_interrupt_i 05d70 6e697400 01010392 01200290 00008e16 nit...... ...... 05d80 14008e16 7a000051 031f6900 00000108 ....z..Q..i..... 05d90 00230103 9f617468 6f735f69 6e697400 .#...athos_init. 05da0 01010392 01200290 00008e16 7c008e16 ..... ......|... 05db0 ae000051 36240103 9f686966 00000005 ...Q6$...hif.... 05dc0 7e015200 260103b5 5f726561 645f7573 ~.R.&..._read_us 05dd0 625f6465 73630000 00084101 01039201 b_desc....A..... 05de0 30029000 008e16b0 008e16e6 000051ab 0.............Q. 05df0 240103b5 70446573 63000000 0f650152 $...pDesc....e.R 05e00 240103b5 4f666673 65740000 00018201 $...Offset...... 05e10 53240103 b553697a 65000000 01820154 S$...Size......T 05e20 1f704473 74000000 0f651e6d 53697a65 .pDst....e.mSize 05e30 00000001 82029150 00260103 c8726561 .......P.&...rea 05e40 645f7573 625f636f 6e660000 00084101 d_usb_conf....A. 05e50 01039201 30029000 008e16e8 008e178b ....0........... 05e60 000051e5 1e6d4461 74610000 0001a502 ..Q..mData...... 05e70 91500026 0103e073 65745f70 63695f63 .P.&...set_pci_c 05e80 6f6e6600 00000841 01010392 01200290 onf....A..... .. 05e90 00008e17 8c008e18 5e000052 4e1f6d44 ........^..RN.mD 05ea0 61746100 000001a5 1f66726f 6d6f776c ata......fromowl 05eb0 00000016 c81f6469 64766964 00000001 ......didvid.... 05ec0 a51f6770 696f656e 00000001 a51f6770 ..gpioen......gp 05ed0 696f6675 6e630000 0001a500 27010410 iofunc......'... 05ee0 626f6f74 6c6f6164 00010392 01200290 bootload..... .. 05ef0 00008e18 60008e18 9b270104 22706369 ....`....'.."pci 05f00 5f676d61 635f626f 6f746c6f 61640001 _gmac_bootload.. 05f10 03920120 02900000 8e189c00 8e18c827 ... ...........' 05f20 01043474 75726e5f 6f66665f 72630001 ..4turn_off_rc.. 05f30 03920120 02900000 8e18c800 8e18fb23 ... ...........# 05f40 01044362 6f6f7465 6e747279 00010104 ..Cbootentry.... 05f50 9201c000 02900000 8e18fc00 8e1c1400 ................ 05f60 00535b1f 686f7374 69660000 00057e1f .S[.hostif....~. 05f70 7273745f 73746174 75730000 000e3a1f rst_status....:. 05f80 72657445 45500000 000f561e 69000000 retEEP....V.i... 05f90 01820503 00500950 1f617374 61727400 .....P.P.astart. 05fa0 000003fd 1f617369 7a650000 0001081f .....asize...... 05fb0 61737461 72740000 0003fd1f 6173697a astart......asiz 05fc0 65000000 01081e66 775f6277 5f737461 e......fw_bw_sta 05fd0 74650000 004d0302 91401f66 756e635f te...M...@.func_ 05fe0 70747200 00004d24 00280105 8a6d6169 ptr...M$.(...mai 05ff0 6e000000 01080101 03920120 02029000 n.......... .... 06000 008e1c14 008e1c32 00000000 48740002 .......2....Ht.. 06010 00000443 04012f72 6f6f742f 576f726b ...C../root/Work 06020 73706163 652f3034 31325f76 3230322f space/0412_v202/ 06030 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 06040 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 06050 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 06060 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 06070 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr 06080 616d2f73 72632f63 6d6e6f73 5f616c6c am/src/cmnos_all 06090 6f637261 6d2e6300 2f726f6f 742f576f ocram.c./root/Wo 060a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 060b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 060c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 060d0 2f616c6c 6f637261 6d007874 2d786363 /allocram.xt-xcc 060e0 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: 060f0 616c6967 6e5f696e 73747275 6374696f align_instructio 06100 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O 06110 50543a73 70616365 00010000 0025ff02 PT:space.....%.. 06120 01030000 01150400 04696e74 00050404 .........int.... 06130 63686172 00070105 00000125 05000001 char.......%.... 06140 25030000 01320400 06000001 1e010300 %....2.......... 06150 00013e04 00077072 696e7466 5f617069 ..>...printf_api 06160 00080000 0182085f 7072696e 74665f69 ......._printf_i 06170 6e697400 00000117 02230008 5f707269 nit......#.._pri 06180 6e746600 00000144 02230400 0473686f ntf....D.#...sho 06190 72742075 6e736967 6e656420 696e7400 rt unsigned int. 061a0 07020975 696e7431 365f7400 00000182 ...uint16_t..... 061b0 046c6f6e 6720756e 7369676e 65642069 .long unsigned i 061c0 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. 061d0 0001a607 75617274 5f666966 6f000800 ....uart_fifo... 061e0 00021408 73746172 745f696e 64657800 ....start_index. 061f0 00000198 02230008 656e645f 696e6465 .....#..end_inde 06200 78000000 01980223 02086f76 65727275 x......#..overru 06210 6e5f6572 72000000 01bb0223 04000775 n_err......#...u 06220 6172745f 61706900 20000002 cd085f75 art_api. ....._u 06230 6172745f 696e6974 00000003 24022300 art_init....$.#. 06240 085f7561 72745f63 6861725f 70757400 ._uart_char_put. 06250 0000034b 02230408 5f756172 745f6368 ...K.#.._uart_ch 06260 61725f67 65740000 00035f02 2308085f ar_get...._.#.._ 06270 75617274 5f737472 5f6f7574 00000003 uart_str_out.... 06280 6802230c 085f7561 72745f74 61736b00 h.#.._uart_task. 06290 00000117 02231008 5f756172 745f7374 .....#.._uart_st 062a0 61747573 00000003 24022314 085f7561 atus....$.#.._ua 062b0 72745f63 6f6e6669 67000000 03710223 rt_config....q.# 062c0 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. 062d0 00037a02 231c0003 00000214 04000775 ..z.#..........u 062e0 6172745f 626c6b00 10000003 1e086465 art_blk.......de 062f0 6275675f 6d6f6465 00000001 98022300 bug_mode......#. 06300 08626175 64000000 01980223 02085f75 .baud......#.._u 06310 61727400 000002cd 02230408 5f747800 art......#.._tx. 06320 000001c9 02230800 06000001 bb010300 .....#.......... 06330 00031e04 0004756e 7369676e 65642063 ......unsigned c 06340 68617200 07010975 696e7438 5f740000 har....uint8_t.. 06350 00032b02 01030000 03490400 03000003 ..+......I...... 06360 3c040006 00000198 01030000 03590400 <............Y.. 06370 02010300 00036604 00020103 0000036f ......f........o 06380 04000201 03000003 78040003 00000125 ........x......% 06390 04000600 00011e01 03000003 88040007 ................ 063a0 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC 063b0 54000c00 0003e008 636d645f 73747200 T.......cmd_str. 063c0 00000381 02230008 68656c70 5f737472 .....#..help_str 063d0 00000003 81022304 08636d64 5f66756e ......#..cmd_fun 063e0 63000000 038e0223 08000764 62675f61 c......#...dbg_a 063f0 70690008 00000413 085f6462 675f696e pi......._dbg_in 06400 69740000 00011702 2300085f 6462675f it......#.._dbg_ 06410 7461736b 00000001 17022304 000a0400 task......#..... 06420 04756e73 69676e65 6420696e 74000704 .unsigned int... 06430 06000004 13010300 00042604 000b0b03 ..........&..... 06440 00000434 04000600 00041301 03000004 ...4............ 06450 3c040006 0000011e 01030000 04490400 <............I.. 06460 076d656d 5f617069 00140000 04b8085f .mem_api......._ 06470 6d656d5f 696e6974 00000001 17022300 mem_init......#. 06480 085f6d65 6d736574 00000004 2c022304 ._memset....,.#. 06490 085f6d65 6d637079 00000004 42022308 ._memcpy....B.#. 064a0 085f6d65 6d6d6f76 65000000 04420223 ._memmove....B.# 064b0 0c085f6d 656d636d 70000000 044f0223 .._memcmp....O.# 064c0 10000c72 65676973 7465725f 64756d70 ...register_dump 064d0 5f730000 01030000 04b80400 02010300 _s.............. 064e0 0004d204 00020103 000004db 04000600 ................ 064f0 00011e01 03000004 e404000d 686f7374 ............host 06500 69665f73 00040000 05400e48 49465f55 if_s.....@.HIF_U 06510 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... 06520 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P 06530 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H 06540 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS 06550 54494600 000004f1 06000005 40010300 TIF.........@... 06560 00054e04 00060000 033c0103 0000055b ..N......<.....[ 06570 04000600 00019801 03000005 68040007 ............h... 06580 6d697363 5f617069 00240000 0658085f misc_api.$...X._ 06590 73797374 656d5f72 65736574 00000001 system_reset.... 065a0 17022300 085f6d61 635f7265 73657400 ..#.._mac_reset. 065b0 00000117 02230408 5f617373 6661696c .....#.._assfail 065c0 00000004 d4022308 085f6d69 73616c69 ......#.._misali 065d0 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle 065e0 72000000 04d40223 0c085f72 65706f72 r......#.._repor 065f0 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos 06600 74000000 04dd0223 10085f74 61726765 t......#.._targe 06610 745f6964 5f676574 00000004 ea022314 t_id_get......#. 06620 085f6973 5f686f73 745f7072 6573656e ._is_host_presen 06630 74000000 05540223 18085f6b 62686974 t....T.#.._kbhit 06640 00000005 6102231c 085f726f 6d5f7665 ....a.#.._rom_ve 06650 7273696f 6e5f6765 74000000 056e0223 rsion_get....n.# 06660 20000600 00038101 03000006 58040006 ...........X... 06670 00000381 01030000 06650400 06000001 .........e...... 06680 1e010300 00067204 00060000 011e0103 ......r......... 06690 0000067f 04000600 00011e01 03000006 ................ 066a0 8c040007 73747269 6e675f61 70690018 ....string_api.. 066b0 00000712 085f7374 72696e67 5f696e69 ....._string_ini 066c0 74000000 01170223 00085f73 74726370 t......#.._strcp 066d0 79000000 065e0223 04085f73 74726e63 y....^.#.._strnc 066e0 70790000 00066b02 2308085f 7374726c py....k.#.._strl 066f0 656e0000 00067802 230c085f 73747263 en....x.#.._strc 06700 6d700000 00068502 2310085f 7374726e mp......#.._strn 06710 636d7000 00000692 02231400 0f000004 cmp......#...... 06720 16140000 071f1004 00095f41 5f54494d .........._A_TIM 06730 45525f53 50414345 00000007 1209415f ER_SPACE......A_ 06740 74696d65 725f7400 0000071f 03000007 timer_t......... 06750 33040002 01030000 07490400 02010300 3........I...... 06760 00075204 0009415f 48414e44 4c450000 ..R...A_HANDLE.. 06770 00041602 0109415f 54494d45 525f4655 ......A_TIMER_FU 06780 4e430000 00076903 0000076b 04000201 NC....i....k.... 06790 03000007 84040007 74696d65 725f6170 ........timer_ap 067a0 69001400 00080308 5f74696d 65725f69 i......._timer_i 067b0 6e697400 00000117 02230008 5f74696d nit......#.._tim 067c0 65725f61 726d0000 00074b02 2304085f er_arm....K.#.._ 067d0 74696d65 725f6469 7361726d 00000007 timer_disarm.... 067e0 54022308 085f7469 6d65725f 73657466 T.#.._timer_setf 067f0 6e000000 07860223 0c085f74 696d6572 n......#.._timer 06800 5f72756e 00000001 17022310 0009424f _run......#...BO 06810 4f4c4541 4e000000 01980600 00080301 OLEAN........... 06820 03000008 10040006 00000803 01030000 ................ 06830 081d0400 06000008 03010300 00082a04 ..............*. 06840 0007726f 6d705f61 70690010 0000089c ..romp_api...... 06850 085f726f 6d705f69 6e697400 00000117 ._romp_init..... 06860 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo 06870 61640000 00081602 2304085f 726f6d70 ad......#.._romp 06880 5f696e73 74616c6c 00000008 23022308 _install....#.#. 06890 085f726f 6d705f64 65636f64 65000000 ._romp_decode... 068a0 08300223 0c000772 6f6d5f70 61746368 .0.#...rom_patch 068b0 5f737400 10000008 f8086372 63313600 _st.......crc16. 068c0 00000198 02230008 6c656e00 00000198 .....#..len..... 068d0 02230208 6c645f61 64647200 000001bb .#..ld_addr..... 068e0 02230408 66756e5f 61646472 00000001 .#..fun_addr.... 068f0 bb022308 08706675 6e000000 03520223 ..#..pfun....R.# 06900 0c000765 65705f72 65646972 5f616464 ...eep_redir_add 06910 72000400 00092a08 6f666673 65740000 r.....*.offset.. 06920 00019802 23000873 697a6500 00000198 ....#..size..... 06930 02230200 09415f55 494e5433 32000000 .#...A_UINT32... 06940 04160600 00041301 03000009 38040007 ............8... 06950 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... 06960 09a90863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra 06970 6d5f696e 69740000 00093e02 23000863 m_init....>.#..c 06980 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... 06990 093e0223 0408636d 6e6f735f 616c6c6f .>.#..cmnos_allo 069a0 6372616d 5f646562 75670000 00011702 cram_debug...... 069b0 23080002 01030000 09a90400 09415f54 #............A_T 069c0 41534b4c 45545f46 554e4300 000009ab ASKLET_FUNC..... 069d0 075f7461 736b6c65 74001000 000a0a08 ._tasklet....... 069e0 66756e63 00000009 b2022300 08617267 func......#..arg 069f0 00000004 13022304 08737461 74650000 ......#..state.. 06a00 00011e02 2308086e 65787400 00000a0a ....#..next..... 06a10 02230c00 03000009 c6040003 000009c6 .#.............. 06a20 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. 06a30 0009c603 00000a18 04000201 0300000a ................ 06a40 30040002 01030000 0a390400 07746173 0........9...tas 06a50 6b6c6574 5f617069 00140000 0ace085f klet_api......._ 06a60 7461736b 6c65745f 696e6974 00000001 tasklet_init.... 06a70 17022300 085f7461 736b6c65 745f696e ..#.._tasklet_in 06a80 69745f74 61736b00 00000a32 02230408 it_task....2.#.. 06a90 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable 06aa0 0000000a 3b022308 085f7461 736b6c65 ....;.#.._taskle 06ab0 745f7363 68656475 6c650000 000a3b02 t_schedule....;. 06ac0 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. 06ad0 00000117 02231000 02010300 000ace04 .....#.......... 06ae0 00060000 092a0103 00000ad7 04000201 .....*.......... 06af0 0300000a e4040007 636c6f63 6b5f6170 ........clock_ap 06b00 69002400 000bc608 5f636c6f 636b5f69 i.$....._clock_i 06b10 6e697400 00000ad0 02230008 5f636c6f nit......#.._clo 06b20 636b7265 67735f69 6e697400 00000117 ckregs_init..... 06b30 02230408 5f756172 745f6672 65717565 .#.._uart_freque 06b40 6e637900 00000add 02230808 5f64656c ncy......#.._del 06b50 61795f75 73000000 0ae60223 0c085f77 ay_us......#.._w 06b60 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... 06b70 e6022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe 06b80 65645f67 65740000 000add02 2314085f ed_get......#.._ 06b90 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... 06ba0 dd022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha 06bb0 6e676500 00000117 02231c08 5f636c6f nge......#.._clo 06bc0 636b5f74 69636b00 00000117 02232000 ck_tick......# . 06bd0 06000001 bb010300 000bc604 0009415f ..............A_ 06be0 6f6c645f 696e7472 5f740000 0001bb06 old_intr_t...... 06bf0 00000bd3 01030000 0be50400 02010300 ................ 06c00 000bf204 00020103 00000bfb 04000600 ................ 06c10 0001bb01 0300000c 04040009 415f6973 ............A_is 06c20 725f7400 00000c0a 02010300 000c1e04 r_t............. 06c30 00060000 04160103 00000c27 04000201 ...........'.... 06c40 0300000c 34040007 696e7472 5f617069 ....4...intr_api 06c50 002c0000 0d56085f 696e7472 5f696e69 .,...V._intr_ini 06c60 74000000 01170223 00085f69 6e74725f t......#.._intr_ 06c70 696e766f 6b655f69 73720000 000bcc02 invoke_isr...... 06c80 2304085f 696e7472 5f646973 61626c65 #.._intr_disable 06c90 0000000b eb022308 085f696e 74725f72 ......#.._intr_r 06ca0 6573746f 72650000 000bf402 230c085f estore......#.._ 06cb0 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. 06cc0 000bfd02 2310085f 696e7472 5f756e6d ....#.._intr_unm 06cd0 61736b5f 696e756d 0000000b fd022314 ask_inum......#. 06ce0 085f696e 74725f61 74746163 685f6973 ._intr_attach_is 06cf0 72000000 0c200223 18085f67 65745f69 r.... .#.._get_i 06d00 6e747265 6e61626c 65000000 0c2d0223 ntrenable....-.# 06d10 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl 06d20 65000000 0c360223 20085f67 65745f69 e....6.# ._get_i 06d30 6e747270 656e6469 6e670000 000c2d02 ntrpending....-. 06d40 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ 06d50 696e7472 6c766c00 00000117 02232800 intrlvl......#(. 06d60 11040000 0d7c0874 696d656f 75740000 .....|.timeout.. 06d70 0001bb02 23000861 6374696f 6e000000 ....#..action... 06d80 01bb0223 00001208 00000d97 08636d64 ...#.........cmd 06d90 00000001 bb022300 1300000d 56022304 ......#.....V.#. 06da0 0009545f 5744545f 434d4400 00000d7c ..T_WDT_CMD....| 06db0 02010300 000da604 00140400 000dfc0e ................ 06dc0 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... 06dd0 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. 06de0 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. 06df0 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B 06e00 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY 06e10 50450000 000daf06 00000dfc 01030000 PE.............. 06e20 0e0d0400 07776474 5f617069 001c0000 .....wdt_api.... 06e30 0eb1085f 7764745f 696e6974 00000001 ..._wdt_init.... 06e40 17022300 085f7764 745f656e 61626c65 ..#.._wdt_enable 06e50 00000001 17022304 085f7764 745f6469 ......#.._wdt_di 06e60 7361626c 65000000 01170223 08085f77 sable......#.._w 06e70 64745f73 65740000 000da802 230c085f dt_set......#.._ 06e80 7764745f 7461736b 00000001 17022310 wdt_task......#. 06e90 085f7764 745f7265 73657400 00000117 ._wdt_reset..... 06ea0 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo 06eb0 6f740000 000e1302 23180014 0400000f ot......#....... 06ec0 180e5245 545f5355 43434553 5300000e ..RET_SUCCESS... 06ed0 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R 06ee0 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R 06ef0 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. 06f00 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO 06f10 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. 06f20 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... 06f30 b1030000 01980400 0600000f 18010300 ................ 06f40 000f2e04 00060000 0f180103 00000f3b ...............; 06f50 04000765 65705f61 70690010 00000fa4 ...eep_api...... 06f60 085f6565 705f696e 69740000 00011702 ._eep_init...... 06f70 2300085f 6565705f 72656164 0000000f #.._eep_read.... 06f80 34022304 085f6565 705f7772 69746500 4.#.._eep_write. 06f90 00000f34 02230808 5f656570 5f69735f ...4.#.._eep_is_ 06fa0 65786973 74000000 0f410223 0c000775 exist....A.#...u 06fb0 73625f61 70690070 00001251 085f7573 sb_api.p...Q._us 06fc0 625f696e 69740000 00011702 2300085f b_init......#.._ 06fd0 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... 06fe0 17022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas 06ff0 6b000000 01170223 08085f75 73625f69 k......#.._usb_i 07000 6e69745f 70687900 00000117 02230c08 nit_phy......#.. 07010 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. 07020 00011702 2310085f 7573625f 6570305f ....#.._usb_ep0_ 07030 74780000 00011702 2314085f 7573625f tx......#.._usb_ 07040 6570305f 72780000 00011702 2318085f ep0_rx......#.._ 07050 7573625f 6765745f 696e7465 72666163 usb_get_interfac 07060 65000000 08230223 1c085f75 73625f73 e....#.#.._usb_s 07070 65745f69 6e746572 66616365 00000008 et_interface.... 07080 23022320 085f7573 625f6765 745f636f #.# ._usb_get_co 07090 6e666967 75726174 696f6e00 00000823 nfiguration....# 070a0 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con 070b0 66696775 72617469 6f6e0000 00082302 figuration....#. 070c0 2328085f 7573625f 7374616e 64617264 #(._usb_standard 070d0 5f636d64 00000008 2302232c 085f7573 _cmd....#.#,._us 070e0 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... 070f0 17022330 085f7573 625f706f 7765725f ..#0._usb_power_ 07100 6f666600 00000117 02233408 5f757362 off......#4._usb 07110 5f726573 65745f66 69666f00 00000117 _reset_fifo..... 07120 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt 07130 00000001 1702233c 085f7573 625f6a75 ......#<._usb_ju 07140 6d705f62 6f6f7400 00000117 02234008 mp_boot......#@. 07150 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature 07160 00000008 23022344 085f7573 625f7365 ....#.#D._usb_se 07170 745f6665 61747572 65000000 08230223 t_feature....#.# 07180 48085f75 73625f73 65745f61 64647265 H._usb_set_addre 07190 73730000 00082302 234c085f 7573625f ss....#.#L._usb_ 071a0 6765745f 64657363 72697074 6f720000 get_descriptor.. 071b0 00082302 2350085f 7573625f 6765745f ..#.#P._usb_get_ 071c0 73746174 75730000 00082302 2354085f status....#.#T._ 071d0 7573625f 73657475 705f6465 73630000 usb_setup_desc.. 071e0 00011702 2358085f 7573625f 7265675f ....#X._usb_reg_ 071f0 6f757400 00000117 02235c08 5f757362 out......#\._usb 07200 5f737461 7475735f 696e0000 00011702 _status_in...... 07210 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d 07220 61746100 00000117 02236408 5f757362 ata......#d._usb 07230 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... 07240 17022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in 07250 69740000 00011702 236c0007 5f564445 it......#l.._VDE 07260 53430024 000012dd 086e6578 745f6465 SC.$.....next_de 07270 73630000 0012dd02 23000862 75665f61 sc......#..buf_a 07280 64647200 000012f1 02230408 6275665f ddr......#..buf_ 07290 73697a65 00000012 f8022308 08646174 size......#..dat 072a0 615f6f66 66736574 00000012 f802230a a_offset......#. 072b0 08646174 615f7369 7a650000 0012f802 .data_size...... 072c0 230c0863 6f6e7472 6f6c0000 0012f802 #..control...... 072d0 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. 072e0 00130602 23100003 00001251 04000941 ....#......Q...A 072f0 5f55494e 54380000 00032b03 000012e4 _UINT8....+..... 07300 04000941 5f55494e 54313600 00000182 ...A_UINT16..... 07310 0f000012 e4140000 13131013 00030000 ................ 07320 12510400 09564445 53430000 00125103 .Q...VDESC....Q. 07330 0000131a 04000600 00132501 03000013 ..........%..... 07340 2c040006 000012f1 01030000 13390400 ,............9.. 07350 02010300 00134604 00077664 6573635f ......F...vdesc_ 07360 61706900 14000013 be085f69 6e697400 api......._init. 07370 00000ae6 02230008 5f616c6c 6f635f76 .....#.._alloc_v 07380 64657363 00000013 32022304 085f6765 desc....2.#.._ge 07390 745f6877 5f646573 63000000 133f0223 t_hw_desc....?.# 073a0 08085f73 7761705f 76646573 63000000 .._swap_vdesc... 073b0 13480223 0c087052 65736572 76656400 .H.#..pReserved. 073c0 00000413 02231000 075f5642 55460020 .....#..._VBUF. 073d0 0000141e 08646573 635f6c69 73740000 .....desc_list.. 073e0 00132502 2300086e 6578745f 62756600 ..%.#..next_buf. 073f0 0000141e 02230408 6275665f 6c656e67 .....#..buf_leng 07400 74680000 0012f802 23080872 65736572 th......#..reser 07410 76656400 00001425 02230a08 63747800 ved....%.#..ctx. 07420 00001306 02230c00 03000013 be04000f .....#.......... 07430 000012e4 02000014 32100100 03000013 ........2....... 07440 be040009 56425546 00000013 be030000 ....VBUF........ 07450 14390400 06000014 43010300 00144a04 .9......C.....J. 07460 00060000 14430103 00001457 04000201 .....C.....W.... 07470 03000014 64040007 76627566 5f617069 ....d...vbuf_api 07480 00140000 14e2085f 696e6974 0000000a ......._init.... 07490 e6022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf 074a0 00000014 50022304 085f616c 6c6f635f ....P.#.._alloc_ 074b0 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. 074c0 00145d02 2308085f 66726565 5f766275 ..].#.._free_vbu 074d0 66000000 14660223 0c087052 65736572 f....f.#..pReser 074e0 76656400 00000413 02231000 075f5f61 ved......#...__a 074f0 64665f64 65766963 65000400 00150408 df_device....... 07500 64756d6d 79000000 011e0223 00000300 dummy......#.... 07510 00092a04 00075f5f 6164665f 646d615f ..*...__adf_dma_ 07520 6d617000 0c000015 4b086275 66000000 map.....K.buf... 07530 14430223 00086473 5f616464 72000000 .C.#..ds_addr... 07540 15040223 04086473 5f6c656e 00000012 ...#..ds_len.... 07550 f8022308 00120c00 00158508 5f5f7661 ..#.........__va 07560 5f73746b 00000003 81022300 085f5f76 _stk......#..__v 07570 615f7265 67000000 03810223 04085f5f a_reg......#..__ 07580 76615f6e 64780000 00011e02 23080009 va_ndx......#... 07590 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add 075a0 725f7400 0000092a 09616466 5f6f735f r_t....*.adf_os_ 075b0 646d615f 61646472 5f740000 00158509 dma_addr_t...... 075c0 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz 075d0 655f7400 0000092a 09616466 5f6f735f e_t....*.adf_os_ 075e0 646d615f 73697a65 5f740000 0015b507 dma_size_t...... 075f0 5f5f646d 615f7365 67730008 00001611 __dma_segs...... 07600 08706164 64720000 00159e02 2300086c .paddr......#..l 07610 656e0000 0015ce02 23040009 5f5f615f en......#...__a_ 07620 75696e74 33325f74 00000009 2a09615f uint32_t....*.a_ 07630 75696e74 33325f74 00000016 110f0000 uint32_t........ 07640 15e50800 00164010 00000761 64665f6f ......@....adf_o 07650 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... 07660 00167908 6e736567 73000000 16230223 ..y.nsegs....#.# 07670 0008646d 615f7365 67730000 00163302 ..dma_segs....3. 07680 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. 07690 000012e4 09615f75 696e7438 5f740000 .....a_uint8_t.. 076a0 00167903 0000168a 0400075f 5f73675f ..y........__sg_ 076b0 73656773 00080000 16cb0876 61646472 segs.......vaddr 076c0 00000016 99022300 086c656e 00000016 ......#..len.... 076d0 23022304 000f0000 16a02000 0016d810 #.#....... ..... 076e0 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist 076f0 00240000 170b086e 73656773 00000016 .$.....nsegs.... 07700 23022300 0873675f 73656773 00000016 #.#..sg_segs.... 07710 cb022304 00121000 00175408 76656e64 ..#.......T.vend 07720 6f720000 00162302 23000864 65766963 or....#.#..devic 07730 65000000 16230223 04087375 6276656e e....#.#..subven 07740 646f7200 00001623 02230808 73756264 dor....#.#..subd 07750 65766963 65000000 16230223 0c00046c evice....#.#...l 07760 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne 07770 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 07780 34000000 1754095f 5f615f75 696e7436 4....T.__a_uint6 07790 345f7400 0000176e 09615f75 696e7436 4_t....n.a_uint6 077a0 345f7400 0000177c 14040000 17da0e41 4_t....|.......A 077b0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 077c0 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS 077d0 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I 077e0 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso 077f0 75726365 5f747970 655f7400 0000179e urce_type_t..... 07800 12180000 18240873 74617274 00000017 .....$.start.... 07810 8e022300 08656e64 00000017 8e022308 ..#..end......#. 07820 08747970 65000000 17da0223 10000961 .type......#...a 07830 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id 07840 5f740000 00170b03 00001824 04001104 _t.........$.... 07850 00001863 08706369 00000018 3d022300 ...c.pci....=.#. 07860 08726177 00000004 13022300 00111000 .raw......#..... 07870 00188208 70636900 00001824 02230008 ....pci....$.#.. 07880 72617700 00000413 02230000 09616466 raw......#...adf 07890 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... 078a0 04130961 64665f6f 735f7265 736f7572 ...adf_os_resour 078b0 63655f74 00000017 f6030000 18980400 ce_t............ 078c0 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d 078d0 6174615f 74000000 18630300 0018b604 ata_t....c...... 078e0 00030000 14e20400 095f5f61 64665f6f .........__adf_o 078f0 735f6465 76696365 5f740000 0018d709 s_device_t...... 07900 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 07910 000018de 06000018 82010300 00190a04 ................ 07920 00020103 00001917 04000961 64665f6f ...........adf_o 07930 735f706d 5f740000 00041302 01030000 s_pm_t.......... 07940 19310400 14040000 19710e41 44465f4f .1.......q.ADF_O 07950 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. 07960 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE 07970 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ 07980 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... 07990 193a0961 64665f6f 735f6275 735f7265 .:.adf_os_bus_re 079a0 675f6461 74615f74 00000018 44030000 g_data_t....D... 079b0 032b0400 075f6164 665f6472 765f696e .+..._adf_drv_in 079c0 666f0020 00001a4e 08647276 5f617474 fo. ...N.drv_att 079d0 61636800 00001910 02230008 6472765f ach......#..drv_ 079e0 64657461 63680000 00191902 23040864 detach......#..d 079f0 72765f73 75737065 6e640000 00193302 rv_suspend....3. 07a00 23080864 72765f72 6573756d 65000000 #..drv_resume... 07a10 19190223 0c086275 735f7479 70650000 ...#..bus_type.. 07a20 00197102 23100862 75735f64 61746100 ..q.#..bus_data. 07a30 00001988 02231408 6d6f645f 6e616d65 .....#..mod_name 07a40 00000019 a3022318 0869666e 616d6500 ......#..ifname. 07a50 000019a3 02231c00 09616466 5f6f735f .....#...adf_os_ 07a60 68616e64 6c655f74 00000004 13030000 handle_t........ 07a70 16790400 02010201 095f5f61 64665f6f .y.......__adf_o 07a80 735f7369 7a655f74 00000004 16140400 s_size_t........ 07a90 001a9d0e 415f4641 4c534500 000e415f ....A_FALSE...A_ 07aa0 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t 07ab0 0000001a 83030000 150b0400 095f5f61 .............__a 07ac0 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 07ad0 00001aab 02010d61 64665f6f 735f6361 .......adf_os_ca 07ae0 6368655f 73796e63 00040000 1b350e41 che_sync.....5.A 07af0 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. 07b00 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR 07b10 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P 07b20 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY 07b30 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... 07b40 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn 07b50 635f7400 00001acc 02010961 64665f6f c_t........adf_o 07b60 735f7369 7a655f74 0000001a 6e060000 s_size_t....n... 07b70 1b500109 6164665f 6f735f64 6d615f6d .P..adf_os_dma_m 07b80 61705f74 0000001a b2030000 1b690400 ap_t.........i.. 07b90 06000004 13010300 001ab204 00060000 ................ 07ba0 04130102 01060000 159e0102 01047368 ..............sh 07bb0 6f727420 696e7400 05020941 5f494e54 ort int....A_INT 07bc0 31360000 001ba309 5f5f615f 696e7431 16......__a_int1 07bd0 365f7400 00001bb0 09615f69 6e743136 6_t......a_int16 07be0 5f740000 001bbd04 7369676e 65642063 _t......signed c 07bf0 68617200 05010941 5f494e54 38000000 har....A_INT8... 07c00 1bdd095f 5f615f69 6e74385f 74000000 ...__a_int8_t... 07c10 1bec0961 5f696e74 385f7400 00001bf8 ...a_int8_t..... 07c20 120c0000 1c6f0873 7570706f 72746564 .....o.supported 07c30 00000016 23022300 08616476 65727469 ....#.#..adverti 07c40 7a656400 00001623 02230408 73706565 zed....#.#..spee 07c50 64000000 1bce0223 08086475 706c6578 d......#..duplex 07c60 0000001c 0802230a 08617574 6f6e6567 ......#..autoneg 07c70 00000016 8a02230b 000f0000 168a0600 ......#......... 07c80 001c7c10 05000761 64665f6e 65745f65 ..|....adf_net_e 07c90 74686164 64720006 00001ca0 08616464 thaddr.......add 07ca0 72000000 1c6f0223 0000095f 5f615f75 r....o.#...__a_u 07cb0 696e7431 365f7400 000012f8 09615f75 int16_t......a_u 07cc0 696e7431 365f7400 00001ca0 120e0000 int16_t......... 07cd0 1d040865 74686572 5f64686f 73740000 ...ether_dhost.. 07ce0 001c6f02 23000865 74686572 5f73686f ..o.#..ether_sho 07cf0 73740000 001c6f02 23060865 74686572 st....o.#..ether 07d00 5f747970 65000000 1cb20223 0c001214 _type......#.... 07d10 00001dc5 1569705f 76657273 696f6e00 .....ip_version. 07d20 0000168a 01000402 23001569 705f686c ........#..ip_hl 07d30 00000016 8a010404 02230008 69705f74 .........#..ip_t 07d40 6f730000 00168a02 23010869 705f6c65 os......#..ip_le 07d50 6e000000 1cb20223 02086970 5f696400 n......#..ip_id. 07d60 00001cb2 02230408 69705f66 7261675f .....#..ip_frag_ 07d70 6f666600 00001cb2 02230608 69705f74 off......#..ip_t 07d80 746c0000 00168a02 23080869 705f7072 tl......#..ip_pr 07d90 6f746f00 0000168a 02230908 69705f63 oto......#..ip_c 07da0 6865636b 0000001c b202230a 0869705f heck......#..ip_ 07db0 73616464 72000000 16230223 0c086970 saddr....#.#..ip 07dc0 5f646164 64720000 00162302 23100007 _daddr....#.#... 07dd0 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. 07de0 0400001e 17087470 69640000 001cb202 ......tpid...... 07df0 23001570 72696f00 0000168a 01000302 #..prio......... 07e00 23021563 66690000 00168a01 03010223 #..cfi.........# 07e10 02157669 64000000 1cb20204 0c022302 ..vid.........#. 07e20 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... 07e30 001e4815 72657300 0000168a 01000402 ..H.res......... 07e40 23001576 616c0000 001cb202 040c0223 #..val.........# 07e50 0000120c 00001e84 0872785f 62756673 .........rx_bufs 07e60 697a6500 00001623 02230008 72785f6e ize....#.#..rx_n 07e70 64657363 00000016 23022304 0874785f desc....#.#..tx_ 07e80 6e646573 63000000 16230223 08001208 ndesc....#.#.... 07e90 00001eaa 08706f6c 6c656400 00001a9d .....polled..... 07ea0 02230008 706f6c6c 5f777400 00001623 .#..poll_wt....# 07eb0 02230400 0f000016 8a400000 1eb7103f .#.......@.....? 07ec0 00124600 001edf08 69665f6e 616d6500 ..F.....if_name. 07ed0 00001eaa 02230008 6465765f 61646472 .....#..dev_addr 07ee0 0000001c 6f022340 00140400 001f160e ....o.#@........ 07ef0 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ 07f00 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D 07f10 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... 07f20 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask 07f30 5f740000 001edf07 6164665f 646d615f _t......adf_dma_ 07f40 696e666f 00080000 1f630864 6d615f6d info.....c.dma_m 07f50 61736b00 00001f16 02230008 73675f6e ask......#..sg_n 07f60 73656773 00000016 23022304 00140400 segs....#.#..... 07f70 001fb90e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU 07f80 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET 07f90 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 07fa0 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK 07fb0 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 07fc0 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu 07fd0 6d5f7479 70655f74 0000001f 63120800 m_type_t....c... 07fe0 001ffc08 74785f63 6b73756d 0000001f ....tx_cksum.... 07ff0 b9022300 0872785f 636b7375 6d000000 ..#..rx_cksum... 08000 1fb90223 04000961 64665f6e 65745f63 ...#...adf_net_c 08010 6b73756d 5f696e66 6f5f7400 00001fd3 ksum_info_t..... 08020 14040000 20550e41 44465f4e 45545f54 .... U.ADF_NET_T 08030 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE 08040 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF 08050 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... 08060 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type 08070 5f740000 00201612 10000020 a908636b _t... ..... ..ck 08080 73756d5f 63617000 00001ffc 02230008 sum_cap......#.. 08090 74736f00 00002055 02230808 766c616e tso... U.#..vlan 080a0 5f737570 706f7274 65640000 00168a02 _supported...... 080b0 230c0012 20000021 42087478 5f706163 #... ..!B.tx_pac 080c0 6b657473 00000016 23022300 0872785f kets....#.#..rx_ 080d0 7061636b 65747300 00001623 02230408 packets....#.#.. 080e0 74785f62 79746573 00000016 23022308 tx_bytes....#.#. 080f0 0872785f 62797465 73000000 16230223 .rx_bytes....#.# 08100 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... 08110 23022310 0872785f 64726f70 70656400 #.#..rx_dropped. 08120 00001623 02231408 72785f65 72726f72 ...#.#..rx_error 08130 73000000 16230223 18087478 5f657272 s....#.#..tx_err 08140 6f727300 00001623 02231c00 09616466 ors....#.#...adf 08150 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. 08160 001c7c16 00002142 03000000 2167107f ..|...!B....!g.. 08170 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc 08180 61646472 00030400 00219e08 6e656c65 addr.....!..nele 08190 6d000000 16230223 00086d63 61737400 m....#.#..mcast. 081a0 00002159 02230400 09616466 5f6e6574 ..!Y.#...adf_net 081b0 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t 081c0 0000001c 16096164 665f6e65 745f636d ......adf_net_cm 081d0 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... 081e0 1e840961 64665f6e 65745f63 6d645f63 ...adf_net_cmd_c 081f0 6b73756d 5f696e66 6f5f7400 00001ffc ksum_info_t..... 08200 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin 08210 675f696e 666f5f74 0000001e 48096164 g_info_t....H.ad 08220 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in 08230 666f5f74 0000001f 2d096164 665f6e65 fo_t....-.adf_ne 08240 745f636d 645f7669 645f7400 00001cb2 t_cmd_vid_t..... 08250 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off 08260 6c6f6164 5f636170 5f740000 00206d09 load_cap_t... m. 08270 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat 08280 735f7400 000020a9 09616466 5f6e6574 s_t... ..adf_net 08290 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... 082a0 21670d61 64665f6e 65745f63 6d645f6d !g.adf_net_cmd_m 082b0 63617374 5f636170 00040000 22e00e41 cast_cap...."..A 082c0 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP 082d0 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST 082e0 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n 082f0 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap 08300 5f740000 00229818 03040000 23b2086c _t..."......#..l 08310 696e6b5f 696e666f 00000021 9e022300 ink_info...!..#. 08320 08706f6c 6c5f696e 666f0000 0021bb02 .poll_info...!.. 08330 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... 08340 21d80223 00087269 6e675f69 6e666f00 !..#..ring_info. 08350 000021f6 02230008 646d615f 696e666f ..!..#..dma_info 08360 00000022 13022300 08766964 00000022 ..."..#..vid..." 08370 2f022300 086f6666 6c6f6164 5f636170 /.#..offload_cap 08380 00000022 46022300 08737461 74730000 ..."F.#..stats.. 08390 00226502 2300086d 63617374 5f696e66 ."e.#..mcast_inf 083a0 6f000000 227e0223 00086d63 6173745f o..."~.#..mcast_ 083b0 63617000 000022e0 02230000 14040000 cap..."..#...... 083c0 24090e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C 083d0 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 083e0 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW 083f0 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C 08400 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY 08410 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ 08420 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# 08430 b2120800 00244908 72657375 6c740000 .....$I.result.. 08440 00240902 23000876 616c0000 00162302 .$..#..val....#. 08450 23040012 08000024 79087479 70650000 #......$y.type.. 08460 00205502 2300086d 73730000 001cb202 . U.#..mss...... 08470 23040868 64725f6f 66660000 00168a02 #..hdr_off...... 08480 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q 08490 68656164 000c0000 24b80868 65616400 head....$..head. 084a0 00001443 02230008 7461696c 00000014 ...C.#..tail.... 084b0 43022304 08716c65 6e000000 16230223 C.#..qlen....#.# 084c0 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. 084d0 00001443 03000016 99040003 00001623 ...C...........# 084e0 04000201 06000013 25010600 00162301 ........%.....#. 084f0 06000016 99010600 00169901 03000013 ................ 08500 06040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q 08510 68656164 5f740000 00247909 5f5f6164 head_t...$y.__ad 08520 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. 08530 0024f903 00002511 04000600 0024b801 .$....%......$.. 08540 06000024 b8011404 00002631 0e415f53 ...$......&1.A_S 08550 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA 08560 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S 08570 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A 08580 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. 08590 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL 085a0 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP 085b0 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT 085c0 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ 085d0 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A 085e0 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... 085f0 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO 08600 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU 08610 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT 08620 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST 08630 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st 08640 61747573 5f740000 00253c06 00002631 atus_t...%<...&1 08650 01060000 011e0102 01096164 665f6e62 ..........adf_nb 08660 75665f74 00000024 b8140400 0026960e uf_t...$.....&.. 08670 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE 08680 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM 08690 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... 086a0 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ 086b0 74000000 265f0600 00263101 02010961 t...&_...&1....a 086c0 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf 086d0 6f5f7400 00001640 03000026 b4040002 o_t....@...&.... 086e0 01020106 0000264f 01060000 24b80102 ......&O....$... 086f0 01020106 0000264f 01060000 24b80106 ......&O....$... 08700 0000264f 01060000 24b80106 0000264f ..&O....$.....&O 08710 01020102 01060000 16230106 00001699 .........#...... 08720 01020102 01060000 1b500106 00001a9d .........P...... 08730 01060000 1a9d0109 6164665f 6f735f73 ........adf_os_s 08740 676c6973 745f7400 000016d8 03000027 glist_t........' 08750 2d040002 01020102 01060000 16990109 -............... 08760 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 08770 00000025 11030000 27550400 02010300 ...%....'U...... 08780 0024f904 00020102 01020106 0000264f .$............&O 08790 01060000 24b80106 00001623 01060000 ....$......#.... 087a0 16230106 00001a9d 01060000 1a9d0106 .#.............. 087b0 00001fb9 01060000 16230109 6164665f .........#..adf_ 087c0 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. 087d0 00002427 03000027 b1040002 01020109 ..$'...'........ 087e0 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. 087f0 00244903 000027d5 04000201 02010961 .$I...'........a 08800 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. 08810 00000413 09616466 5f6e6574 5f766c61 .....adf_net_vla 08820 6e686472 5f740000 001dc503 0000280a nhdr_t........(. 08830 04000600 00263101 06000026 31010201 .....&1....&1... 08840 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. 08850 00002859 0864756d 6d790000 00011e02 ..(Y.dummy...... 08860 23000002 01030000 28590400 02010300 #.......(Y...... 08870 00286204 00075f48 49465f43 414c4c42 .(b..._HIF_CALLB 08880 41434b00 0c000028 b7087365 6e645f62 ACK....(..send_b 08890 75665f64 6f6e6500 0000285b 02230008 uf_done...([.#.. 088a0 72656376 5f627566 00000028 64022304 recv_buf...(d.#. 088b0 08636f6e 74657874 00000004 13022308 .context......#. 088c0 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. 088d0 00041309 4849465f 434f4e46 49470000 ....HIF_CONFIG.. 088e0 00283803 000028c9 04000600 0028b701 .(8...(......(.. 088f0 03000028 e0040002 01030000 28ed0400 ...(........(... 08900 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... 08910 286b0300 0028f604 00020103 0000290f (k...(........). 08920 04000600 00011e01 03000029 18040002 ...........).... 08930 01030000 29250400 06000001 1e010300 ....)%.......... 08940 00292e04 00020103 0000293b 04000600 .)........);.... 08950 00011e01 03000029 44040002 01030000 .......)D....... 08960 29510400 07686966 5f617069 00380000 )Q...hif_api.8.. 08970 2aaa085f 696e6974 00000028 e6022300 *.._init...(..#. 08980 085f7368 7574646f 776e0000 0028ef02 ._shutdown...(.. 08990 2304085f 72656769 73746572 5f63616c #.._register_cal 089a0 6c626163 6b000000 29110223 08085f67 lback...)..#.._g 089b0 65745f74 6f74616c 5f637265 6469745f et_total_credit_ 089c0 636f756e 74000000 291e0223 0c085f73 count...)..#.._s 089d0 74617274 00000028 ef022310 085f636f tart...(..#.._co 089e0 6e666967 5f706970 65000000 29270223 nfig_pipe...)'.# 089f0 14085f73 656e645f 62756666 65720000 .._send_buffer.. 08a00 00293402 2318085f 72657475 726e5f72 .)4.#.._return_r 08a10 6563765f 62756600 0000293d 02231c08 ecv_buf...)=.#.. 08a20 5f69735f 70697065 5f737570 706f7274 _is_pipe_support 08a30 65640000 00294a02 2320085f 6765745f ed...)J.# ._get_ 08a40 6d61785f 6d73675f 6c656e00 0000294a max_msg_len...)J 08a50 02232408 5f676574 5f726573 65727665 .#$._get_reserve 08a60 645f6865 6164726f 6f6d0000 00291e02 d_headroom...).. 08a70 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. 08a80 000028ef 02232c08 5f676574 5f646566 ..(..#,._get_def 08a90 61756c74 5f706970 65000000 29530223 ault_pipe...)S.# 08aa0 30087052 65736572 76656400 00000413 0.pReserved..... 08ab0 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. 08ac0 0400002b 330e444d 415f454e 47494e45 ...+3.DMA_ENGINE 08ad0 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN 08ae0 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI 08af0 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG 08b00 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN 08b10 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E 08b20 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ 08b30 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm 08b40 615f656e 67696e65 5f740000 002aaa0d a_engine_t...*.. 08b50 646d615f 69667479 70650004 00002b80 dma_iftype....+. 08b60 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D 08b70 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ 08b80 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i 08b90 66747970 655f7400 00002b45 06000012 ftype_t...+E.... 08ba0 f8010300 002b9204 00020103 00002b9f .....+........+. 08bb0 04000201 0300002b a8040006 0000092a .......+.......* 08bc0 01030000 2bb10400 06000012 f8010300 ....+........... 08bd0 002bbe04 00060000 12f80103 00002bcb .+............+. 08be0 04000600 00144301 0300002b d8040002 ......C....+.... 08bf0 01030000 2be50400 07646d61 5f6c6962 ....+....dma_lib 08c00 5f617069 00340000 2cec0874 785f696e _api.4..,..tx_in 08c10 69740000 002b9802 23000874 785f7374 it...+..#..tx_st 08c20 61727400 00002ba1 02230408 72785f69 art...+..#..rx_i 08c30 6e697400 00002b98 02230808 72785f63 nit...+..#..rx_c 08c40 6f6e6669 67000000 2baa0223 0c087278 onfig...+..#..rx 08c50 5f737461 72740000 002ba102 23100869 _start...+..#..i 08c60 6e74725f 73746174 75730000 002bb702 ntr_status...+.. 08c70 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ 08c80 c4022318 08666c75 73685f78 6d697400 ..#..flush_xmit. 08c90 00002ba1 02231c08 786d6974 5f646f6e ..+..#..xmit_don 08ca0 65000000 2bd10223 20087265 61705f78 e...+..# .reap_x 08cb0 6d697474 65640000 002bde02 23240872 mitted...+..#$.r 08cc0 6561705f 72656376 0000002b de022328 eap_recv...+..#( 08cd0 08726574 75726e5f 72656376 0000002b .return_recv...+ 08ce0 e702232c 08726563 765f706b 74000000 ..#,.recv_pkt... 08cf0 2bd10223 3000075f 5f706369 5f736f66 +..#0..__pci_sof 08d00 7463000c 00002d0a 08737700 000028f6 tc....-..sw...(. 08d10 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc 08d20 5f740000 002cec03 00002d0a 04000201 _t...,....-..... 08d30 0300002d 24040006 000012e4 01030000 ...-$........... 08d40 2d2d0400 0d686966 5f706369 5f706970 --...hif_pci_pip 08d50 655f7478 00040000 2d8d0e48 49465f50 e_tx....-..HIF_P 08d60 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI 08d70 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. 08d80 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 08d90 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ 08da0 70697065 5f74785f 74000000 2d3a0600 pipe_tx_t...-:.. 08db0 002b3301 0300002d a404000d 6869665f .+3....-....hif_ 08dc0 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... 08dd0 2a0e4849 465f5043 495f5049 50455f52 *.HIF_PCI_PIPE_R 08de0 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP 08df0 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ 08e00 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P 08e10 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI 08e20 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA 08e30 58000400 09686966 5f706369 5f706970 X....hif_pci_pip 08e40 655f7278 5f740000 002db106 00002b33 e_rx_t...-....+3 08e50 01030000 2e410400 07686966 5f706369 .....A...hif_pci 08e60 5f617069 00240000 2f1f0870 63695f62 _api.$../..pci_b 08e70 6f6f745f 696e6974 00000001 17022300 oot_init......#. 08e80 08706369 5f696e69 74000000 28e60223 .pci_init...(..# 08e90 04087063 695f7265 73657400 00000117 ..pci_reset..... 08ea0 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. 08eb0 00011702 230c0870 63695f72 6561705f ....#..pci_reap_ 08ec0 786d6974 74656400 00002d26 02231008 xmitted...-&.#.. 08ed0 7063695f 72656170 5f726563 76000000 pci_reap_recv... 08ee0 2d260223 14087063 695f6765 745f7069 -&.#..pci_get_pi 08ef0 70650000 002d3302 23180870 63695f67 pe...-3.#..pci_g 08f00 65745f74 785f656e 67000000 2daa0223 et_tx_eng...-..# 08f10 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng 08f20 0000002e 47022320 0007676d 61635f61 ....G.# ..gmac_a 08f30 70690004 00002f46 08676d61 635f626f pi..../F.gmac_bo 08f40 6f745f69 6e697400 00000117 02230000 ot_init......#.. 08f50 0f000003 2b060000 2f531005 00075f5f ....+.../S....__ 08f60 65746868 6472000e 00002f89 08647374 ethhdr..../..dst 08f70 0000002f 46022300 08737263 0000002f .../F.#..src.../ 08f80 46022306 08657479 70650000 0012f802 F.#..etype...... 08f90 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... 08fa0 2fd71572 65730000 0012e401 00020223 /..res.........# 08fb0 00157072 6f746f00 000012e4 01020602 ..proto......... 08fc0 23000872 65735f6c 6f000000 12e40223 #..res_lo......# 08fd0 01087265 735f6869 00000012 f8022302 ..res_hi......#. 08fe0 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... 08ff0 30130865 74680000 002f5302 23000861 0..eth.../S.#..a 09000 74680000 002f8902 230e0861 6c69676e th.../..#..align 09010 5f706164 00000012 f8022312 00095f5f _pad......#...__ 09020 676d6163 5f686472 5f740000 002fd707 gmac_hdr_t.../.. 09030 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. 09040 305d0868 64720000 00301302 23000867 0].hdr...0..#..g 09050 72616e00 000012f8 02231408 73770000 ran......#..sw.. 09060 0028f602 23180007 5f415f6f 735f6c69 .(..#..._A_os_li 09070 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 09080 96087665 7273696f 6e000000 011e0223 ..version......# 09090 00087461 626c6500 0000011e 02230400 ..table......#.. 090a0 03000030 5d040006 0000011e 01030000 ...0]........... 090b0 309d0400 03000004 16040017 5f415f63 0..........._A_c 090c0 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 090d0 5f746162 6c650001 b8000031 ed086861 _table.....1..ha 090e0 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. 090f0 000030a3 02230008 73746172 745f6273 ..0..#..start_bs 09100 73000000 30aa0223 04086170 705f7374 s...0..#..app_st 09110 61727400 00000117 02230808 6d656d00 art......#..mem. 09120 00000456 02230c08 6d697363 00000005 ...V.#..misc.... 09130 75022320 08707269 6e746600 0000014b u.# .printf....K 09140 02234408 75617274 00000002 1402234c .#D.uart......#L 09150 08676d61 63000000 2f1f0223 6c087573 .gmac.../..#l.us 09160 62000000 0fa40223 7008636c 6f636b00 b......#p.clock. 09170 00000aed 0323e001 0874696d 65720000 .....#...timer.. 09180 00078d03 23840208 696e7472 0000000c ....#...intr.... 09190 3d032398 0208616c 6c6f6372 616d0000 =.#...allocram.. 091a0 00094503 23c40208 726f6d70 00000008 ..E.#...romp.... 091b0 370323d0 02087764 745f7469 6d657200 7.#...wdt_timer. 091c0 00000e1a 0323e002 08656570 0000000f .....#...eep.... 091d0 480323fc 02087374 72696e67 00000006 H.#...string.... 091e0 9903238c 03087461 736b6c65 74000000 ..#...tasklet... 091f0 0a420323 a4030007 5f555342 5f464946 .B.#...._USB_FIF 09200 4f5f434f 4e464947 00100000 32600867 O_CONFIG....2`.g 09210 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. 09220 00145002 23000872 6563765f 636f6d6d ..P.#..recv_comm 09230 616e6400 00001466 02230408 6765745f and....f.#..get_ 09240 6576656e 745f6275 66000000 14500223 event_buf....P.# 09250 08087365 6e645f65 76656e74 5f646f6e ..send_event_don 09260 65000000 14660223 0c000955 53425f46 e....f.#...USB_F 09270 49464f5f 434f4e46 49470000 0031ed03 IFO_CONFIG...1.. 09280 00003260 04000201 03000032 7c040007 ..2`.......2|... 09290 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 092a0 d2085f69 6e697400 0000327e 02230008 .._init...2~.#.. 092b0 5f656e61 626c655f 6576656e 745f6973 _enable_event_is 092c0 72000000 01170223 04087052 65736572 r......#..pReser 092d0 76656400 00000413 02230800 0f000016 ved......#...... 092e0 8a020000 32df1001 00075f48 54435f46 ....2....._HTC_F 092f0 52414d45 5f484452 00080000 33510845 RAME_HDR....3Q.E 09300 6e64706f 696e7449 44000000 168a0223 ndpointID......# 09310 0008466c 61677300 0000168a 02230108 ..Flags......#.. 09320 5061796c 6f61644c 656e0000 001cb202 PayloadLen...... 09330 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. 09340 000032d2 02230408 486f7374 5365714e ..2..#..HostSeqN 09350 756d0000 001cb202 23060012 02000033 um......#......3 09360 6a084d65 73736167 65494400 00001cb2 j.MessageID..... 09370 02230000 12080000 33cd084d 65737361 .#......3..Messa 09380 67654944 0000001c b2022300 08437265 geID......#..Cre 09390 64697443 6f756e74 0000001c b2022302 ditCount......#. 093a0 08437265 64697453 697a6500 00001cb2 .CreditSize..... 093b0 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints 093c0 00000016 8a022306 085f5061 64310000 ......#.._Pad1.. 093d0 00168a02 23070012 0a000034 64084d65 ....#......4d.Me 093e0 73736167 65494400 00001cb2 02230008 ssageID......#.. 093f0 53657276 69636549 44000000 1cb20223 ServiceID......# 09400 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag 09410 73000000 1cb20223 0408446f 776e4c69 s......#..DownLi 09420 6e6b5069 70654944 00000016 8a022306 nkPipeID......#. 09430 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... 09440 168a0223 07085365 72766963 654d6574 ...#..ServiceMet 09450 614c656e 67746800 0000168a 02230808 aLength......#.. 09460 5f506164 31000000 168a0223 0900120a _Pad1......#.... 09470 000034ec 084d6573 73616765 49440000 ..4..MessageID.. 09480 001cb202 23000853 65727669 63654944 ....#..ServiceID 09490 0000001c b2022302 08537461 74757300 ......#..Status. 094a0 0000168a 02230408 456e6470 6f696e74 .....#..Endpoint 094b0 49440000 00168a02 2305084d 61784d73 ID......#..MaxMs 094c0 6753697a 65000000 1cb20223 06085365 gSize......#..Se 094d0 72766963 654d6574 614c656e 67746800 rviceMetaLength. 094e0 0000168a 02230808 5f506164 31000000 .....#.._Pad1... 094f0 168a0223 09001202 00003505 084d6573 ...#......5..Mes 09500 73616765 49440000 001cb202 23000012 sageID......#... 09510 04000035 41084d65 73736167 65494400 ...5A.MessageID. 09520 00001cb2 02230008 50697065 49440000 .....#..PipeID.. 09530 00168a02 23020843 72656469 74436f75 ....#..CreditCou 09540 6e740000 00168a02 23030012 04000035 nt......#......5 09550 78084d65 73736167 65494400 00001cb2 x.MessageID..... 09560 02230008 50697065 49440000 00168a02 .#..PipeID...... 09570 23020853 74617475 73000000 168a0223 #..Status......# 09580 03001202 0000359f 08526563 6f726449 ......5..RecordI 09590 44000000 168a0223 00084c65 6e677468 D......#..Length 095a0 00000016 8a022301 00120200 0035c908 ......#......5.. 095b0 456e6470 6f696e74 49440000 00168a02 EndpointID...... 095c0 23000843 72656469 74730000 00168a02 #..Credits...... 095d0 23010012 04000036 0a08456e 64706f69 #......6..Endpoi 095e0 6e744944 00000016 8a022300 08437265 ntID......#..Cre 095f0 64697473 00000016 8a022301 08546774 dits......#..Tgt 09600 43726564 69745365 714e6f00 00001cb2 CreditSeqNo..... 09610 02230200 0f000016 8a040000 36171003 .#..........6... 09620 00120600 00365308 50726556 616c6964 .....6S.PreValid 09630 00000016 8a022300 084c6f6f 6b416865 ......#..LookAhe 09640 61640000 00360a02 23010850 6f737456 ad...6..#..PostV 09650 616c6964 00000016 8a022305 0009706f alid......#...po 09660 6f6c5f68 616e646c 655f7400 00000413 ol_handle_t..... 09670 06000036 53010300 00366604 00020103 ...6S....6f..... 09680 00003673 04001404 000036f1 0e504f4f ..6s......6..POO 09690 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL 096a0 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S 096b0 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P 096c0 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E 096d0 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W 096e0 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO 096f0 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ 09700 504f4f4c 5f494400 0000367c 02010300 POOL_ID...6|.... 09710 00370204 00060000 264f0103 0000370b .7......&O....7. 09720 04000600 00264f01 03000037 18040002 .....&O....7.... 09730 01030000 37250400 07627566 5f706f6f ....7%...buf_poo 09740 6c5f6170 69001c00 0037c708 5f696e69 l_api....7.._ini 09750 74000000 366c0223 00085f73 68757464 t...6l.#.._shutd 09760 6f776e00 00003675 02230408 5f637265 own...6u.#.._cre 09770 6174655f 706f6f6c 00000037 04022308 ate_pool...7..#. 09780 085f616c 6c6f635f 62756600 00003711 ._alloc_buf...7. 09790 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a 097a0 6c69676e 00000037 1e022310 085f6672 lign...7..#.._fr 097b0 65655f62 75660000 00372702 23140870 ee_buf...7'.#..p 097c0 52657365 72766564 00000004 13022318 Reserved......#. 097d0 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. 097e0 000038a6 08704e65 78740000 0038a602 ..8..pNext...8.. 097f0 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs 09800 67000000 395b0223 04085072 6f636573 g...9[.#..Proces 09810 7353656e 64427566 66657243 6f6d706c sSendBufferCompl 09820 65746500 00003964 02230808 50726f63 ete...9d.#..Proc 09830 65737343 6f6e6e65 63740000 00397802 essConnect...9x. 09840 230c0853 65727669 63654944 00000012 #..ServiceID.... 09850 f8022310 08536572 76696365 466c6167 ..#..ServiceFlag 09860 73000000 12f80223 12084d61 78537663 s......#..MaxSvc 09870 4d736753 697a6500 000012f8 02231408 MsgSize......#.. 09880 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL 09890 696d6974 00000012 f8022316 08536572 imit......#..Ser 098a0 76696365 43747800 00000413 02231800 viceCtx......#.. 098b0 03000037 c7040014 04000039 4419454e ...7.......9D.EN 098c0 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... 098d0 ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E 098e0 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO 098f0 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 09900 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E 09910 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO 09920 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 09930 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E 09940 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H 09950 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. 09960 0038ad02 01030000 39590400 02010300 .8......9Y...... 09970 00396204 00030000 011e0400 06000012 .9b............. 09980 e4010300 00397204 00030000 37c70400 .....9r.....7... 09990 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... 099a0 39f70843 72656469 7453697a 65000000 9..CreditSize... 099b0 011e0223 00084372 65646974 4e756d62 ...#..CreditNumb 099c0 65720000 00011e02 2304084f 5348616e er......#..OSHan 099d0 646c6500 00001a4e 02230808 48494648 dle....N.#..HIFH 099e0 616e646c 65000000 28b70223 0c08506f andle...(..#..Po 099f0 6f6c4861 6e646c65 00000036 53022310 olHandle...6S.#. 09a00 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE 09a10 58540002 00003a33 08656e64 5f706f69 XT....:3.end_poi 09a20 6e740000 0012e402 23000868 74635f66 nt......#..htc_f 09a30 6c616773 00000012 e4022301 00096874 lags......#...ht 09a40 635f6861 6e646c65 5f740000 00041309 c_handle_t...... 09a50 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE 09a60 54455f43 42000000 01170948 54435f43 TE_CB......HTC_C 09a70 4f4e4649 47000000 39860300 003a6004 ONFIG...9....:`. 09a80 00060000 3a330103 00003a77 04000201 ....:3....:w.... 09a90 0300003a 84040009 4854435f 53455256 ...:....HTC_SERV 09aa0 49434500 000037c7 0300003a 8d040002 ICE...7....:.... 09ab0 01030000 3aa50400 02010300 003aae04 ....:........:.. 09ac0 00020103 00003ab7 04000600 00011e01 ......:......... 09ad0 0300003a c0040007 6874635f 61706973 ...:....htc_apis 09ae0 00340000 3c3d085f 4854435f 496e6974 .4..<=._HTC_Init 09af0 0000003a 7d022300 085f4854 435f5368 ...:}.#.._HTC_Sh 09b00 7574646f 776e0000 003a8602 2304085f utdown...:..#.._ 09b10 4854435f 52656769 73746572 53657276 HTC_RegisterServ 09b20 69636500 00003aa7 02230808 5f485443 ice...:..#.._HTC 09b30 5f526561 64790000 003a8602 230c085f _Ready...:..#.._ 09b40 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 09b50 73000000 3ab00223 10085f48 54435f52 s...:..#.._HTC_R 09b60 65747572 6e427566 66657273 4c697374 eturnBuffersList 09b70 0000003a b9022314 085f4854 435f5365 ...:..#.._HTC_Se 09b80 6e644d73 67000000 3ab00223 18085f48 ndMsg...:..#.._H 09b90 54435f47 65745265 73657276 65644865 TC_GetReservedHe 09ba0 6164726f 6f6d0000 003ac602 231c085f adroom...:..#.._ 09bb0 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl 09bc0 65720000 00286402 2320085f 4854435f er...(d.# ._HTC_ 09bd0 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. 09be0 0000285b 02232408 5f485443 5f436f6e ..([.#$._HTC_Con 09bf0 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs 09c00 67000000 395b0223 28085f48 54435f43 g...9[.#(._HTC_C 09c10 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 09c20 53656e64 436f6d70 6c657465 00000039 SendComplete...9 09c30 6402232c 08705265 73657276 65640000 d.#,.pReserved.. 09c40 00041302 23300007 686f7374 5f617070 ....#0..host_app 09c50 5f617265 615f7300 0400003c 6d08776d _area_s......t 09df0 75706c65 4e756d4c 0000001c b2022300 upleNumL......#. 09e00 08747570 6c654e75 6d480000 001cb202 .tupleNumH...... 09e10 23020861 76740000 003dd102 23040012 #..avt...=..#... 09e20 0100003e 37086265 61636f6e 50656e64 ...>7.beaconPend 09e30 696e6743 6f756e74 00000016 8a022300 ingCount......#. 09e40 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI 09e50 47001000 003ea008 48746348 616e646c G....>..HtcHandl 09e60 65000000 3a330223 0008506f 6f6c4861 e...:3.#..PoolHa 09e70 6e646c65 00000036 53022304 084d6178 ndle...6S.#..Max 09e80 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... 09e90 1e022308 084d6178 4576656e 74457674 ..#..MaxEventEvt 09ea0 73000000 011e0223 0c000201 0300003e s......#.......> 09eb0 a0040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND 09ec0 4c455200 00003ea2 075f574d 495f4449 LER...>.._WMI_DI 09ed0 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... 09ee0 3f090870 436d6448 616e646c 65720000 ?..pCmdHandler.. 09ef0 003ea902 23000843 6d644944 00000012 .>..#..CmdID.... 09f00 f8022304 08466c61 67730000 0012f802 ..#..Flags...... 09f10 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC 09f20 485f5441 424c4500 1000003f 6a08704e H_TABLE....?j.pN 09f30 65787400 00003f6a 02230008 70436f6e ext...?j.#..pCon 09f40 74657874 00000004 13022304 084e756d text......#..Num 09f50 6265724f 66456e74 72696573 00000001 berOfEntries.... 09f60 1e022308 08705461 626c6500 00003f89 ..#..pTable...?. 09f70 02230c00 0300003f 09040009 574d495f .#.....?....WMI_ 09f80 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. 09f90 003ebe03 00003f71 04000300 003f0904 .>....?q.....?.. 09fa0 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX 09fb0 54000000 39f70d57 4d495f45 56545f43 T...9..WMI_EVT_C 09fc0 4c415353 00040000 40211957 4d495f45 LASS....@!.WMI_E 09fd0 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... 09fe0 ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 09ff0 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI 0a000 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R 0a010 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C 0a020 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ 0a030 4556545f 434c4153 53000000 3fac075f EVT_CLASS...?.._ 0a040 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. 0a050 0c000040 7f084874 63427566 43747800 ...@..HtcBufCtx. 0a060 00003f97 02230008 4576656e 74436c61 ..?..#..EventCla 0a070 73730000 00402102 23040846 6c616773 ss...@!.#..Flags 0a080 00000012 f8022308 0009776d 695f6861 ......#...wmi_ha 0a090 6e646c65 5f740000 00041309 574d495f ndle_t......WMI_ 0a0a0 5356435f 434f4e46 49470000 003e3703 SVC_CONFIG...>7. 0a0b0 00004091 04000600 00407f01 03000040 ..@......@.....@ 0a0c0 ac040009 574d495f 44495350 41544348 ....WMI_DISPATCH 0a0d0 5f544142 4c450000 003f0903 000040b9 _TABLE...?....@. 0a0e0 04000201 03000040 d8040006 0000264f .......@......&O 0a0f0 01030000 40e10400 02010300 0040ee04 ....@........@.. 0a100 00060000 011e0103 000040f7 04000201 ..........@..... 0a110 03000041 04040006 000012e4 01030000 ...A............ 0a120 410d0400 075f776d 695f7376 635f6170 A...._wmi_svc_ap 0a130 6973002c 00004255 085f574d 495f496e is.,..BU._WMI_In 0a140 69740000 0040b202 2300085f 574d495f it...@..#.._WMI_ 0a150 52656769 73746572 44697370 61746368 RegisterDispatch 0a160 5461626c 65000000 40da0223 04085f57 Table...@..#.._W 0a170 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... 0a180 40e70223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE 0a190 76656e74 00000040 f002230c 085f574d vent...@..#.._WM 0a1a0 495f4765 7450656e 64696e67 4576656e I_GetPendingEven 0a1b0 7473436f 756e7400 000040fd 02231008 tsCount...@..#.. 0a1c0 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet 0a1d0 6548616e 646c6572 00000039 64022314 eHandler...9d.#. 0a1e0 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl 0a1f0 45700000 0040fd02 2318085f 574d495f Ep...@..#.._WMI_ 0a200 53687574 646f776e 00000041 0602231c Shutdown...A..#. 0a210 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag 0a220 6548616e 646c6572 00000039 5b022320 eHandler...9[.# 0a230 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon 0a240 6e656374 00000041 13022324 08705265 nect...A..#$.pRe 0a250 73657276 65640000 00041302 23280007 served......#(.. 0a260 7a73446d 61446573 63001400 0042d708 zsDmaDesc....B.. 0a270 6374726c 00000001 82022300 08737461 ctrl......#..sta 0a280 74757300 00000182 02230208 746f7461 tus......#..tota 0a290 6c4c656e 00000001 82022304 08646174 lLen......#..dat 0a2a0 6153697a 65000000 01820223 06086c61 aSize......#..la 0a2b0 73744164 64720000 0042d702 23080864 stAddr...B..#..d 0a2c0 61746141 64647200 000001a6 02230c08 ataAddr......#.. 0a2d0 6e657874 41646472 00000042 d7022310 nextAddr...B..#. 0a2e0 00030000 42550400 03000042 55040007 ....BU.....BU... 0a2f0 7a73446d 61517565 75650008 00004317 zsDmaQueue....C. 0a300 08686561 64000000 42de0223 00087465 .head...B..#..te 0a310 726d696e 61746f72 00000042 de022304 rminator...B..#. 0a320 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. 0a330 0000437b 08686561 64000000 42de0223 ..C{.head...B..# 0a340 00087465 726d696e 61746f72 00000042 ..terminator...B 0a350 de022304 08786d69 7465645f 6275665f ..#..xmited_buf_ 0a360 68656164 00000014 43022308 08786d69 head....C.#..xmi 0a370 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... 0a380 4302230c 00020103 0000437b 04000300 C.#.......C{.... 0a390 0042e504 00020103 0000438b 04000300 .B........C..... 0a3a0 00431704 00020103 0000439b 04000201 .C........C..... 0a3b0 03000043 a4040002 01030000 43ad0400 ...C........C... 0a3c0 06000014 43010300 0043b604 00020103 ....C....C...... 0a3d0 000043c3 04000600 00144301 03000043 ..C.......C....C 0a3e0 cc040002 01030000 43d90400 06000001 ........C....... 0a3f0 1e010300 0043e204 00060000 42de0103 .....C......B... 0a400 000043ef 04000201 03000043 fc040007 ..C........C.... 0a410 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ 0a420 00004572 085f696e 69740000 00437d02 ..Er._init...C}. 0a430 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu 0a440 65000000 438d0223 04085f69 6e69745f e...C..#.._init_ 0a450 74785f71 75657565 00000043 9d022308 tx_queue...C..#. 0a460 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu 0a470 65000000 43a60223 0c085f78 6d69745f e...C..#.._xmit_ 0a480 62756600 000043af 02231008 5f666c75 buf...C..#.._flu 0a490 73685f78 6d697400 0000438d 02231408 sh_xmit...C..#.. 0a4a0 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. 0a4b0 0043bc02 2318085f 72657475 726e5f72 .C..#.._return_r 0a4c0 6563765f 62756600 000043c5 02231c08 ecv_buf...C..#.. 0a4d0 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf 0a4e0 00000043 d2022320 085f7377 61705f64 ...C..# ._swap_d 0a4f0 61746100 000043db 02232408 5f686173 ata...C..#$._has 0a500 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. 0a510 0043e802 2328085f 64657363 5f64756d .C..#(._desc_dum 0a520 70000000 438d0223 2c085f67 65745f70 p...C..#,._get_p 0a530 61636b65 74000000 43f50223 30085f72 acket...C..#0._r 0a540 65636c61 696d5f70 61636b65 74000000 eclaim_packet... 0a550 43fe0223 34085f70 75745f70 61636b65 C..#4._put_packe 0a560 74000000 43fe0223 38087052 65736572 t...C..#8.pReser 0a570 76656400 00000413 02233c00 095f415f ved......#<.._A_ 0a580 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 0a590 6e5f7461 626c655f 74000000 30b10957 n_table_t...0..W 0a5a0 4d495f53 56435f41 50495300 0000411a MI_SVC_APIS...A. 0a5b0 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir 0a5c0 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. 0a5d0 0046a008 636d6e6f 73000000 45720223 .F..cmnos...Er.# 0a5e0 00086462 67000000 03e00323 b8030868 ..dbg......#...h 0a5f0 69660000 00295a03 23c00308 68746300 if...)Z.#...htc. 0a600 00003acd 0323f803 08776d69 5f737663 ..:..#...wmi_svc 0a610 5f617069 00000045 940323ac 04087573 _api...E..#...us 0a620 62666966 6f5f6170 69000000 32850323 bfifo_api...2..# 0a630 d8040862 75665f70 6f6f6c00 0000372e ...buf_pool...7. 0a640 0323e404 08766275 66000000 146d0323 .#...vbuf....m.# 0a650 80050876 64657363 00000013 4f032394 ...vdesc....O.#. 0a660 0508616c 6c6f6372 616d0000 00094503 ..allocram....E. 0a670 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. 0a680 00440503 23b40508 646d615f 6c696200 .D..#...dma_lib. 0a690 00002bee 0323f405 08686966 5f706369 ..+..#...hif_pci 0a6a0 0000002e 4e0323a8 0600095f 415f6d61 ....N.#...._A_ma 0a6b0 67706965 5f696e64 69726563 74696f6e gpie_indirection 0a6c0 5f746162 6c655f74 00000045 a61a616c _table_t...E..al 0a6d0 6c6f6372 616d5f63 75727265 6e745f61 locram_current_a 0a6e0 64647200 0000092a 05030050 0954011a ddr....*...P.T.. 0a6f0 616c6c6f 6372616d 5f72656d 61696e69 allocram_remaini 0a700 6e675f62 79746573 00000009 2a050300 ng_bytes....*... 0a710 50095801 0f000001 25230000 47171022 P.X.....%#..G.." 0a720 00030000 470a0400 0f000001 251c0000 ....G.......%... 0a730 472b101b 00030000 471e0400 0f000001 G+......G....... 0a740 251d0000 473f101c 00030000 47320400 %...G?......G2.. 0a750 03000009 45040002 011b011b 636d6e6f ....E.......cmno 0a760 735f616c 6c6f6372 616d5f69 6e697400 s_allocram_init. 0a770 00000413 01010392 01200290 00008e1c ......... ...... 0a780 34008e1c 44000047 b31c011b 6172656e 4...D..G....aren 0a790 615f7374 61727400 00000413 01521c01 a_start......R.. 0a7a0 1b617265 6e615f73 7a000000 092a0153 .arena_sz....*.S 0a7b0 1d617374 61727400 0000092a 001b014a .astart....*...J 0a7c0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 0a7d0 00041301 01039201 20029000 008e1c44 ........ ......D 0a7e0 008e1c74 0000480d 1c014a77 68696368 ...t..H...Jwhich 0a7f0 5f617265 6e610000 00041301 521c014a _arena......R..J 0a800 6e627974 65730000 00092a01 531d7074 nbytes....*.S.pt 0a810 72000000 0413001e 015c636d 6e6f735f r........\cmnos_ 0a820 616c6c6f 6372616d 5f646562 75670001 allocram_debug.. 0a830 01039201 20029000 008e1c74 008e1c95 .... ......t.... 0a840 1f016363 6d6e6f73 5f616c6c 6f637261 ..ccmnos_allocra 0a850 6d5f6d6f 64756c65 5f696e73 74616c6c m_module_install 0a860 00010103 92012002 9000008e 1c98008e ...... ......... 0a870 1cac1c01 6374626c 00000047 46015200 ....ctbl...GF.R. 0a880 00000000 4b590002 000005ab 04012f72 ....KY......../r 0a890 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0a8a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0a8b0 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 0a8c0 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 0a8d0 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 0a8e0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0a8f0 732f636c 6f636b2f 7372632f 636d6e6f s/clock/src/cmno 0a900 735f636c 6f636b2e 63002f72 6f6f742f s_clock.c./root/ 0a910 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0a920 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0a930 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0a940 6f732f63 6c6f636b 0078742d 78636320 os/clock.xt-xcc 0a950 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a 0a960 6c69676e 5f696e73 74727563 74696f6e lign_instruction 0a970 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP 0a980 543a7370 61636500 01000000 33950201 T:space.....3... 0a990 03000001 0c040004 696e7400 05040463 ........int....c 0a9a0 68617200 07010500 00011c05 0000011c har............. 0a9b0 03000001 29040006 00000115 01030000 ....)........... 0a9c0 01350400 07707269 6e74665f 61706900 .5...printf_api. 0a9d0 08000001 79085f70 72696e74 665f696e ....y._printf_in 0a9e0 69740000 00010e02 2300085f 7072696e it......#.._prin 0a9f0 74660000 00013b02 23040004 73686f72 tf....;.#...shor 0aa00 7420756e 7369676e 65642069 6e740007 t unsigned int.. 0aa10 02097569 6e743136 5f740000 00017904 ..uint16_t....y. 0aa20 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 0aa30 74000704 0975696e 7433325f 74000000 t....uint32_t... 0aa40 019d0775 6172745f 6669666f 00080000 ...uart_fifo.... 0aa50 020b0873 74617274 5f696e64 65780000 ...start_index.. 0aa60 00018f02 23000865 6e645f69 6e646578 ....#..end_index 0aa70 00000001 8f022302 086f7665 7272756e ......#..overrun 0aa80 5f657272 00000001 b2022304 00077561 _err......#...ua 0aa90 72745f61 70690020 000002c4 085f7561 rt_api. ....._ua 0aaa0 72745f69 6e697400 0000031b 02230008 rt_init......#.. 0aab0 5f756172 745f6368 61725f70 75740000 _uart_char_put.. 0aac0 00034202 2304085f 75617274 5f636861 ..B.#.._uart_cha 0aad0 725f6765 74000000 03560223 08085f75 r_get....V.#.._u 0aae0 6172745f 7374725f 6f757400 0000035f art_str_out...._ 0aaf0 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. 0ab00 00010e02 2310085f 75617274 5f737461 ....#.._uart_sta 0ab10 74757300 0000031b 02231408 5f756172 tus......#.._uar 0ab20 745f636f 6e666967 00000003 68022318 t_config....h.#. 0ab30 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... 0ab40 03710223 1c000300 00020b04 00077561 .q.#..........ua 0ab50 72745f62 6c6b0010 00000315 08646562 rt_blk.......deb 0ab60 75675f6d 6f646500 0000018f 02230008 ug_mode......#.. 0ab70 62617564 00000001 8f022302 085f7561 baud......#.._ua 0ab80 72740000 0002c402 2304085f 74780000 rt......#.._tx.. 0ab90 0001c002 23080006 000001b2 01030000 ....#........... 0aba0 03150400 04756e73 69676e65 64206368 .....unsigned ch 0abb0 61720007 01097569 6e74385f 74000000 ar....uint8_t... 0abc0 03220201 03000003 40040003 00000333 ."......@......3 0abd0 04000600 00018f01 03000003 50040002 ............P... 0abe0 01030000 035d0400 02010300 00036604 .....]........f. 0abf0 00020103 0000036f 04000300 00011c04 .......o........ 0ac00 00060000 01150103 0000037f 04000744 ...............D 0ac10 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT 0ac20 000c0000 03d70863 6d645f73 74720000 .......cmd_str.. 0ac30 00037802 23000868 656c705f 73747200 ..x.#..help_str. 0ac40 00000378 02230408 636d645f 66756e63 ...x.#..cmd_func 0ac50 00000003 85022308 00076462 675f6170 ......#...dbg_ap 0ac60 69000800 00040a08 5f646267 5f696e69 i......._dbg_ini 0ac70 74000000 010e0223 00085f64 62675f74 t......#.._dbg_t 0ac80 61736b00 0000010e 02230400 0a040004 ask......#...... 0ac90 756e7369 676e6564 20696e74 00070406 unsigned int.... 0aca0 0000040a 01030000 041d0400 0b0b0300 ................ 0acb0 00042b04 00060000 040a0103 00000433 ..+............3 0acc0 04000600 00011501 03000004 40040007 ............@... 0acd0 6d656d5f 61706900 14000004 af085f6d mem_api......._m 0ace0 656d5f69 6e697400 0000010e 02230008 em_init......#.. 0acf0 5f6d656d 73657400 00000423 02230408 _memset....#.#.. 0ad00 5f6d656d 63707900 00000439 02230808 _memcpy....9.#.. 0ad10 5f6d656d 6d6f7665 00000004 3902230c _memmove....9.#. 0ad20 085f6d65 6d636d70 00000004 46022310 ._memcmp....F.#. 0ad30 000c7265 67697374 65725f64 756d705f ..register_dump_ 0ad40 73000001 03000004 af040002 01030000 s............... 0ad50 04c90400 02010300 0004d204 00060000 ................ 0ad60 01150103 000004db 04000d68 6f737469 ...........hosti 0ad70 665f7300 04000005 370e4849 465f5553 f_s.....7.HIF_US 0ad80 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H 0ad90 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC 0ada0 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI 0adb0 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST 0adc0 49460000 0004e806 00000537 01030000 IF.........7.... 0add0 05450400 06000003 33010300 00055204 .E......3.....R. 0ade0 00060000 018f0103 0000055f 0400076d ..........._...m 0adf0 6973635f 61706900 24000006 4f085f73 isc_api.$...O._s 0ae00 79737465 6d5f7265 73657400 0000010e ystem_reset..... 0ae10 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. 0ae20 00010e02 2304085f 61737366 61696c00 ....#.._assfail. 0ae30 000004cb 02230808 5f6d6973 616c6967 .....#.._misalig 0ae40 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler 0ae50 00000004 cb02230c 085f7265 706f7274 ......#.._report 0ae60 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host 0ae70 00000004 d4022310 085f7461 72676574 ......#.._target 0ae80 5f69645f 67657400 000004e1 02231408 _id_get......#.. 0ae90 5f69735f 686f7374 5f707265 73656e74 _is_host_present 0aea0 00000005 4b022318 085f6b62 68697400 ....K.#.._kbhit. 0aeb0 00000558 02231c08 5f726f6d 5f766572 ...X.#.._rom_ver 0aec0 73696f6e 5f676574 00000005 65022320 sion_get....e.# 0aed0 00060000 03780103 0000064f 04000600 .....x.....O.... 0aee0 00037801 03000006 5c040006 00000115 ..x.....\....... 0aef0 01030000 06690400 06000001 15010300 .....i.......... 0af00 00067604 00060000 01150103 00000683 ..v............. 0af10 04000773 7472696e 675f6170 69001800 ...string_api... 0af20 00070908 5f737472 696e675f 696e6974 ...._string_init 0af30 00000001 0e022300 085f7374 72637079 ......#.._strcpy 0af40 00000006 55022304 085f7374 726e6370 ....U.#.._strncp 0af50 79000000 06620223 08085f73 74726c65 y....b.#.._strle 0af60 6e000000 066f0223 0c085f73 7472636d n....o.#.._strcm 0af70 70000000 067c0223 10085f73 74726e63 p....|.#.._strnc 0af80 6d700000 00068902 2314000f 0000040d mp......#....... 0af90 14000007 16100400 095f415f 54494d45 ........._A_TIME 0afa0 525f5350 41434500 00000709 09415f74 R_SPACE......A_t 0afb0 696d6572 5f740000 00071603 0000072a imer_t.........* 0afc0 04000201 03000007 40040002 01030000 ........@....... 0afd0 07490400 09415f48 414e444c 45000000 .I...A_HANDLE... 0afe0 040d0201 09415f54 494d4552 5f46554e .....A_TIMER_FUN 0aff0 43000000 07600300 00076204 00020103 C....`....b..... 0b000 0000077b 04000774 696d6572 5f617069 ...{...timer_api 0b010 00140000 07fa085f 74696d65 725f696e ......._timer_in 0b020 69740000 00010e02 2300085f 74696d65 it......#.._time 0b030 725f6172 6d000000 07420223 04085f74 r_arm....B.#.._t 0b040 696d6572 5f646973 61726d00 0000074b imer_disarm....K 0b050 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn 0b060 00000007 7d02230c 085f7469 6d65725f ....}.#.._timer_ 0b070 72756e00 0000010e 02231000 09424f4f run......#...BOO 0b080 4c45414e 00000001 8f060000 07fa0103 LEAN............ 0b090 00000807 04000600 0007fa01 03000008 ................ 0b0a0 14040006 000007fa 01030000 08210400 .............!.. 0b0b0 07726f6d 705f6170 69001000 00089308 .romp_api....... 0b0c0 5f726f6d 705f696e 69740000 00010e02 _romp_init...... 0b0d0 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa 0b0e0 64000000 080d0223 04085f72 6f6d705f d......#.._romp_ 0b0f0 696e7374 616c6c00 0000081a 02230808 install......#.. 0b100 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... 0b110 2702230c 0007726f 6d5f7061 7463685f '.#...rom_patch_ 0b120 73740010 000008ef 08637263 31360000 st.......crc16.. 0b130 00018f02 2300086c 656e0000 00018f02 ....#..len...... 0b140 2302086c 645f6164 64720000 0001b202 #..ld_addr...... 0b150 23040866 756e5f61 64647200 000001b2 #..fun_addr..... 0b160 02230808 7066756e 00000003 4902230c .#..pfun....I.#. 0b170 00076565 705f7265 6469725f 61646472 ..eep_redir_addr 0b180 00040000 0921086f 66667365 74000000 .....!.offset... 0b190 018f0223 00087369 7a650000 00018f02 ...#..size...... 0b1a0 23020009 415f5549 4e543332 00000004 #...A_UINT32.... 0b1b0 0d060000 040a0103 0000092f 04000761 .........../...a 0b1c0 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... 0b1d0 a008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 0b1e0 5f696e69 74000000 09350223 0008636d _init....5.#..cm 0b1f0 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... 0b200 35022304 08636d6e 6f735f61 6c6c6f63 5.#..cmnos_alloc 0b210 72616d5f 64656275 67000000 010e0223 ram_debug......# 0b220 08000201 03000009 a0040009 415f5441 ............A_TA 0b230 534b4c45 545f4655 4e430000 0009a207 SKLET_FUNC...... 0b240 5f746173 6b6c6574 00100000 0a010866 _tasklet.......f 0b250 756e6300 000009a9 02230008 61726700 unc......#..arg. 0b260 0000040a 02230408 73746174 65000000 .....#..state... 0b270 01150223 08086e65 78740000 000a0102 ...#..next...... 0b280 230c0003 000009bd 04000300 0009bd04 #............... 0b290 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... 0b2a0 09bd0300 000a0f04 00020103 00000a27 ...............' 0b2b0 04000201 0300000a 30040007 7461736b ........0...task 0b2c0 6c65745f 61706900 1400000a c5085f74 let_api......._t 0b2d0 61736b6c 65745f69 6e697400 0000010e asklet_init..... 0b2e0 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini 0b2f0 745f7461 736b0000 000a2902 2304085f t_task....).#.._ 0b300 7461736b 6c65745f 64697361 626c6500 tasklet_disable. 0b310 00000a32 02230808 5f746173 6b6c6574 ...2.#.._tasklet 0b320 5f736368 6564756c 65000000 0a320223 _schedule....2.# 0b330 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. 0b340 00010e02 23100002 01030000 0ac50400 ....#........... 0b350 06000009 21010300 000ace04 00020103 ....!........... 0b360 00000adb 04000763 6c6f636b 5f617069 .......clock_api 0b370 00240000 0bbd085f 636c6f63 6b5f696e .$....._clock_in 0b380 69740000 000ac702 2300085f 636c6f63 it......#.._cloc 0b390 6b726567 735f696e 69740000 00010e02 kregs_init...... 0b3a0 2304085f 75617274 5f667265 7175656e #.._uart_frequen 0b3b0 63790000 000ad402 2308085f 64656c61 cy......#.._dela 0b3c0 795f7573 0000000a dd02230c 085f776c y_us......#.._wl 0b3d0 616e5f62 616e645f 73657400 00000add an_band_set..... 0b3e0 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee 0b3f0 645f6765 74000000 0ad40223 14085f6d d_get......#.._m 0b400 696c6c69 7365636f 6e647300 00000ad4 illiseconds..... 0b410 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan 0b420 67650000 00010e02 231c085f 636c6f63 ge......#.._cloc 0b430 6b5f7469 636b0000 00010e02 23200006 k_tick......# .. 0b440 000001b2 01030000 0bbd0400 09415f6f .............A_o 0b450 6c645f69 6e74725f 74000000 01b20600 ld_intr_t....... 0b460 000bca01 0300000b dc040002 01030000 ................ 0b470 0be90400 02010300 000bf204 00060000 ................ 0b480 01b20103 00000bfb 04000941 5f697372 ...........A_isr 0b490 5f740000 000c0102 01030000 0c150400 _t.............. 0b4a0 06000004 0d010300 000c1e04 00020103 ................ 0b4b0 00000c2b 04000769 6e74725f 61706900 ...+...intr_api. 0b4c0 2c00000d 4d085f69 6e74725f 696e6974 ,...M._intr_init 0b4d0 00000001 0e022300 085f696e 74725f69 ......#.._intr_i 0b4e0 6e766f6b 655f6973 72000000 0bc30223 nvoke_isr......# 0b4f0 04085f69 6e74725f 64697361 626c6500 .._intr_disable. 0b500 00000be2 02230808 5f696e74 725f7265 .....#.._intr_re 0b510 73746f72 65000000 0beb0223 0c085f69 store......#.._i 0b520 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... 0b530 0bf40223 10085f69 6e74725f 756e6d61 ...#.._intr_unma 0b540 736b5f69 6e756d00 00000bf4 02231408 sk_inum......#.. 0b550 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr 0b560 0000000c 17022318 085f6765 745f696e ......#.._get_in 0b570 7472656e 61626c65 0000000c 2402231c trenable....$.#. 0b580 085f7365 745f696e 7472656e 61626c65 ._set_intrenable 0b590 0000000c 2d022320 085f6765 745f696e ....-.# ._get_in 0b5a0 74727065 6e64696e 67000000 0c240223 trpending....$.# 0b5b0 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i 0b5c0 6e74726c 766c0000 00010e02 23280011 ntrlvl......#(.. 0b5d0 0400000d 73087469 6d656f75 74000000 ....s.timeout... 0b5e0 01b20223 00086163 74696f6e 00000001 ...#..action.... 0b5f0 b2022300 00120800 000d8e08 636d6400 ..#.........cmd. 0b600 000001b2 02230013 00000d4d 02230400 .....#.....M.#.. 0b610 09545f57 44545f43 4d440000 000d7302 .T_WDT_CMD....s. 0b620 01030000 0d9d0400 14040000 0df30e45 ...............E 0b630 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E 0b640 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... 0b650 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. 0b660 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO 0b670 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP 0b680 45000000 0da60600 000df301 0300000e E............... 0b690 04040007 7764745f 61706900 1c00000e ....wdt_api..... 0b6a0 a8085f77 64745f69 6e697400 0000010e .._wdt_init..... 0b6b0 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. 0b6c0 0000010e 02230408 5f776474 5f646973 .....#.._wdt_dis 0b6d0 61626c65 00000001 0e022308 085f7764 able......#.._wd 0b6e0 745f7365 74000000 0d9f0223 0c085f77 t_set......#.._w 0b6f0 64745f74 61736b00 0000010e 02231008 dt_task......#.. 0b700 5f776474 5f726573 65740000 00010e02 _wdt_reset...... 0b710 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo 0b720 74000000 0e0a0223 18001404 00000f0f t......#........ 0b730 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R 0b740 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE 0b750 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE 0b760 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... 0b770 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW 0b780 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. 0b790 0009545f 4545505f 52455400 00000ea8 ..T_EEP_RET..... 0b7a0 03000001 8f040006 00000f0f 01030000 ................ 0b7b0 0f250400 0600000f 0f010300 000f3204 .%............2. 0b7c0 00076565 705f6170 69001000 000f9b08 ..eep_api....... 0b7d0 5f656570 5f696e69 74000000 010e0223 _eep_init......# 0b7e0 00085f65 65705f72 65616400 00000f2b .._eep_read....+ 0b7f0 02230408 5f656570 5f777269 74650000 .#.._eep_write.. 0b800 000f2b02 2308085f 6565705f 69735f65 ..+.#.._eep_is_e 0b810 78697374 0000000f 3802230c 00077573 xist....8.#...us 0b820 625f6170 69007000 00124808 5f757362 b_api.p...H._usb 0b830 5f696e69 74000000 010e0223 00085f75 _init......#.._u 0b840 73625f72 6f6d5f74 61736b00 0000010e sb_rom_task..... 0b850 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task 0b860 00000001 0e022308 085f7573 625f696e ......#.._usb_in 0b870 69745f70 68790000 00010e02 230c085f it_phy......#.._ 0b880 7573625f 6570305f 73657475 70000000 usb_ep0_setup... 0b890 010e0223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t 0b8a0 78000000 010e0223 14085f75 73625f65 x......#.._usb_e 0b8b0 70305f72 78000000 010e0223 18085f75 p0_rx......#.._u 0b8c0 73625f67 65745f69 6e746572 66616365 sb_get_interface 0b8d0 00000008 1a02231c 085f7573 625f7365 ......#.._usb_se 0b8e0 745f696e 74657266 61636500 0000081a t_interface..... 0b8f0 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con 0b900 66696775 72617469 6f6e0000 00081a02 figuration...... 0b910 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf 0b920 69677572 6174696f 6e000000 081a0223 iguration......# 0b930 28085f75 73625f73 74616e64 6172645f (._usb_standard_ 0b940 636d6400 0000081a 02232c08 5f757362 cmd......#,._usb 0b950 5f76656e 646f725f 636d6400 0000010e _vendor_cmd..... 0b960 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o 0b970 66660000 00010e02 2334085f 7573625f ff......#4._usb_ 0b980 72657365 745f6669 666f0000 00010e02 reset_fifo...... 0b990 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. 0b9a0 0000010e 02233c08 5f757362 5f6a756d .....#<._usb_jum 0b9b0 705f626f 6f740000 00010e02 2340085f p_boot......#@._ 0b9c0 7573625f 636c725f 66656174 75726500 usb_clr_feature. 0b9d0 0000081a 02234408 5f757362 5f736574 .....#D._usb_set 0b9e0 5f666561 74757265 00000008 1a022348 _feature......#H 0b9f0 085f7573 625f7365 745f6164 64726573 ._usb_set_addres 0ba00 73000000 081a0223 4c085f75 73625f67 s......#L._usb_g 0ba10 65745f64 65736372 6970746f 72000000 et_descriptor... 0ba20 081a0223 50085f75 73625f67 65745f73 ...#P._usb_get_s 0ba30 74617475 73000000 081a0223 54085f75 tatus......#T._u 0ba40 73625f73 65747570 5f646573 63000000 sb_setup_desc... 0ba50 010e0223 58085f75 73625f72 65675f6f ...#X._usb_reg_o 0ba60 75740000 00010e02 235c085f 7573625f ut......#\._usb_ 0ba70 73746174 75735f69 6e000000 010e0223 status_in......# 0ba80 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da 0ba90 74610000 00010e02 2364085f 7573625f ta......#d._usb_ 0baa0 6570305f 72785f64 61746100 0000010e ep0_rx_data..... 0bab0 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini 0bac0 74000000 010e0223 6c00075f 56444553 t......#l.._VDES 0bad0 43002400 0012d408 6e657874 5f646573 C.$.....next_des 0bae0 63000000 12d40223 00086275 665f6164 c......#..buf_ad 0baf0 64720000 0012e802 23040862 75665f73 dr......#..buf_s 0bb00 697a6500 000012ef 02230808 64617461 ize......#..data 0bb10 5f6f6666 73657400 000012ef 02230a08 _offset......#.. 0bb20 64617461 5f73697a 65000000 12ef0223 data_size......# 0bb30 0c08636f 6e74726f 6c000000 12ef0223 ..control......# 0bb40 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... 0bb50 12fd0223 10000300 00124804 0009415f ...#......H...A_ 0bb60 55494e54 38000000 03220300 0012db04 UINT8...."...... 0bb70 0009415f 55494e54 31360000 0001790f ..A_UINT16....y. 0bb80 000012db 14000013 0a101300 03000012 ................ 0bb90 48040009 56444553 43000000 12480300 H...VDESC....H.. 0bba0 00131104 00060000 131c0103 00001323 ...............# 0bbb0 04000600 0012e801 03000013 30040002 ............0... 0bbc0 01030000 133d0400 07766465 73635f61 .....=...vdesc_a 0bbd0 70690014 000013b5 085f696e 69740000 pi......._init.. 0bbe0 000add02 2300085f 616c6c6f 635f7664 ....#.._alloc_vd 0bbf0 65736300 00001329 02230408 5f676574 esc....).#.._get 0bc00 5f68775f 64657363 00000013 36022308 _hw_desc....6.#. 0bc10 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... 0bc20 3f02230c 08705265 73657276 65640000 ?.#..pReserved.. 0bc30 00040a02 23100007 5f564255 46002000 ....#..._VBUF. . 0bc40 00141508 64657363 5f6c6973 74000000 ....desc_list... 0bc50 131c0223 00086e65 78745f62 75660000 ...#..next_buf.. 0bc60 00141502 23040862 75665f6c 656e6774 ....#..buf_lengt 0bc70 68000000 12ef0223 08087265 73657276 h......#..reserv 0bc80 65640000 00141c02 230a0863 74780000 ed......#..ctx.. 0bc90 0012fd02 230c0003 000013b5 04000f00 ....#........... 0bca0 0012db02 00001429 10010003 000013b5 .......)........ 0bcb0 04000956 42554600 000013b5 03000014 ...VBUF......... 0bcc0 30040006 0000143a 01030000 14410400 0......:.....A.. 0bcd0 06000014 3a010300 00144e04 00020103 ....:.....N..... 0bce0 0000145b 04000776 6275665f 61706900 ...[...vbuf_api. 0bcf0 14000014 d9085f69 6e697400 00000add ......_init..... 0bd00 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. 0bd10 00001447 02230408 5f616c6c 6f635f76 ...G.#.._alloc_v 0bd20 6275665f 77697468 5f73697a 65000000 buf_with_size... 0bd30 14540223 08085f66 7265655f 76627566 .T.#.._free_vbuf 0bd40 00000014 5d02230c 08705265 73657276 ....].#..pReserv 0bd50 65640000 00040a02 23100007 5f5f6164 ed......#...__ad 0bd60 665f6465 76696365 00040000 14fb0864 f_device.......d 0bd70 756d6d79 00000001 15022300 00030000 ummy......#..... 0bd80 09210400 075f5f61 64665f64 6d615f6d .!...__adf_dma_m 0bd90 6170000c 00001542 08627566 00000014 ap.....B.buf.... 0bda0 3a022300 0864735f 61646472 00000014 :.#..ds_addr.... 0bdb0 fb022304 0864735f 6c656e00 000012ef ..#..ds_len..... 0bdc0 02230800 120c0000 157c085f 5f76615f .#.......|.__va_ 0bdd0 73746b00 00000378 02230008 5f5f7661 stk....x.#..__va 0bde0 5f726567 00000003 78022304 085f5f76 _reg....x.#..__v 0bdf0 615f6e64 78000000 01150223 0800095f a_ndx......#..._ 0be00 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr 0be10 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d 0be20 6d615f61 6464725f 74000000 157c095f ma_addr_t....|._ 0be30 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size 0be40 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d 0be50 6d615f73 697a655f 74000000 15ac075f ma_size_t......_ 0be60 5f646d61 5f736567 73000800 00160808 _dma_segs....... 0be70 70616464 72000000 15950223 00086c65 paddr......#..le 0be80 6e000000 15c50223 0400095f 5f615f75 n......#...__a_u 0be90 696e7433 325f7400 00000921 09615f75 int32_t....!.a_u 0bea0 696e7433 325f7400 00001608 0f000015 int32_t......... 0beb0 dc080000 16371000 00076164 665f6f73 .....7....adf_os 0bec0 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... 0bed0 1670086e 73656773 00000016 1a022300 .p.nsegs......#. 0bee0 08646d61 5f736567 73000000 162a0223 .dma_segs....*.# 0bef0 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. 0bf00 0012db09 615f7569 6e74385f 74000000 ....a_uint8_t... 0bf10 16700300 00168104 00075f5f 73675f73 .p........__sg_s 0bf20 65677300 08000016 c2087661 64647200 egs.......vaddr. 0bf30 00001690 02230008 6c656e00 0000161a .....#..len..... 0bf40 02230400 0f000016 97200000 16cf1003 .#....... ...... 0bf50 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. 0bf60 24000017 02086e73 65677300 0000161a $.....nsegs..... 0bf70 02230008 73675f73 65677300 000016c2 .#..sg_segs..... 0bf80 02230400 12100000 174b0876 656e646f .#.......K.vendo 0bf90 72000000 161a0223 00086465 76696365 r......#..device 0bfa0 00000016 1a022304 08737562 76656e64 ......#..subvend 0bfb0 6f720000 00161a02 23080873 75626465 or......#..subde 0bfc0 76696365 00000016 1a02230c 00046c6f vice......#...lo 0bfd0 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned 0bfe0 20696e74 00070809 415f5549 4e543634 int....A_UINT64 0bff0 00000017 4b095f5f 615f7569 6e743634 ....K.__a_uint64 0c000 5f740000 00176509 615f7569 6e743634 _t....e.a_uint64 0c010 5f740000 00177314 04000017 d10e4144 _t....s.......AD 0c020 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY 0c030 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ 0c040 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO 0c050 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou 0c060 7263655f 74797065 5f740000 00179512 rce_type_t...... 0c070 18000018 1b087374 61727400 00001785 ......start..... 0c080 02230008 656e6400 00001785 02230808 .#..end......#.. 0c090 74797065 00000017 d1022310 00096164 type......#...ad 0c0a0 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ 0c0b0 74000000 17020300 00181b04 00110400 t............... 0c0c0 00185a08 70636900 00001834 02230008 ..Z.pci....4.#.. 0c0d0 72617700 0000040a 02230000 11100000 raw......#...... 0c0e0 18790870 63690000 00181b02 23000872 .y.pci......#..r 0c0f0 61770000 00040a02 23000009 6164665f aw......#...adf_ 0c100 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... 0c110 0a096164 665f6f73 5f726573 6f757263 ..adf_os_resourc 0c120 655f7400 000017ed 03000018 8f040009 e_t............. 0c130 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da 0c140 74615f74 00000018 5a030000 18ad0400 ta_t....Z....... 0c150 03000014 d9040009 5f5f6164 665f6f73 ........__adf_os 0c160 5f646576 6963655f 74000000 18ce0961 _device_t......a 0c170 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. 0c180 0018d506 00001879 01030000 19010400 .......y........ 0c190 02010300 00190e04 00096164 665f6f73 ..........adf_os 0c1a0 5f706d5f 74000000 040a0201 03000019 _pm_t........... 0c1b0 28040014 04000019 680e4144 465f4f53 (.......h.ADF_OS 0c1c0 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... 0c1d0 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 0c1e0 47454e45 52494300 02000961 64665f6f GENERIC....adf_o 0c1f0 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... 0c200 31096164 665f6f73 5f627573 5f726567 1.adf_os_bus_reg 0c210 5f646174 615f7400 0000183b 03000003 _data_t....;.... 0c220 22040007 5f616466 5f647276 5f696e66 "..._adf_drv_inf 0c230 6f002000 001a4508 6472765f 61747461 o. ...E.drv_atta 0c240 63680000 00190702 23000864 72765f64 ch......#..drv_d 0c250 65746163 68000000 19100223 04086472 etach......#..dr 0c260 765f7375 7370656e 64000000 192a0223 v_suspend....*.# 0c270 08086472 765f7265 73756d65 00000019 ..drv_resume.... 0c280 1002230c 08627573 5f747970 65000000 ..#..bus_type... 0c290 19680223 10086275 735f6461 74610000 .h.#..bus_data.. 0c2a0 00197f02 2314086d 6f645f6e 616d6500 ....#..mod_name. 0c2b0 0000199a 02231808 69666e61 6d650000 .....#..ifname.. 0c2c0 00199a02 231c0009 6164665f 6f735f68 ....#...adf_os_h 0c2d0 616e646c 655f7400 0000040a 03000016 andle_t......... 0c2e0 70040002 01020109 5f5f6164 665f6f73 p.......__adf_os 0c2f0 5f73697a 655f7400 0000040d 14040000 _size_t......... 0c300 1a940e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T 0c310 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. 0c320 00001a7a 03000015 02040009 5f5f6164 ...z........__ad 0c330 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 0c340 001aa202 010d6164 665f6f73 5f636163 ......adf_os_cac 0c350 68655f73 796e6300 0400001b 2c0e4144 he_sync.....,.AD 0c360 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. 0c370 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI 0c380 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO 0c390 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN 0c3a0 435f504f 53545752 49544500 03000961 C_POSTWRITE....a 0c3b0 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 0c3c0 5f740000 001ac302 01096164 665f6f73 _t........adf_os 0c3d0 5f73697a 655f7400 00001a65 0600001b _size_t....e.... 0c3e0 47010961 64665f6f 735f646d 615f6d61 G..adf_os_dma_ma 0c3f0 705f7400 00001aa9 0300001b 60040006 p_t.........`... 0c400 0000040a 01030000 1aa90400 06000004 ................ 0c410 0a010201 06000015 95010201 0473686f .............sho 0c420 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 0c430 36000000 1b9a095f 5f615f69 6e743136 6......__a_int16 0c440 5f740000 001ba709 615f696e 7431365f _t......a_int16_ 0c450 74000000 1bb40473 69676e65 64206368 t......signed ch 0c460 61720005 0109415f 494e5438 0000001b ar....A_INT8.... 0c470 d4095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... 0c480 e309615f 696e7438 5f740000 001bef12 ..a_int8_t...... 0c490 0c00001c 66087375 70706f72 74656400 ....f.supported. 0c4a0 0000161a 02230008 61647665 7274697a .....#..advertiz 0c4b0 65640000 00161a02 23040873 70656564 ed......#..speed 0c4c0 0000001b c5022308 08647570 6c657800 ......#..duplex. 0c4d0 00001bff 02230a08 6175746f 6e656700 .....#..autoneg. 0c4e0 00001681 02230b00 0f000016 81060000 .....#.......... 0c4f0 1c731005 00076164 665f6e65 745f6574 .s....adf_net_et 0c500 68616464 72000600 001c9708 61646472 haddr.......addr 0c510 0000001c 66022300 00095f5f 615f7569 ....f.#...__a_ui 0c520 6e743136 5f740000 0012ef09 615f7569 nt16_t......a_ui 0c530 6e743136 5f740000 001c9712 0e00001c nt16_t.......... 0c540 fb086574 6865725f 64686f73 74000000 ..ether_dhost... 0c550 1c660223 00086574 6865725f 73686f73 .f.#..ether_shos 0c560 74000000 1c660223 06086574 6865725f t....f.#..ether_ 0c570 74797065 0000001c a902230c 00121400 type......#..... 0c580 001dbc15 69705f76 65727369 6f6e0000 ....ip_version.. 0c590 00168101 00040223 00156970 5f686c00 .......#..ip_hl. 0c5a0 00001681 01040402 23000869 705f746f ........#..ip_to 0c5b0 73000000 16810223 01086970 5f6c656e s......#..ip_len 0c5c0 0000001c a9022302 0869705f 69640000 ......#..ip_id.. 0c5d0 001ca902 23040869 705f6672 61675f6f ....#..ip_frag_o 0c5e0 66660000 001ca902 23060869 705f7474 ff......#..ip_tt 0c5f0 6c000000 16810223 08086970 5f70726f l......#..ip_pro 0c600 746f0000 00168102 23090869 705f6368 to......#..ip_ch 0c610 65636b00 00001ca9 02230a08 69705f73 eck......#..ip_s 0c620 61646472 00000016 1a02230c 0869705f addr......#..ip_ 0c630 64616464 72000000 161a0223 10000761 daddr......#...a 0c640 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. 0c650 00001e0e 08747069 64000000 1ca90223 .....tpid......# 0c660 00157072 696f0000 00168101 00030223 ..prio.........# 0c670 02156366 69000000 16810103 01022302 ..cfi.........#. 0c680 15766964 0000001c a902040c 02230200 .vid.........#.. 0c690 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... 0c6a0 1e3f1572 65730000 00168101 00040223 .?.res.........# 0c6b0 00157661 6c000000 1ca90204 0c022300 ..val.........#. 0c6c0 00120c00 001e7b08 72785f62 75667369 ......{.rx_bufsi 0c6d0 7a650000 00161a02 23000872 785f6e64 ze......#..rx_nd 0c6e0 65736300 0000161a 02230408 74785f6e esc......#..tx_n 0c6f0 64657363 00000016 1a022308 00120800 desc......#..... 0c700 001ea108 706f6c6c 65640000 001a9402 ....polled...... 0c710 23000870 6f6c6c5f 77740000 00161a02 #..poll_wt...... 0c720 2304000f 00001681 4000001e ae103f00 #.......@.....?. 0c730 12460000 1ed60869 665f6e61 6d650000 .F.....if_name.. 0c740 001ea102 23000864 65765f61 64647200 ....#..dev_addr. 0c750 00001c66 02234000 14040000 1f0d0e41 ...f.#@........A 0c760 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 0c770 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM 0c780 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... 0c790 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ 0c7a0 74000000 1ed60761 64665f64 6d615f69 t......adf_dma_i 0c7b0 6e666f00 0800001f 5a08646d 615f6d61 nfo.....Z.dma_ma 0c7c0 736b0000 001f0d02 23000873 675f6e73 sk......#..sg_ns 0c7d0 65677300 0000161a 02230400 14040000 egs......#...... 0c7e0 1fb00e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 0c7f0 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ 0c800 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 0c810 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS 0c820 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. 0c830 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum 0c840 5f747970 655f7400 00001f5a 12080000 _type_t....Z.... 0c850 1ff30874 785f636b 73756d00 00001fb0 ...tx_cksum..... 0c860 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... 0c870 b0022304 00096164 665f6e65 745f636b ..#...adf_net_ck 0c880 73756d5f 696e666f 5f740000 001fca14 sum_info_t...... 0c890 04000020 4c0e4144 465f4e45 545f5453 ... L.ADF_NET_TS 0c8a0 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET 0c8b0 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ 0c8c0 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a 0c8d0 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ 0c8e0 74000000 200d1210 000020a0 08636b73 t... ..... ..cks 0c8f0 756d5f63 61700000 001ff302 23000874 um_cap......#..t 0c900 736f0000 00204c02 23080876 6c616e5f so... L.#..vlan_ 0c910 73757070 6f727465 64000000 16810223 supported......# 0c920 0c001220 00002139 0874785f 7061636b ... ..!9.tx_pack 0c930 65747300 0000161a 02230008 72785f70 ets......#..rx_p 0c940 61636b65 74730000 00161a02 23040874 ackets......#..t 0c950 785f6279 74657300 0000161a 02230808 x_bytes......#.. 0c960 72785f62 79746573 00000016 1a02230c rx_bytes......#. 0c970 0874785f 64726f70 70656400 0000161a .tx_dropped..... 0c980 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. 0c990 00161a02 23140872 785f6572 726f7273 ....#..rx_errors 0c9a0 00000016 1a022318 0874785f 6572726f ......#..tx_erro 0c9b0 72730000 00161a02 231c0009 6164665f rs......#...adf_ 0c9c0 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... 0c9d0 1c731600 00213903 00000021 5e107f00 .s...!9....!^... 0c9e0 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 0c9f0 64647200 03040000 2195086e 656c656d ddr.....!..nelem 0ca00 00000016 1a022300 086d6361 73740000 ......#..mcast.. 0ca10 00215002 23040009 6164665f 6e65745f .!P.#...adf_net_ 0ca20 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. 0ca30 00001c0d 09616466 5f6e6574 5f636d64 .....adf_net_cmd 0ca40 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... 0ca50 7b096164 665f6e65 745f636d 645f636b {.adf_net_cmd_ck 0ca60 73756d5f 696e666f 5f740000 001ff309 sum_info_t...... 0ca70 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring 0ca80 5f696e66 6f5f7400 00001e3f 09616466 _info_t....?.adf 0ca90 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf 0caa0 6f5f7400 00001f24 09616466 5f6e6574 o_t....$.adf_net 0cab0 5f636d64 5f766964 5f740000 001ca909 _cmd_vid_t...... 0cac0 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl 0cad0 6f61645f 6361705f 74000000 20640961 oad_cap_t... d.a 0cae0 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats 0caf0 5f740000 0020a009 6164665f 6e65745f _t... ..adf_net_ 0cb00 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! 0cb10 5e0d6164 665f6e65 745f636d 645f6d63 ^.adf_net_cmd_mc 0cb20 6173745f 63617000 04000022 d70e4144 ast_cap...."..AD 0cb30 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. 0cb40 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 0cb50 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne 0cb60 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ 0cb70 74000000 228f1803 04000023 a9086c69 t..."......#..li 0cb80 6e6b5f69 6e666f00 00002195 02230008 nk_info...!..#.. 0cb90 706f6c6c 5f696e66 6f000000 21b20223 poll_info...!..# 0cba0 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! 0cbb0 cf022300 0872696e 675f696e 666f0000 ..#..ring_info.. 0cbc0 0021ed02 23000864 6d615f69 6e666f00 .!..#..dma_info. 0cbd0 0000220a 02230008 76696400 00002226 .."..#..vid..."& 0cbe0 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. 0cbf0 0000223d 02230008 73746174 73000000 .."=.#..stats... 0cc00 225c0223 00086d63 6173745f 696e666f "\.#..mcast_info 0cc10 00000022 75022300 086d6361 73745f63 ..."u.#..mcast_c 0cc20 61700000 0022d702 23000014 04000024 ap..."..#......$ 0cc30 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 0cc40 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 0cc50 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. 0cc60 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 0cc70 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. 0cc80 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c 0cc90 6b73756d 5f747970 655f7400 000023a9 ksum_type_t...#. 0cca0 12080000 24400872 6573756c 74000000 ....$@.result... 0ccb0 24000223 00087661 6c000000 161a0223 $..#..val......# 0ccc0 04001208 00002470 08747970 65000000 ......$p.type... 0ccd0 204c0223 00086d73 73000000 1ca90223 L.#..mss......# 0cce0 04086864 725f6f66 66000000 16810223 ..hdr_off......# 0ccf0 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 0cd00 65616400 0c000024 af086865 61640000 ead....$..head.. 0cd10 00143a02 23000874 61696c00 0000143a ..:.#..tail....: 0cd20 02230408 716c656e 00000016 1a022308 .#..qlen......#. 0cd30 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. 0cd40 00143a03 00001690 04000300 00161a04 ..:............. 0cd50 00020106 0000131c 01060000 161a0106 ................ 0cd60 00001690 01060000 16900103 000012fd ................ 0cd70 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 0cd80 6561645f 74000000 2470095f 5f616466 ead_t...$p.__adf 0cd90 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 0cda0 24f00300 00250804 00060000 24af0106 $....%......$... 0cdb0 000024af 01140400 0026280e 415f5354 ..$......&(.A_ST 0cdc0 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT 0cdd0 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST 0cde0 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ 0cdf0 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... 0ce00 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. 0ce10 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR 0ce20 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU 0ce30 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S 0ce40 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ 0ce50 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A 0ce60 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT 0ce70 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS 0ce80 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU 0ce90 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA 0cea0 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta 0ceb0 7475735f 74000000 25330600 00262801 tus_t...%3...&(. 0cec0 06000001 15010201 09616466 5f6e6275 .........adf_nbu 0ced0 665f7400 000024af 14040000 268d0e41 f_t...$.....&..A 0cee0 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV 0cef0 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA 0cf00 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... 0cf10 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t 0cf20 00000026 56060000 26280102 01096164 ...&V...&(....ad 0cf30 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 0cf40 5f740000 00163703 000026ab 04000201 _t....7...&..... 0cf50 02010600 00264601 06000024 af010201 .....&F....$.... 0cf60 02010600 00264601 06000024 af010600 .....&F....$.... 0cf70 00264601 06000024 af010600 00264601 .&F....$.....&F. 0cf80 02010201 06000016 1a010600 00169001 ................ 0cf90 02010201 0600001b 47010600 001a9401 ........G....... 0cfa0 0600001a 94010961 64665f6f 735f7367 .......adf_os_sg 0cfb0 6c697374 5f740000 0016cf03 00002724 list_t........'$ 0cfc0 04000201 02010201 06000016 90010961 ...............a 0cfd0 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 0cfe0 00002508 03000027 4c040002 01030000 ..%....'L....... 0cff0 24f00400 02010201 02010600 00264601 $............&F. 0d000 06000024 af010600 00161a01 06000016 ...$............ 0d010 1a010600 001a9401 0600001a 94010600 ................ 0d020 001fb001 06000016 1a010961 64665f6e ...........adf_n 0d030 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. 0d040 00241e03 000027a8 04000201 02010961 .$....'........a 0d050 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... 0d060 24400300 0027cc04 00020102 01096164 $@...'........ad 0d070 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. 0d080 00040a09 6164665f 6e65745f 766c616e ....adf_net_vlan 0d090 6864725f 74000000 1dbc0300 00280104 hdr_t........(.. 0d0a0 00060000 26280106 00002628 01020102 ....&(....&(.... 0d0b0 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... 0d0c0 00285008 64756d6d 79000000 01150223 .(P.dummy......# 0d0d0 00000201 03000028 50040002 01030000 .......(P....... 0d0e0 28590400 075f4849 465f4341 4c4c4241 (Y..._HIF_CALLBA 0d0f0 434b000c 000028ae 0873656e 645f6275 CK....(..send_bu 0d100 665f646f 6e650000 00285202 23000872 f_done...(R.#..r 0d110 6563765f 62756600 0000285b 02230408 ecv_buf...([.#.. 0d120 636f6e74 65787400 0000040a 02230800 context......#.. 0d130 09686966 5f68616e 646c655f 74000000 .hif_handle_t... 0d140 040a0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... 0d150 282f0300 0028c004 00060000 28ae0103 (/...(......(... 0d160 000028d7 04000201 03000028 e4040009 ..(........(.... 0d170 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( 0d180 62030000 28ed0400 02010300 00290604 b...(........).. 0d190 00060000 01150103 0000290f 04000201 ..........)..... 0d1a0 03000029 1c040006 00000115 01030000 ...)............ 0d1b0 29250400 02010300 00293204 00060000 )%.......)2..... 0d1c0 01150103 0000293b 04000201 03000029 ......);.......) 0d1d0 48040007 6869665f 61706900 3800002a H...hif_api.8..* 0d1e0 a1085f69 6e697400 000028dd 02230008 .._init...(..#.. 0d1f0 5f736875 74646f77 6e000000 28e60223 _shutdown...(..# 0d200 04085f72 65676973 7465725f 63616c6c .._register_call 0d210 6261636b 00000029 08022308 085f6765 back...)..#.._ge 0d220 745f746f 74616c5f 63726564 69745f63 t_total_credit_c 0d230 6f756e74 00000029 1502230c 085f7374 ount...)..#.._st 0d240 61727400 000028e6 02231008 5f636f6e art...(..#.._con 0d250 6669675f 70697065 00000029 1e022314 fig_pipe...)..#. 0d260 085f7365 6e645f62 75666665 72000000 ._send_buffer... 0d270 292b0223 18085f72 65747572 6e5f7265 )+.#.._return_re 0d280 63765f62 75660000 00293402 231c085f cv_buf...)4.#.._ 0d290 69735f70 6970655f 73757070 6f727465 is_pipe_supporte 0d2a0 64000000 29410223 20085f67 65745f6d d...)A.# ._get_m 0d2b0 61785f6d 73675f6c 656e0000 00294102 ax_msg_len...)A. 0d2c0 2324085f 6765745f 72657365 72766564 #$._get_reserved 0d2d0 5f686561 64726f6f 6d000000 29150223 _headroom...)..# 0d2e0 28085f69 73725f68 616e646c 65720000 (._isr_handler.. 0d2f0 0028e602 232c085f 6765745f 64656661 .(..#,._get_defa 0d300 756c745f 70697065 00000029 4a022330 ult_pipe...)J.#0 0d310 08705265 73657276 65640000 00040a02 .pReserved...... 0d320 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. 0d330 00002b2a 0e444d41 5f454e47 494e455f ..+*.DMA_ENGINE_ 0d340 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE 0d350 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN 0d360 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI 0d370 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG 0d380 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN 0d390 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E 0d3a0 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma 0d3b0 5f656e67 696e655f 74000000 2aa10d64 _engine_t...*..d 0d3c0 6d615f69 66747970 65000400 002b770e ma_iftype....+w. 0d3d0 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM 0d3e0 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I 0d3f0 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if 0d400 74797065 5f740000 002b3c06 000012ef type_t...+<..... 0d410 01030000 2b890400 02010300 002b9604 ....+........+.. 0d420 00020103 00002b9f 04000600 00092101 ......+.......!. 0d430 0300002b a8040006 000012ef 01030000 ...+............ 0d440 2bb50400 06000012 ef010300 002bc204 +............+.. 0d450 00060000 143a0103 00002bcf 04000201 .....:....+..... 0d460 0300002b dc040007 646d615f 6c69625f ...+....dma_lib_ 0d470 61706900 3400002c e3087478 5f696e69 api.4..,..tx_ini 0d480 74000000 2b8f0223 00087478 5f737461 t...+..#..tx_sta 0d490 72740000 002b9802 23040872 785f696e rt...+..#..rx_in 0d4a0 69740000 002b8f02 23080872 785f636f it...+..#..rx_co 0d4b0 6e666967 0000002b a102230c 0872785f nfig...+..#..rx_ 0d4c0 73746172 74000000 2b980223 1008696e start...+..#..in 0d4d0 74725f73 74617475 73000000 2bae0223 tr_status...+..# 0d4e0 14086861 72645f78 6d697400 00002bbb ..hard_xmit...+. 0d4f0 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. 0d500 002b9802 231c0878 6d69745f 646f6e65 .+..#..xmit_done 0d510 0000002b c8022320 08726561 705f786d ...+..# .reap_xm 0d520 69747465 64000000 2bd50223 24087265 itted...+..#$.re 0d530 61705f72 65637600 00002bd5 02232808 ap_recv...+..#(. 0d540 72657475 726e5f72 65637600 00002bde return_recv...+. 0d550 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ 0d560 c8022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft 0d570 63000c00 002d0108 73770000 0028ed02 c....-..sw...(.. 0d580 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ 0d590 74000000 2ce30300 002d0104 00020103 t...,....-...... 0d5a0 00002d1b 04000600 0012db01 0300002d ..-............- 0d5b0 2404000d 6869665f 7063695f 70697065 $...hif_pci_pipe 0d5c0 5f747800 0400002d 840e4849 465f5043 _tx....-..HIF_PC 0d5d0 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF 0d5e0 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... 0d5f0 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ 0d600 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p 0d610 6970655f 74785f74 0000002d 31060000 ipe_tx_t...-1... 0d620 2b2a0103 00002d9b 04000d68 69665f70 +*....-....hif_p 0d630 63695f70 6970655f 72780004 00002e21 ci_pipe_rx.....! 0d640 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 0d650 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE 0d660 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P 0d670 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC 0d680 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF 0d690 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX 0d6a0 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe 0d6b0 5f72785f 74000000 2da80600 002b2a01 _rx_t...-....+*. 0d6c0 0300002e 38040007 6869665f 7063695f ....8...hif_pci_ 0d6d0 61706900 2400002f 16087063 695f626f api.$../..pci_bo 0d6e0 6f745f69 6e697400 0000010e 02230008 ot_init......#.. 0d6f0 7063695f 696e6974 00000028 dd022304 pci_init...(..#. 0d700 08706369 5f726573 65740000 00010e02 .pci_reset...... 0d710 23080870 63695f65 6e61626c 65000000 #..pci_enable... 0d720 010e0223 0c087063 695f7265 61705f78 ...#..pci_reap_x 0d730 6d697474 65640000 002d1d02 23100870 mitted...-..#..p 0d740 63695f72 6561705f 72656376 0000002d ci_reap_recv...- 0d750 1d022314 08706369 5f676574 5f706970 ..#..pci_get_pip 0d760 65000000 2d2a0223 18087063 695f6765 e...-*.#..pci_ge 0d770 745f7478 5f656e67 0000002d a102231c t_tx_eng...-..#. 0d780 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. 0d790 00002e3e 02232000 07676d61 635f6170 ...>.# ..gmac_ap 0d7a0 69000400 002f3d08 676d6163 5f626f6f i..../=.gmac_boo 0d7b0 745f696e 69740000 00010e02 2300000f t_init......#... 0d7c0 00000322 0600002f 4a100500 075f5f65 ...".../J....__e 0d7d0 74686864 72000e00 002f8008 64737400 thhdr..../..dst. 0d7e0 00002f3d 02230008 73726300 00002f3d ../=.#..src.../= 0d7f0 02230608 65747970 65000000 12ef0223 .#..etype......# 0d800 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ 0d810 ce157265 73000000 12db0100 02022300 ..res.........#. 0d820 1570726f 746f0000 0012db01 02060223 .proto.........# 0d830 00087265 735f6c6f 00000012 db022301 ..res_lo......#. 0d840 08726573 5f686900 000012ef 02230200 .res_hi......#.. 0d850 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 0d860 0a086574 68000000 2f4a0223 00086174 ..eth.../J.#..at 0d870 68000000 2f800223 0e08616c 69676e5f h.../..#..align_ 0d880 70616400 000012ef 02231200 095f5f67 pad......#...__g 0d890 6d61635f 6864725f 74000000 2fce075f mac_hdr_t.../.._ 0d8a0 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 0d8b0 54086864 72000000 300a0223 00086772 T.hdr...0..#..gr 0d8c0 616e0000 0012ef02 23140873 77000000 an......#..sw... 0d8d0 28ed0223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin 0d8e0 6b616765 5f636865 636b0008 0000308d kage_check....0. 0d8f0 08766572 73696f6e 00000001 15022300 .version......#. 0d900 08746162 6c650000 00011502 23040003 .table......#... 0d910 00003054 04000600 00011501 03000030 ..0T...........0 0d920 94040003 0000040d 0400175f 415f636d ..........._A_cm 0d930 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ 0d940 7461626c 650001b8 000031e4 0868616c table.....1..hal 0d950 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 0d960 00309a02 23000873 74617274 5f627373 .0..#..start_bss 0d970 00000030 a1022304 08617070 5f737461 ...0..#..app_sta 0d980 72740000 00010e02 2308086d 656d0000 rt......#..mem.. 0d990 00044d02 230c086d 69736300 0000056c ..M.#..misc....l 0d9a0 02232008 7072696e 74660000 00014202 .# .printf....B. 0d9b0 23440875 61727400 0000020b 02234c08 #D.uart......#L. 0d9c0 676d6163 0000002f 1602236c 08757362 gmac.../..#l.usb 0d9d0 0000000f 9b022370 08636c6f 636b0000 ......#p.clock.. 0d9e0 000ae403 23e00108 74696d65 72000000 ....#...timer... 0d9f0 07840323 84020869 6e747200 00000c34 ...#...intr....4 0da00 03239802 08616c6c 6f637261 6d000000 .#...allocram... 0da10 093c0323 c4020872 6f6d7000 0000082e .<.#...romp..... 0da20 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. 0da30 000e1103 23e00208 65657000 00000f3f ....#...eep....? 0da40 0323fc02 08737472 696e6700 00000690 .#...string..... 0da50 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... 0da60 390323a4 0300075f 5553425f 4649464f 9.#...._USB_FIFO 0da70 5f434f4e 46494700 10000032 57086765 _CONFIG....2W.ge 0da80 745f636f 6d6d616e 645f6275 66000000 t_command_buf... 0da90 14470223 00087265 63765f63 6f6d6d61 .G.#..recv_comma 0daa0 6e640000 00145d02 23040867 65745f65 nd....].#..get_e 0dab0 76656e74 5f627566 00000014 47022308 vent_buf....G.#. 0dac0 0873656e 645f6576 656e745f 646f6e65 .send_event_done 0dad0 00000014 5d02230c 00095553 425f4649 ....].#...USB_FI 0dae0 464f5f43 4f4e4649 47000000 31e40300 FO_CONFIG...1... 0daf0 00325704 00020103 00003273 04000775 .2W.......2s...u 0db00 73626669 666f5f61 7069000c 000032c9 sbfifo_api....2. 0db10 085f696e 69740000 00327502 2300085f ._init...2u.#.._ 0db20 656e6162 6c655f65 76656e74 5f697372 enable_event_isr 0db30 00000001 0e022304 08705265 73657276 ......#..pReserv 0db40 65640000 00040a02 2308000f 00001681 ed......#....... 0db50 02000032 d6100100 075f4854 435f4652 ...2....._HTC_FR 0db60 414d455f 48445200 08000033 4808456e AME_HDR....3H.En 0db70 64706f69 6e744944 00000016 81022300 dpointID......#. 0db80 08466c61 67730000 00168102 23010850 .Flags......#..P 0db90 61796c6f 61644c65 6e000000 1ca90223 ayloadLen......# 0dba0 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. 0dbb0 0032c902 23040848 6f737453 65714e75 .2..#..HostSeqNu 0dbc0 6d000000 1ca90223 06001202 00003361 m......#......3a 0dbd0 084d6573 73616765 49440000 001ca902 .MessageID...... 0dbe0 23000012 08000033 c4084d65 73736167 #......3..Messag 0dbf0 65494400 00001ca9 02230008 43726564 eID......#..Cred 0dc00 6974436f 756e7400 00001ca9 02230208 itCount......#.. 0dc10 43726564 69745369 7a650000 001ca902 CreditSize...... 0dc20 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. 0dc30 00001681 02230608 5f506164 31000000 .....#.._Pad1... 0dc40 16810223 0700120a 0000345b 084d6573 ...#......4[.Mes 0dc50 73616765 49440000 001ca902 23000853 sageID......#..S 0dc60 65727669 63654944 0000001c a9022302 erviceID......#. 0dc70 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags 0dc80 0000001c a9022304 08446f77 6e4c696e ......#..DownLin 0dc90 6b506970 65494400 00001681 02230608 kPipeID......#.. 0dca0 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... 0dcb0 81022307 08536572 76696365 4d657461 ..#..ServiceMeta 0dcc0 4c656e67 74680000 00168102 2308085f Length......#.._ 0dcd0 50616431 00000016 81022309 00120a00 Pad1......#..... 0dce0 0034e308 4d657373 61676549 44000000 .4..MessageID... 0dcf0 1ca90223 00085365 72766963 65494400 ...#..ServiceID. 0dd00 00001ca9 02230208 53746174 75730000 .....#..Status.. 0dd10 00168102 23040845 6e64706f 696e7449 ....#..EndpointI 0dd20 44000000 16810223 05084d61 784d7367 D......#..MaxMsg 0dd30 53697a65 0000001c a9022306 08536572 Size......#..Ser 0dd40 76696365 4d657461 4c656e67 74680000 viceMetaLength.. 0dd50 00168102 2308085f 50616431 00000016 ....#.._Pad1.... 0dd60 81022309 00120200 0034fc08 4d657373 ..#......4..Mess 0dd70 61676549 44000000 1ca90223 00001204 ageID......#.... 0dd80 00003538 084d6573 73616765 49440000 ..58.MessageID.. 0dd90 001ca902 23000850 69706549 44000000 ....#..PipeID... 0dda0 16810223 02084372 65646974 436f756e ...#..CreditCoun 0ddb0 74000000 16810223 03001204 0000356f t......#......5o 0ddc0 084d6573 73616765 49440000 001ca902 .MessageID...... 0ddd0 23000850 69706549 44000000 16810223 #..PipeID......# 0dde0 02085374 61747573 00000016 81022303 ..Status......#. 0ddf0 00120200 00359608 5265636f 72644944 .....5..RecordID 0de00 00000016 81022300 084c656e 67746800 ......#..Length. 0de10 00001681 02230100 12020000 35c00845 .....#......5..E 0de20 6e64706f 696e7449 44000000 16810223 ndpointID......# 0de30 00084372 65646974 73000000 16810223 ..Credits......# 0de40 01001204 00003601 08456e64 706f696e ......6..Endpoin 0de50 74494400 00001681 02230008 43726564 tID......#..Cred 0de60 69747300 00001681 02230108 54677443 its......#..TgtC 0de70 72656469 74536571 4e6f0000 001ca902 reditSeqNo...... 0de80 2302000f 00001681 04000036 0e100300 #..........6.... 0de90 12060000 364a0850 72655661 6c696400 ....6J.PreValid. 0dea0 00001681 02230008 4c6f6f6b 41686561 .....#..LookAhea 0deb0 64000000 36010223 0108506f 73745661 d...6..#..PostVa 0dec0 6c696400 00001681 02230500 09706f6f lid......#...poo 0ded0 6c5f6861 6e646c65 5f740000 00040a06 l_handle_t...... 0dee0 0000364a 01030000 365d0400 02010300 ..6J....6]...... 0def0 00366a04 00140400 0036e80e 504f4f4c .6j......6..POOL 0df00 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. 0df10 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV 0df20 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO 0df30 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV 0df40 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL 0df50 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL 0df60 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P 0df70 4f4f4c5f 49440000 00367302 01030000 OOL_ID...6s..... 0df80 36f90400 06000026 46010300 00370204 6......&F....7.. 0df90 00060000 26460103 0000370f 04000201 ....&F....7..... 0dfa0 03000037 1c040007 6275665f 706f6f6c ...7....buf_pool 0dfb0 5f617069 001c0000 37be085f 696e6974 _api....7.._init 0dfc0 00000036 63022300 085f7368 7574646f ...6c.#.._shutdo 0dfd0 776e0000 00366c02 2304085f 63726561 wn...6l.#.._crea 0dfe0 74655f70 6f6f6c00 000036fb 02230808 te_pool...6..#.. 0dff0 5f616c6c 6f635f62 75660000 00370802 _alloc_buf...7.. 0e000 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al 0e010 69676e00 00003715 02231008 5f667265 ign...7..#.._fre 0e020 655f6275 66000000 371e0223 14087052 e_buf...7..#..pR 0e030 65736572 76656400 0000040a 02231800 eserved......#.. 0e040 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... 0e050 00389d08 704e6578 74000000 389d0223 .8..pNext...8..# 0e060 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg 0e070 00000039 52022304 0850726f 63657373 ...9R.#..Process 0e080 53656e64 42756666 6572436f 6d706c65 SendBufferComple 0e090 74650000 00395b02 23080850 726f6365 te...9[.#..Proce 0e0a0 7373436f 6e6e6563 74000000 396f0223 ssConnect...9o.# 0e0b0 0c085365 72766963 65494400 000012ef ..ServiceID..... 0e0c0 02231008 53657276 69636546 6c616773 .#..ServiceFlags 0e0d0 00000012 ef022312 084d6178 5376634d ......#..MaxSvcM 0e0e0 73675369 7a650000 0012ef02 23140854 sgSize......#..T 0e0f0 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi 0e100 6d697400 000012ef 02231608 53657276 mit......#..Serv 0e110 69636543 74780000 00040a02 23180003 iceCtx......#... 0e120 000037be 04001404 0000393b 19454e44 ..7.......9;.END 0e130 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... 0e140 ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN 0e150 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI 0e160 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. 0e170 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN 0e180 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI 0e190 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. 0e1a0 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN 0e1b0 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT 0e1c0 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... 0e1d0 38a40201 03000039 50040002 01030000 8......9P....... 0e1e0 39590400 03000001 15040006 000012db 9Y.............. 0e1f0 01030000 39690400 03000037 be040007 ....9i.....7.... 0e200 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 0e210 ee084372 65646974 53697a65 00000001 ..CreditSize.... 0e220 15022300 08437265 6469744e 756d6265 ..#..CreditNumbe 0e230 72000000 01150223 04084f53 48616e64 r......#..OSHand 0e240 6c650000 001a4502 23080848 49464861 le....E.#..HIFHa 0e250 6e646c65 00000028 ae02230c 08506f6f ndle...(..#..Poo 0e260 6c48616e 646c6500 0000364a 02231000 lHandle...6J.#.. 0e270 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX 0e280 54000200 003a2a08 656e645f 706f696e T....:*.end_poin 0e290 74000000 12db0223 00086874 635f666c t......#..htc_fl 0e2a0 61677300 000012db 02230100 09687463 ags......#...htc 0e2b0 5f68616e 646c655f 74000000 040a0948 _handle_t......H 0e2c0 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET 0e2d0 455f4342 00000001 0e094854 435f434f E_CB......HTC_CO 0e2e0 4e464947 00000039 7d030000 3a570400 NFIG...9}...:W.. 0e2f0 0600003a 2a010300 003a6e04 00020103 ...:*....:n..... 0e300 00003a7b 04000948 54435f53 45525649 ..:{...HTC_SERVI 0e310 43450000 0037be03 00003a84 04000201 CE...7....:..... 0e320 0300003a 9c040002 01030000 3aa50400 ...:........:... 0e330 02010300 003aae04 00060000 01150103 .....:.......... 0e340 00003ab7 04000768 74635f61 70697300 ..:....htc_apis. 0e350 3400003c 34085f48 54435f49 6e697400 4..<4._HTC_Init. 0e360 00003a74 02230008 5f485443 5f536875 ..:t.#.._HTC_Shu 0e370 74646f77 6e000000 3a7d0223 04085f48 tdown...:}.#.._H 0e380 54435f52 65676973 74657253 65727669 TC_RegisterServi 0e390 63650000 003a9e02 2308085f 4854435f ce...:..#.._HTC_ 0e3a0 52656164 79000000 3a7d0223 0c085f48 Ready...:}.#.._H 0e3b0 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers 0e3c0 0000003a a7022310 085f4854 435f5265 ...:..#.._HTC_Re 0e3d0 7475726e 42756666 6572734c 69737400 turnBuffersList. 0e3e0 00003ab0 02231408 5f485443 5f53656e ..:..#.._HTC_Sen 0e3f0 644d7367 0000003a a7022318 085f4854 dMsg...:..#.._HT 0e400 435f4765 74526573 65727665 64486561 C_GetReservedHea 0e410 64726f6f 6d000000 3abd0223 1c085f48 droom...:..#.._H 0e420 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle 0e430 72000000 285b0223 20085f48 54435f53 r...([.# ._HTC_S 0e440 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. 0e450 00285202 2324085f 4854435f 436f6e74 .(R.#$._HTC_Cont 0e460 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg 0e470 00000039 52022328 085f4854 435f436f ...9R.#(._HTC_Co 0e480 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS 0e490 656e6443 6f6d706c 65746500 0000395b endComplete...9[ 0e4a0 02232c08 70526573 65727665 64000000 .#,.pReserved... 0e4b0 040a0223 30000768 6f73745f 6170705f ...#0..host_app_ 0e4c0 61726561 5f730004 00003c64 08776d69 area_s......tu 0e660 706c654e 756d4c00 00001ca9 02230008 pleNumL......#.. 0e670 7475706c 654e756d 48000000 1ca90223 tupleNumH......# 0e680 02086176 74000000 3dc80223 04001201 ..avt...=..#.... 0e690 00003e2e 08626561 636f6e50 656e6469 ..>..beaconPendi 0e6a0 6e67436f 756e7400 00001681 02230000 ngCount......#.. 0e6b0 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG 0e6c0 00100000 3e970848 74634861 6e646c65 ....>..HtcHandle 0e6d0 0000003a 2a022300 08506f6f 6c48616e ...:*.#..PoolHan 0e6e0 646c6500 0000364a 02230408 4d617843 dle...6J.#..MaxC 0e6f0 6d645265 706c7945 76747300 00000115 mdReplyEvts..... 0e700 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts 0e710 00000001 1502230c 00020103 00003e97 ......#.......>. 0e720 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL 0e730 45520000 003e9907 5f574d49 5f444953 ER...>.._WMI_DIS 0e740 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? 0e750 00087043 6d644861 6e646c65 72000000 ..pCmdHandler... 0e760 3ea00223 0008436d 64494400 000012ef >..#..CmdID..... 0e770 02230408 466c6167 73000000 12ef0223 .#..Flags......# 0e780 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH 0e790 5f544142 4c450010 00003f61 08704e65 _TABLE....?a.pNe 0e7a0 78740000 003f6102 23000870 436f6e74 xt...?a.#..pCont 0e7b0 65787400 0000040a 02230408 4e756d62 ext......#..Numb 0e7c0 65724f66 456e7472 69657300 00000115 erOfEntries..... 0e7d0 02230808 70546162 6c650000 003f8002 .#..pTable...?.. 0e7e0 230c0003 00003f00 04000957 4d495f44 #.....?....WMI_D 0e7f0 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... 0e800 3eb50300 003f6804 00030000 3f000400 >....?h.....?... 0e810 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT 0e820 00000039 ee0d574d 495f4556 545f434c ...9..WMI_EVT_CL 0e830 41535300 04000040 1819574d 495f4556 ASS....@..WMI_EV 0e840 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... 0e850 ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 0e860 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ 0e870 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE 0e880 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL 0e890 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E 0e8a0 56545f43 4c415353 0000003f a3075f57 VT_CLASS...?.._W 0e8b0 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. 0e8c0 00004076 08487463 42756643 74780000 ..@v.HtcBufCtx.. 0e8d0 003f8e02 23000845 76656e74 436c6173 .?..#..EventClas 0e8e0 73000000 40180223 0408466c 61677300 s...@..#..Flags. 0e8f0 000012ef 02230800 09776d69 5f68616e .....#...wmi_han 0e900 646c655f 74000000 040a0957 4d495f53 dle_t......WMI_S 0e910 56435f43 4f4e4649 47000000 3e2e0300 VC_CONFIG...>... 0e920 00408804 00060000 40760103 000040a3 .@......@v....@. 0e930 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ 0e940 5441424c 45000000 3f000300 0040b004 TABLE...?....@.. 0e950 00020103 000040cf 04000600 00264601 ......@......&F. 0e960 03000040 d8040002 01030000 40e50400 ...@........@... 0e970 06000001 15010300 0040ee04 00020103 .........@...... 0e980 000040fb 04000600 0012db01 03000041 ..@............A 0e990 04040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api 0e9a0 73002c00 00424c08 5f574d49 5f496e69 s.,..BL._WMI_Ini 0e9b0 74000000 40a90223 00085f57 4d495f52 t...@..#.._WMI_R 0e9c0 65676973 74657244 69737061 74636854 egisterDispatchT 0e9d0 61626c65 00000040 d1022304 085f574d able...@..#.._WM 0e9e0 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ 0e9f0 de022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv 0ea00 656e7400 000040e7 02230c08 5f574d49 ent...@..#.._WMI 0ea10 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent 0ea20 73436f75 6e740000 0040f402 2310085f sCount...@..#.._ 0ea30 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete 0ea40 48616e64 6c657200 0000395b 02231408 Handler...9[.#.. 0ea50 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE 0ea60 70000000 40f40223 18085f57 4d495f53 p...@..#.._WMI_S 0ea70 68757464 6f776e00 000040fd 02231c08 hutdown...@..#.. 0ea80 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage 0ea90 48616e64 6c657200 00003952 02232008 Handler...9R.# . 0eaa0 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn 0eab0 65637400 0000410a 02232408 70526573 ect...A..#$.pRes 0eac0 65727665 64000000 040a0223 2800077a erved......#(..z 0ead0 73446d61 44657363 00140000 42ce0863 sDmaDesc....B..c 0eae0 74726c00 00000179 02230008 73746174 trl....y.#..stat 0eaf0 75730000 00017902 23020874 6f74616c us....y.#..total 0eb00 4c656e00 00000179 02230408 64617461 Len....y.#..data 0eb10 53697a65 00000001 79022306 086c6173 Size....y.#..las 0eb20 74416464 72000000 42ce0223 08086461 tAddr...B..#..da 0eb30 74614164 64720000 00019d02 230c086e taAddr......#..n 0eb40 65787441 64647200 000042ce 02231000 extAddr...B..#.. 0eb50 03000042 4c040003 0000424c 0400077a ...BL.....BL...z 0eb60 73446d61 51756575 65000800 00430e08 sDmaQueue....C.. 0eb70 68656164 00000042 d5022300 08746572 head...B..#..ter 0eb80 6d696e61 746f7200 000042d5 02230400 minator...B..#.. 0eb90 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... 0eba0 00437208 68656164 00000042 d5022300 .Cr.head...B..#. 0ebb0 08746572 6d696e61 746f7200 000042d5 .terminator...B. 0ebc0 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h 0ebd0 65616400 0000143a 02230808 786d6974 ead....:.#..xmit 0ebe0 65645f62 75665f74 61696c00 0000143a ed_buf_tail....: 0ebf0 02230c00 02010300 00437204 00030000 .#.......Cr..... 0ec00 42dc0400 02010300 00438204 00030000 B........C...... 0ec10 430e0400 02010300 00439204 00020103 C........C...... 0ec20 0000439b 04000201 03000043 a4040006 ..C........C.... 0ec30 0000143a 01030000 43ad0400 02010300 ...:....C....... 0ec40 0043ba04 00060000 143a0103 000043c3 .C.......:....C. 0ec50 04000201 03000043 d0040006 00000115 .......C........ 0ec60 01030000 43d90400 06000042 d5010300 ....C......B.... 0ec70 0043e604 00020103 000043f3 04000764 .C........C....d 0ec80 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. 0ec90 00456908 5f696e69 74000000 43740223 .Ei._init...Ct.# 0eca0 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue 0ecb0 00000043 84022304 085f696e 69745f74 ...C..#.._init_t 0ecc0 785f7175 65756500 00004394 02230808 x_queue...C..#.. 0ecd0 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue 0ece0 00000043 9d02230c 085f786d 69745f62 ...C..#.._xmit_b 0ecf0 75660000 0043a602 2310085f 666c7573 uf...C..#.._flus 0ed00 685f786d 69740000 00438402 2314085f h_xmit...C..#.._ 0ed10 72656170 5f726563 765f6275 66000000 reap_recv_buf... 0ed20 43b30223 18085f72 65747572 6e5f7265 C..#.._return_re 0ed30 63765f62 75660000 0043bc02 231c085f cv_buf...C..#.._ 0ed40 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. 0ed50 000043c9 02232008 5f737761 705f6461 ..C..# ._swap_da 0ed60 74610000 0043d202 2324085f 6861735f ta...C..#$._has_ 0ed70 636f6d70 6c5f7061 636b6574 73000000 compl_packets... 0ed80 43df0223 28085f64 6573635f 64756d70 C..#(._desc_dump 0ed90 00000043 8402232c 085f6765 745f7061 ...C..#,._get_pa 0eda0 636b6574 00000043 ec022330 085f7265 cket...C..#0._re 0edb0 636c6169 6d5f7061 636b6574 00000043 claim_packet...C 0edc0 f5022334 085f7075 745f7061 636b6574 ..#4._put_packet 0edd0 00000043 f5022338 08705265 73657276 ...C..#8.pReserv 0ede0 65640000 00040a02 233c0009 5f415f63 ed......#<.._A_c 0edf0 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 0ee00 5f746162 6c655f74 00000030 a809574d _table_t...0..WM 0ee10 495f5356 435f4150 49530000 00411117 I_SVC_APIS...A.. 0ee20 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 0ee30 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. 0ee40 46970863 6d6e6f73 00000045 69022300 F..cmnos...Ei.#. 0ee50 08646267 00000003 d70323b8 03086869 .dbg......#...hi 0ee60 66000000 29510323 c0030868 74630000 f...)Q.#...htc.. 0ee70 003ac403 23f80308 776d695f 7376635f .:..#...wmi_svc_ 0ee80 61706900 0000458b 0323ac04 08757362 api...E..#...usb 0ee90 6669666f 5f617069 00000032 7c0323d8 fifo_api...2|.#. 0eea0 04086275 665f706f 6f6c0000 00372503 ..buf_pool...7%. 0eeb0 23e40408 76627566 00000014 64032380 #...vbuf....d.#. 0eec0 05087664 65736300 00001346 03239405 ..vdesc....F.#.. 0eed0 08616c6c 6f637261 6d000000 093c0323 .allocram....<.# 0eee0 a8050864 6d615f65 6e67696e 65000000 ...dma_engine... 0eef0 43fc0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. 0ef00 002be503 23f40508 6869665f 70636900 .+..#...hif_pci. 0ef10 00002e45 0323a806 00095f41 5f6d6167 ...E.#...._A_mag 0ef20 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 0ef30 7461626c 655f7400 0000459d 1a637469 table_t...E..cti 0ef40 636b7300 00000921 05030050 095c011a cks....!...P.\.. 0ef50 63757272 5f62616e 64000000 01150503 curr_band....... 0ef60 00500960 01140400 00474e19 5359535f .P.`.....GN.SYS_ 0ef70 4346475f 52454643 4c4b5f55 4e4b4e4f CFG_REFCLK_UNKNO 0ef80 574e00ff ffffff0e 5359535f 4346475f WN......SYS_CFG_ 0ef90 52454643 4c4b5f31 305f4d48 5a00000e REFCLK_10_MHZ... 0efa0 5359535f 4346475f 52454643 4c4b5f32 SYS_CFG_REFCLK_2 0efb0 305f4d48 5a00010e 5359535f 4346475f 0_MHZ...SYS_CFG_ 0efc0 52454643 4c4b5f34 305f4d48 5a000200 REFCLK_40_MHZ... 0efd0 09415f72 6566636c 6b5f7370 6565645f .A_refclk_speed_ 0efe0 74000000 46e30763 6d6e6f73 5f636c6f t...F..cmnos_clo 0eff0 636b5f73 00140000 47eb0872 6566636c ck_s....G..refcl 0f000 6b5f7370 65656400 0000474e 02230008 k_speed...GN.#.. 0f010 7469636b 735f7065 725f7365 63000000 ticks_per_sec... 0f020 09210223 0408706c 6c5f6374 726c5f35 .!.#..pll_ctrl_5 0f030 67687a00 00000921 02230808 706c6c5f ghz....!.#..pll_ 0f040 6374726c 5f323467 687a0000 00092102 ctrl_24ghz....!. 0f050 230c0870 6c6c5f73 6574746c 696e675f #..pll_settling_ 0f060 74696d65 00000009 21022310 00050000 time....!.#..... 0f070 47640500 0047eb1b 000047f0 01000048 Gd...G....G....H 0f080 011c0005 000047f5 05000047 eb1b0000 ......G....G.... 0f090 48060100 0048171c 001a636d 6e6f735f H....H....cmnos_ 0f0a0 636c6f63 6b696e67 5f746162 6c650000 clocking_table.. 0f0b0 00480105 03004e87 50010300 00476404 .H....N.P....Gd. 0f0c0 001a636c 6f636b5f 696e666f 00000048 ..clock_info...H 0f0d0 38050300 50096401 1a636d6e 6f735f73 8...P.d..cmnos_s 0f0e0 6b69705f 706c6c5f 696e6974 00000001 kip_pll_init.... 0f0f0 15050300 50096801 1a706c6c 5f637472 ....P.h..pll_ctr 0f100 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. 0f110 00000921 05030050 096c011a 706c6c5f ...!...P.l..pll_ 0f120 6374726c 5f736574 74696e67 5f356768 ctrl_setting_5gh 0f130 7a000000 09210503 00500970 01050000 z....!...P.p.... 0f140 47eb0300 0048bb04 00030000 0ae40400 G....H.......... 0f150 02011d01 6d636d6e 6f735f64 656c6179 ....mcmnos_delay 0f160 5f757300 01010392 01200290 00008e1c _us...... ...... 0f170 ac008e1c cc000049 301e016d 75730000 .......I0..mus.. 0f180 00011501 521f7265 665f636c 6b000000 ....R.ref_clk... 0f190 09211f73 74617274 5f74696d 65000000 .!.start_time... 0f1a0 09211f6e 756d5f74 69636b73 00000004 .!.num_ticks.... 0f1b0 0d002001 81636d6e 6f735f6d 696c6c69 .. ..cmnos_milli 0f1c0 7365636f 6e647300 00000921 01010392 seconds....!.... 0f1d0 01200290 00008e1c cc008e1c d920019a . ........... .. 0f1e0 636d6e6f 735f7265 66636c6b 5f737065 cmnos_refclk_spe 0f1f0 65645f67 65740000 00092101 01039201 ed_get....!..... 0f200 20029000 008e1cdc 008e1ce8 2001a163 ........... ..c 0f210 6d6e6f73 5f756172 745f6672 65717565 mnos_uart_freque 0f220 6e637900 00000921 01010392 01200290 ncy....!..... .. 0f230 00008e1c e8008e1c ed2101b5 636d6e6f .........!..cmno 0f240 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. 0f250 01010392 01200290 00008e1c f0008e1c ..... .......... 0f260 f52101bc 636d6e6f 735f636c 6f636b72 .!..cmnos_clockr 0f270 6567735f 696e6974 00010103 92012002 egs_init...... . 0f280 9000008e 1cf8008e 1cfd1d01 c9636d6e .............cmn 0f290 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set 0f2a0 00010103 92012002 9000008e 1d00008e ...... ......... 0f2b0 1d050000 4a491e01 c9776869 63685f62 ....JI...which_b 0f2c0 616e6400 00000115 01520021 01d0636d and......R.!..cm 0f2d0 6e6f735f 706c6c5f 696e6974 00010103 nos_pll_init.... 0f2e0 92012002 9000008e 1d08008e 1d0d1d01 .. ............. 0f2f0 d7636d6e 6f735f63 6c6f636b 5f696e69 .cmnos_clock_ini 0f300 74000101 03920120 02900000 8e1d1000 t...... ........ 0f310 8e1d4300 004ac11e 01d77265 665f636c ..C..J....ref_cl 0f320 6b000000 09210152 1f690000 00040d1f k....!.R.i...... 0f330 7469636b 735f7065 725f7365 63000000 ticks_per_sec... 0f340 0921001d 01f4636d 6e6f735f 7469636b .!....cmnos_tick 0f350 00010103 92012002 9000008e 1d44008e ...... ......D.. 0f360 1d6a0000 4b1c226c 6173745f 7469636b .j..K."last_tick 0f370 00000009 21050300 5009741f 63757272 ....!...P.t.curr 0f380 656e745f 7469636b 00000009 211f6465 ent_tick....!.de 0f390 6c74615f 7469636b 00000009 21002301 lta_tick....!.#. 0f3a0 0115636d 6e6f735f 636c6f63 6b5f6d6f ..cmnos_clock_mo 0f3b0 64756c65 5f696e73 74616c6c 00010103 dule_install.... 0f3c0 92012002 9000008e 1d6c008e 1d9e2401 .. ......l....$. 0f3d0 01157462 6c000000 48c70152 00000000 ..tbl...H..R.... 0f3e0 004a0400 02000007 5404012f 726f6f74 .J......T../root 0f3f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0f400 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0f410 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ 0f420 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ 0f430 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie 0f440 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 0f450 6570726f 6d2f7372 632f636d 6e6f735f eprom/src/cmnos_ 0f460 65657072 6f6d2e63 002f726f 6f742f57 eeprom.c./root/W 0f470 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0f480 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0f490 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0f4a0 732f6565 70726f6d 0078742d 78636320 s/eeprom.xt-xcc 0f4b0 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a 0f4c0 6c69676e 5f696e73 74727563 74696f6e lign_instruction 0f4d0 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP 0f4e0 543a7370 61636500 01000000 41b00201 T:space.....A... 0f4f0 03000001 0f040004 696e7400 05040463 ........int....c 0f500 68617200 07010500 00011f05 0000011f har............. 0f510 03000001 2c040006 00000118 01030000 ....,........... 0f520 01380400 07707269 6e74665f 61706900 .8...printf_api. 0f530 08000001 7c085f70 72696e74 665f696e ....|._printf_in 0f540 69740000 00011102 2300085f 7072696e it......#.._prin 0f550 74660000 00013e02 23040004 73686f72 tf....>.#...shor 0f560 7420756e 7369676e 65642069 6e740007 t unsigned int.. 0f570 02097569 6e743136 5f740000 00017c04 ..uint16_t....|. 0f580 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 0f590 74000704 0975696e 7433325f 74000000 t....uint32_t... 0f5a0 01a00775 6172745f 6669666f 00080000 ...uart_fifo.... 0f5b0 020e0873 74617274 5f696e64 65780000 ...start_index.. 0f5c0 00019202 23000865 6e645f69 6e646578 ....#..end_index 0f5d0 00000001 92022302 086f7665 7272756e ......#..overrun 0f5e0 5f657272 00000001 b5022304 00077561 _err......#...ua 0f5f0 72745f61 70690020 000002c7 085f7561 rt_api. ....._ua 0f600 72745f69 6e697400 0000031e 02230008 rt_init......#.. 0f610 5f756172 745f6368 61725f70 75740000 _uart_char_put.. 0f620 00034502 2304085f 75617274 5f636861 ..E.#.._uart_cha 0f630 725f6765 74000000 03590223 08085f75 r_get....Y.#.._u 0f640 6172745f 7374725f 6f757400 00000362 art_str_out....b 0f650 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. 0f660 00011102 2310085f 75617274 5f737461 ....#.._uart_sta 0f670 74757300 0000031e 02231408 5f756172 tus......#.._uar 0f680 745f636f 6e666967 00000003 6b022318 t_config....k.#. 0f690 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... 0f6a0 03740223 1c000300 00020e04 00077561 .t.#..........ua 0f6b0 72745f62 6c6b0010 00000318 08646562 rt_blk.......deb 0f6c0 75675f6d 6f646500 00000192 02230008 ug_mode......#.. 0f6d0 62617564 00000001 92022302 085f7561 baud......#.._ua 0f6e0 72740000 0002c702 2304085f 74780000 rt......#.._tx.. 0f6f0 0001c302 23080006 000001b5 01030000 ....#........... 0f700 03180400 04756e73 69676e65 64206368 .....unsigned ch 0f710 61720007 01097569 6e74385f 74000000 ar....uint8_t... 0f720 03250201 03000003 43040003 00000336 .%......C......6 0f730 04000600 00019201 03000003 53040002 ............S... 0f740 01030000 03600400 02010300 00036904 .....`........i. 0f750 00020103 00000372 04000300 00011f04 .......r........ 0f760 00060000 01180103 00000382 04000744 ...............D 0f770 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT 0f780 000c0000 03da0863 6d645f73 74720000 .......cmd_str.. 0f790 00037b02 23000868 656c705f 73747200 ..{.#..help_str. 0f7a0 0000037b 02230408 636d645f 66756e63 ...{.#..cmd_func 0f7b0 00000003 88022308 00076462 675f6170 ......#...dbg_ap 0f7c0 69000800 00040d08 5f646267 5f696e69 i......._dbg_ini 0f7d0 74000000 01110223 00085f64 62675f74 t......#.._dbg_t 0f7e0 61736b00 00000111 02230400 0a040004 ask......#...... 0f7f0 756e7369 676e6564 20696e74 00070406 unsigned int.... 0f800 0000040d 01030000 04200400 0b0b0300 ......... ...... 0f810 00042e04 00060000 040d0103 00000436 ...............6 0f820 04000600 00011801 03000004 43040007 ............C... 0f830 6d656d5f 61706900 14000004 b2085f6d mem_api......._m 0f840 656d5f69 6e697400 00000111 02230008 em_init......#.. 0f850 5f6d656d 73657400 00000426 02230408 _memset....&.#.. 0f860 5f6d656d 63707900 0000043c 02230808 _memcpy....<.#.. 0f870 5f6d656d 6d6f7665 00000004 3c02230c _memmove....<.#. 0f880 085f6d65 6d636d70 00000004 49022310 ._memcmp....I.#. 0f890 000c7265 67697374 65725f64 756d705f ..register_dump_ 0f8a0 73000001 03000004 b2040002 01030000 s............... 0f8b0 04cc0400 02010300 0004d504 00060000 ................ 0f8c0 01180103 000004de 04000d68 6f737469 ...........hosti 0f8d0 665f7300 04000005 3a0e4849 465f5553 f_s.....:.HIF_US 0f8e0 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H 0f8f0 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC 0f900 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI 0f910 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST 0f920 49460000 0004eb06 0000053a 01030000 IF.........:.... 0f930 05480400 06000003 36010300 00055504 .H......6.....U. 0f940 00060000 01920103 00000562 0400076d ...........b...m 0f950 6973635f 61706900 24000006 52085f73 isc_api.$...R._s 0f960 79737465 6d5f7265 73657400 00000111 ystem_reset..... 0f970 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. 0f980 00011102 2304085f 61737366 61696c00 ....#.._assfail. 0f990 000004ce 02230808 5f6d6973 616c6967 .....#.._misalig 0f9a0 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler 0f9b0 00000004 ce02230c 085f7265 706f7274 ......#.._report 0f9c0 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host 0f9d0 00000004 d7022310 085f7461 72676574 ......#.._target 0f9e0 5f69645f 67657400 000004e4 02231408 _id_get......#.. 0f9f0 5f69735f 686f7374 5f707265 73656e74 _is_host_present 0fa00 00000005 4e022318 085f6b62 68697400 ....N.#.._kbhit. 0fa10 0000055b 02231c08 5f726f6d 5f766572 ...[.#.._rom_ver 0fa20 73696f6e 5f676574 00000005 68022320 sion_get....h.# 0fa30 00060000 037b0103 00000652 04000600 .....{.....R.... 0fa40 00037b01 03000006 5f040006 00000118 ..{....._....... 0fa50 01030000 066c0400 06000001 18010300 .....l.......... 0fa60 00067904 00060000 01180103 00000686 ..y............. 0fa70 04000773 7472696e 675f6170 69001800 ...string_api... 0fa80 00070c08 5f737472 696e675f 696e6974 ...._string_init 0fa90 00000001 11022300 085f7374 72637079 ......#.._strcpy 0faa0 00000006 58022304 085f7374 726e6370 ....X.#.._strncp 0fab0 79000000 06650223 08085f73 74726c65 y....e.#.._strle 0fac0 6e000000 06720223 0c085f73 7472636d n....r.#.._strcm 0fad0 70000000 067f0223 10085f73 74726e63 p......#.._strnc 0fae0 6d700000 00068c02 2314000f 00000410 mp......#....... 0faf0 14000007 19100400 095f415f 54494d45 ........._A_TIME 0fb00 525f5350 41434500 0000070c 09415f74 R_SPACE......A_t 0fb10 696d6572 5f740000 00071903 0000072d imer_t.........- 0fb20 04000201 03000007 43040002 01030000 ........C....... 0fb30 074c0400 09415f48 414e444c 45000000 .L...A_HANDLE... 0fb40 04100201 09415f54 494d4552 5f46554e .....A_TIMER_FUN 0fb50 43000000 07630300 00076504 00020103 C....c....e..... 0fb60 0000077e 04000774 696d6572 5f617069 ...~...timer_api 0fb70 00140000 07fd085f 74696d65 725f696e ......._timer_in 0fb80 69740000 00011102 2300085f 74696d65 it......#.._time 0fb90 725f6172 6d000000 07450223 04085f74 r_arm....E.#.._t 0fba0 696d6572 5f646973 61726d00 0000074e imer_disarm....N 0fbb0 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn 0fbc0 00000007 8002230c 085f7469 6d65725f ......#.._timer_ 0fbd0 72756e00 00000111 02231000 09424f4f run......#...BOO 0fbe0 4c45414e 00000001 92060000 07fd0103 LEAN............ 0fbf0 0000080a 04000600 0007fd01 03000008 ................ 0fc00 17040006 000007fd 01030000 08240400 .............$.. 0fc10 07726f6d 705f6170 69001000 00089608 .romp_api....... 0fc20 5f726f6d 705f696e 69740000 00011102 _romp_init...... 0fc30 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa 0fc40 64000000 08100223 04085f72 6f6d705f d......#.._romp_ 0fc50 696e7374 616c6c00 0000081d 02230808 install......#.. 0fc60 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... 0fc70 2a02230c 0007726f 6d5f7061 7463685f *.#...rom_patch_ 0fc80 73740010 000008f2 08637263 31360000 st.......crc16.. 0fc90 00019202 2300086c 656e0000 00019202 ....#..len...... 0fca0 2302086c 645f6164 64720000 0001b502 #..ld_addr...... 0fcb0 23040866 756e5f61 64647200 000001b5 #..fun_addr..... 0fcc0 02230808 7066756e 00000003 4c02230c .#..pfun....L.#. 0fcd0 00076565 705f7265 6469725f 61646472 ..eep_redir_addr 0fce0 00040000 0924086f 66667365 74000000 .....$.offset... 0fcf0 01920223 00087369 7a650000 00019202 ...#..size...... 0fd00 23020009 415f5549 4e543332 00000004 #...A_UINT32.... 0fd10 10060000 040d0103 00000932 04000761 ...........2...a 0fd20 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... 0fd30 a308636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 0fd40 5f696e69 74000000 09380223 0008636d _init....8.#..cm 0fd50 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... 0fd60 38022304 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc 0fd70 72616d5f 64656275 67000000 01110223 ram_debug......# 0fd80 08000201 03000009 a3040009 415f5441 ............A_TA 0fd90 534b4c45 545f4655 4e430000 0009a507 SKLET_FUNC...... 0fda0 5f746173 6b6c6574 00100000 0a040866 _tasklet.......f 0fdb0 756e6300 000009ac 02230008 61726700 unc......#..arg. 0fdc0 0000040d 02230408 73746174 65000000 .....#..state... 0fdd0 01180223 08086e65 78740000 000a0402 ...#..next...... 0fde0 230c0003 000009c0 04000300 0009c004 #............... 0fdf0 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... 0fe00 09c00300 000a1204 00020103 00000a2a ...............* 0fe10 04000201 0300000a 33040007 7461736b ........3...task 0fe20 6c65745f 61706900 1400000a c8085f74 let_api......._t 0fe30 61736b6c 65745f69 6e697400 00000111 asklet_init..... 0fe40 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini 0fe50 745f7461 736b0000 000a2c02 2304085f t_task....,.#.._ 0fe60 7461736b 6c65745f 64697361 626c6500 tasklet_disable. 0fe70 00000a35 02230808 5f746173 6b6c6574 ...5.#.._tasklet 0fe80 5f736368 6564756c 65000000 0a350223 _schedule....5.# 0fe90 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. 0fea0 00011102 23100002 01030000 0ac80400 ....#........... 0feb0 06000009 24010300 000ad104 00020103 ....$........... 0fec0 00000ade 04000763 6c6f636b 5f617069 .......clock_api 0fed0 00240000 0bc0085f 636c6f63 6b5f696e .$....._clock_in 0fee0 69740000 000aca02 2300085f 636c6f63 it......#.._cloc 0fef0 6b726567 735f696e 69740000 00011102 kregs_init...... 0ff00 2304085f 75617274 5f667265 7175656e #.._uart_frequen 0ff10 63790000 000ad702 2308085f 64656c61 cy......#.._dela 0ff20 795f7573 0000000a e002230c 085f776c y_us......#.._wl 0ff30 616e5f62 616e645f 73657400 00000ae0 an_band_set..... 0ff40 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee 0ff50 645f6765 74000000 0ad70223 14085f6d d_get......#.._m 0ff60 696c6c69 7365636f 6e647300 00000ad7 illiseconds..... 0ff70 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan 0ff80 67650000 00011102 231c085f 636c6f63 ge......#.._cloc 0ff90 6b5f7469 636b0000 00011102 23200006 k_tick......# .. 0ffa0 000001b5 01030000 0bc00400 09415f6f .............A_o 0ffb0 6c645f69 6e74725f 74000000 01b50600 ld_intr_t....... 0ffc0 000bcd01 0300000b df040002 01030000 ................ 0ffd0 0bec0400 02010300 000bf504 00060000 ................ 0ffe0 01b50103 00000bfe 04000941 5f697372 ...........A_isr 0fff0 5f740000 000c0402 01030000 0c180400 _t.............. 10000 06000004 10010300 000c2104 00020103 ..........!..... 10010 00000c2e 04000769 6e74725f 61706900 .......intr_api. 10020 2c00000d 50085f69 6e74725f 696e6974 ,...P._intr_init 10030 00000001 11022300 085f696e 74725f69 ......#.._intr_i 10040 6e766f6b 655f6973 72000000 0bc60223 nvoke_isr......# 10050 04085f69 6e74725f 64697361 626c6500 .._intr_disable. 10060 00000be5 02230808 5f696e74 725f7265 .....#.._intr_re 10070 73746f72 65000000 0bee0223 0c085f69 store......#.._i 10080 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... 10090 0bf70223 10085f69 6e74725f 756e6d61 ...#.._intr_unma 100a0 736b5f69 6e756d00 00000bf7 02231408 sk_inum......#.. 100b0 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr 100c0 0000000c 1a022318 085f6765 745f696e ......#.._get_in 100d0 7472656e 61626c65 0000000c 2702231c trenable....'.#. 100e0 085f7365 745f696e 7472656e 61626c65 ._set_intrenable 100f0 0000000c 30022320 085f6765 745f696e ....0.# ._get_in 10100 74727065 6e64696e 67000000 0c270223 trpending....'.# 10110 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i 10120 6e74726c 766c0000 00011102 23280011 ntrlvl......#(.. 10130 0400000d 76087469 6d656f75 74000000 ....v.timeout... 10140 01b50223 00086163 74696f6e 00000001 ...#..action.... 10150 b5022300 00120800 000d9108 636d6400 ..#.........cmd. 10160 000001b5 02230013 00000d50 02230400 .....#.....P.#.. 10170 09545f57 44545f43 4d440000 000d7602 .T_WDT_CMD....v. 10180 01030000 0da00400 14040000 0df60e45 ...............E 10190 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E 101a0 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... 101b0 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. 101c0 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO 101d0 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP 101e0 45000000 0da90600 000df601 0300000e E............... 101f0 07040007 7764745f 61706900 1c00000e ....wdt_api..... 10200 ab085f77 64745f69 6e697400 00000111 .._wdt_init..... 10210 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. 10220 00000111 02230408 5f776474 5f646973 .....#.._wdt_dis 10230 61626c65 00000001 11022308 085f7764 able......#.._wd 10240 745f7365 74000000 0da20223 0c085f77 t_set......#.._w 10250 64745f74 61736b00 00000111 02231008 dt_task......#.. 10260 5f776474 5f726573 65740000 00011102 _wdt_reset...... 10270 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo 10280 74000000 0e0d0223 18001404 00000f12 t......#........ 10290 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R 102a0 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE 102b0 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE 102c0 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... 102d0 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW 102e0 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. 102f0 0009545f 4545505f 52455400 00000eab ..T_EEP_RET..... 10300 03000001 92040006 00000f12 01030000 ................ 10310 0f280400 0600000f 12010300 000f3504 .(............5. 10320 00076565 705f6170 69001000 000f9e08 ..eep_api....... 10330 5f656570 5f696e69 74000000 01110223 _eep_init......# 10340 00085f65 65705f72 65616400 00000f2e .._eep_read..... 10350 02230408 5f656570 5f777269 74650000 .#.._eep_write.. 10360 000f2e02 2308085f 6565705f 69735f65 ....#.._eep_is_e 10370 78697374 0000000f 3b02230c 00077573 xist....;.#...us 10380 625f6170 69007000 00124b08 5f757362 b_api.p...K._usb 10390 5f696e69 74000000 01110223 00085f75 _init......#.._u 103a0 73625f72 6f6d5f74 61736b00 00000111 sb_rom_task..... 103b0 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task 103c0 00000001 11022308 085f7573 625f696e ......#.._usb_in 103d0 69745f70 68790000 00011102 230c085f it_phy......#.._ 103e0 7573625f 6570305f 73657475 70000000 usb_ep0_setup... 103f0 01110223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t 10400 78000000 01110223 14085f75 73625f65 x......#.._usb_e 10410 70305f72 78000000 01110223 18085f75 p0_rx......#.._u 10420 73625f67 65745f69 6e746572 66616365 sb_get_interface 10430 00000008 1d02231c 085f7573 625f7365 ......#.._usb_se 10440 745f696e 74657266 61636500 0000081d t_interface..... 10450 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con 10460 66696775 72617469 6f6e0000 00081d02 figuration...... 10470 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf 10480 69677572 6174696f 6e000000 081d0223 iguration......# 10490 28085f75 73625f73 74616e64 6172645f (._usb_standard_ 104a0 636d6400 0000081d 02232c08 5f757362 cmd......#,._usb 104b0 5f76656e 646f725f 636d6400 00000111 _vendor_cmd..... 104c0 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o 104d0 66660000 00011102 2334085f 7573625f ff......#4._usb_ 104e0 72657365 745f6669 666f0000 00011102 reset_fifo...... 104f0 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. 10500 00000111 02233c08 5f757362 5f6a756d .....#<._usb_jum 10510 705f626f 6f740000 00011102 2340085f p_boot......#@._ 10520 7573625f 636c725f 66656174 75726500 usb_clr_feature. 10530 0000081d 02234408 5f757362 5f736574 .....#D._usb_set 10540 5f666561 74757265 00000008 1d022348 _feature......#H 10550 085f7573 625f7365 745f6164 64726573 ._usb_set_addres 10560 73000000 081d0223 4c085f75 73625f67 s......#L._usb_g 10570 65745f64 65736372 6970746f 72000000 et_descriptor... 10580 081d0223 50085f75 73625f67 65745f73 ...#P._usb_get_s 10590 74617475 73000000 081d0223 54085f75 tatus......#T._u 105a0 73625f73 65747570 5f646573 63000000 sb_setup_desc... 105b0 01110223 58085f75 73625f72 65675f6f ...#X._usb_reg_o 105c0 75740000 00011102 235c085f 7573625f ut......#\._usb_ 105d0 73746174 75735f69 6e000000 01110223 status_in......# 105e0 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da 105f0 74610000 00011102 2364085f 7573625f ta......#d._usb_ 10600 6570305f 72785f64 61746100 00000111 ep0_rx_data..... 10610 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini 10620 74000000 01110223 6c00075f 56444553 t......#l.._VDES 10630 43002400 0012d708 6e657874 5f646573 C.$.....next_des 10640 63000000 12d70223 00086275 665f6164 c......#..buf_ad 10650 64720000 0012eb02 23040862 75665f73 dr......#..buf_s 10660 697a6500 000012f2 02230808 64617461 ize......#..data 10670 5f6f6666 73657400 000012f2 02230a08 _offset......#.. 10680 64617461 5f73697a 65000000 12f20223 data_size......# 10690 0c08636f 6e74726f 6c000000 12f20223 ..control......# 106a0 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... 106b0 13000223 10000300 00124b04 0009415f ...#......K...A_ 106c0 55494e54 38000000 03250300 0012de04 UINT8....%...... 106d0 0009415f 55494e54 31360000 00017c0f ..A_UINT16....|. 106e0 000012de 14000013 0d101300 03000012 ................ 106f0 4b040009 56444553 43000000 124b0300 K...VDESC....K.. 10700 00131404 00060000 131f0103 00001326 ...............& 10710 04000600 0012eb01 03000013 33040002 ............3... 10720 01030000 13400400 07766465 73635f61 .....@...vdesc_a 10730 70690014 000013b8 085f696e 69740000 pi......._init.. 10740 000ae002 2300085f 616c6c6f 635f7664 ....#.._alloc_vd 10750 65736300 0000132c 02230408 5f676574 esc....,.#.._get 10760 5f68775f 64657363 00000013 39022308 _hw_desc....9.#. 10770 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... 10780 4202230c 08705265 73657276 65640000 B.#..pReserved.. 10790 00040d02 23100007 5f564255 46002000 ....#..._VBUF. . 107a0 00141808 64657363 5f6c6973 74000000 ....desc_list... 107b0 131f0223 00086e65 78745f62 75660000 ...#..next_buf.. 107c0 00141802 23040862 75665f6c 656e6774 ....#..buf_lengt 107d0 68000000 12f20223 08087265 73657276 h......#..reserv 107e0 65640000 00141f02 230a0863 74780000 ed......#..ctx.. 107f0 00130002 230c0003 000013b8 04000f00 ....#........... 10800 0012de02 0000142c 10010003 000013b8 .......,........ 10810 04000956 42554600 000013b8 03000014 ...VBUF......... 10820 33040006 0000143d 01030000 14440400 3......=.....D.. 10830 06000014 3d010300 00145104 00020103 ....=.....Q..... 10840 0000145e 04000776 6275665f 61706900 ...^...vbuf_api. 10850 14000014 dc085f69 6e697400 00000ae0 ......_init..... 10860 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. 10870 0000144a 02230408 5f616c6c 6f635f76 ...J.#.._alloc_v 10880 6275665f 77697468 5f73697a 65000000 buf_with_size... 10890 14570223 08085f66 7265655f 76627566 .W.#.._free_vbuf 108a0 00000014 6002230c 08705265 73657276 ....`.#..pReserv 108b0 65640000 00040d02 23100007 5f5f6164 ed......#...__ad 108c0 665f6465 76696365 00040000 14fe0864 f_device.......d 108d0 756d6d79 00000001 18022300 00030000 ummy......#..... 108e0 09240400 075f5f61 64665f64 6d615f6d .$...__adf_dma_m 108f0 6170000c 00001545 08627566 00000014 ap.....E.buf.... 10900 3d022300 0864735f 61646472 00000014 =.#..ds_addr.... 10910 fe022304 0864735f 6c656e00 000012f2 ..#..ds_len..... 10920 02230800 120c0000 157f085f 5f76615f .#.........__va_ 10930 73746b00 0000037b 02230008 5f5f7661 stk....{.#..__va 10940 5f726567 00000003 7b022304 085f5f76 _reg....{.#..__v 10950 615f6e64 78000000 01180223 0800095f a_ndx......#..._ 10960 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr 10970 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d 10980 6d615f61 6464725f 74000000 157f095f ma_addr_t......_ 10990 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size 109a0 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d 109b0 6d615f73 697a655f 74000000 15af075f ma_size_t......_ 109c0 5f646d61 5f736567 73000800 00160b08 _dma_segs....... 109d0 70616464 72000000 15980223 00086c65 paddr......#..le 109e0 6e000000 15c80223 0400095f 5f615f75 n......#...__a_u 109f0 696e7433 325f7400 00000924 09615f75 int32_t....$.a_u 10a00 696e7433 325f7400 0000160b 0f000015 int32_t......... 10a10 df080000 163a1000 00076164 665f6f73 .....:....adf_os 10a20 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... 10a30 1673086e 73656773 00000016 1d022300 .s.nsegs......#. 10a40 08646d61 5f736567 73000000 162d0223 .dma_segs....-.# 10a50 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. 10a60 0012de09 615f7569 6e74385f 74000000 ....a_uint8_t... 10a70 16730300 00168404 00075f5f 73675f73 .s........__sg_s 10a80 65677300 08000016 c5087661 64647200 egs.......vaddr. 10a90 00001693 02230008 6c656e00 0000161d .....#..len..... 10aa0 02230400 0f000016 9a200000 16d21003 .#....... ...... 10ab0 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. 10ac0 24000017 05086e73 65677300 0000161d $.....nsegs..... 10ad0 02230008 73675f73 65677300 000016c5 .#..sg_segs..... 10ae0 02230400 12100000 174e0876 656e646f .#.......N.vendo 10af0 72000000 161d0223 00086465 76696365 r......#..device 10b00 00000016 1d022304 08737562 76656e64 ......#..subvend 10b10 6f720000 00161d02 23080873 75626465 or......#..subde 10b20 76696365 00000016 1d02230c 00046c6f vice......#...lo 10b30 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned 10b40 20696e74 00070809 415f5549 4e543634 int....A_UINT64 10b50 00000017 4e095f5f 615f7569 6e743634 ....N.__a_uint64 10b60 5f740000 00176809 615f7569 6e743634 _t....h.a_uint64 10b70 5f740000 00177614 04000017 d40e4144 _t....v.......AD 10b80 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY 10b90 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ 10ba0 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO 10bb0 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou 10bc0 7263655f 74797065 5f740000 00179812 rce_type_t...... 10bd0 18000018 1e087374 61727400 00001788 ......start..... 10be0 02230008 656e6400 00001788 02230808 .#..end......#.. 10bf0 74797065 00000017 d4022310 00096164 type......#...ad 10c00 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ 10c10 74000000 17050300 00181e04 00110400 t............... 10c20 00185d08 70636900 00001837 02230008 ..].pci....7.#.. 10c30 72617700 0000040d 02230000 11100000 raw......#...... 10c40 187c0870 63690000 00181e02 23000872 .|.pci......#..r 10c50 61770000 00040d02 23000009 6164665f aw......#...adf_ 10c60 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... 10c70 0d096164 665f6f73 5f726573 6f757263 ..adf_os_resourc 10c80 655f7400 000017f0 03000018 92040009 e_t............. 10c90 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da 10ca0 74615f74 00000018 5d030000 18b00400 ta_t....]....... 10cb0 03000014 dc040009 5f5f6164 665f6f73 ........__adf_os 10cc0 5f646576 6963655f 74000000 18d10961 _device_t......a 10cd0 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. 10ce0 0018d806 0000187c 01030000 19040400 .......|........ 10cf0 02010300 00191104 00096164 665f6f73 ..........adf_os 10d00 5f706d5f 74000000 040d0201 03000019 _pm_t........... 10d10 2b040014 04000019 6b0e4144 465f4f53 +.......k.ADF_OS 10d20 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... 10d30 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 10d40 47454e45 52494300 02000961 64665f6f GENERIC....adf_o 10d50 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... 10d60 34096164 665f6f73 5f627573 5f726567 4.adf_os_bus_reg 10d70 5f646174 615f7400 0000183e 03000003 _data_t....>.... 10d80 25040007 5f616466 5f647276 5f696e66 %..._adf_drv_inf 10d90 6f002000 001a4808 6472765f 61747461 o. ...H.drv_atta 10da0 63680000 00190a02 23000864 72765f64 ch......#..drv_d 10db0 65746163 68000000 19130223 04086472 etach......#..dr 10dc0 765f7375 7370656e 64000000 192d0223 v_suspend....-.# 10dd0 08086472 765f7265 73756d65 00000019 ..drv_resume.... 10de0 1302230c 08627573 5f747970 65000000 ..#..bus_type... 10df0 196b0223 10086275 735f6461 74610000 .k.#..bus_data.. 10e00 00198202 2314086d 6f645f6e 616d6500 ....#..mod_name. 10e10 0000199d 02231808 69666e61 6d650000 .....#..ifname.. 10e20 00199d02 231c0009 6164665f 6f735f68 ....#...adf_os_h 10e30 616e646c 655f7400 0000040d 03000016 andle_t......... 10e40 73040002 01020109 5f5f6164 665f6f73 s.......__adf_os 10e50 5f73697a 655f7400 00000410 14040000 _size_t......... 10e60 1a970e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T 10e70 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. 10e80 00001a7d 03000015 05040009 5f5f6164 ...}........__ad 10e90 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 10ea0 001aa502 010d6164 665f6f73 5f636163 ......adf_os_cac 10eb0 68655f73 796e6300 0400001b 2f0e4144 he_sync...../.AD 10ec0 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. 10ed0 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI 10ee0 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO 10ef0 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN 10f00 435f504f 53545752 49544500 03000961 C_POSTWRITE....a 10f10 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 10f20 5f740000 001ac602 01096164 665f6f73 _t........adf_os 10f30 5f73697a 655f7400 00001a68 0600001b _size_t....h.... 10f40 4a010961 64665f6f 735f646d 615f6d61 J..adf_os_dma_ma 10f50 705f7400 00001aac 0300001b 63040006 p_t.........c... 10f60 0000040d 01030000 1aac0400 06000004 ................ 10f70 0d010201 06000015 98010201 0473686f .............sho 10f80 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 10f90 36000000 1b9d095f 5f615f69 6e743136 6......__a_int16 10fa0 5f740000 001baa09 615f696e 7431365f _t......a_int16_ 10fb0 74000000 1bb70473 69676e65 64206368 t......signed ch 10fc0 61720005 0109415f 494e5438 0000001b ar....A_INT8.... 10fd0 d7095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... 10fe0 e609615f 696e7438 5f740000 001bf212 ..a_int8_t...... 10ff0 0c00001c 69087375 70706f72 74656400 ....i.supported. 11000 0000161d 02230008 61647665 7274697a .....#..advertiz 11010 65640000 00161d02 23040873 70656564 ed......#..speed 11020 0000001b c8022308 08647570 6c657800 ......#..duplex. 11030 00001c02 02230a08 6175746f 6e656700 .....#..autoneg. 11040 00001684 02230b00 0f000016 84060000 .....#.......... 11050 1c761005 00076164 665f6e65 745f6574 .v....adf_net_et 11060 68616464 72000600 001c9a08 61646472 haddr.......addr 11070 0000001c 69022300 00095f5f 615f7569 ....i.#...__a_ui 11080 6e743136 5f740000 0012f209 615f7569 nt16_t......a_ui 11090 6e743136 5f740000 001c9a12 0e00001c nt16_t.......... 110a0 fe086574 6865725f 64686f73 74000000 ..ether_dhost... 110b0 1c690223 00086574 6865725f 73686f73 .i.#..ether_shos 110c0 74000000 1c690223 06086574 6865725f t....i.#..ether_ 110d0 74797065 0000001c ac02230c 00121400 type......#..... 110e0 001dbf15 69705f76 65727369 6f6e0000 ....ip_version.. 110f0 00168401 00040223 00156970 5f686c00 .......#..ip_hl. 11100 00001684 01040402 23000869 705f746f ........#..ip_to 11110 73000000 16840223 01086970 5f6c656e s......#..ip_len 11120 0000001c ac022302 0869705f 69640000 ......#..ip_id.. 11130 001cac02 23040869 705f6672 61675f6f ....#..ip_frag_o 11140 66660000 001cac02 23060869 705f7474 ff......#..ip_tt 11150 6c000000 16840223 08086970 5f70726f l......#..ip_pro 11160 746f0000 00168402 23090869 705f6368 to......#..ip_ch 11170 65636b00 00001cac 02230a08 69705f73 eck......#..ip_s 11180 61646472 00000016 1d02230c 0869705f addr......#..ip_ 11190 64616464 72000000 161d0223 10000761 daddr......#...a 111a0 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. 111b0 00001e11 08747069 64000000 1cac0223 .....tpid......# 111c0 00157072 696f0000 00168401 00030223 ..prio.........# 111d0 02156366 69000000 16840103 01022302 ..cfi.........#. 111e0 15766964 0000001c ac02040c 02230200 .vid.........#.. 111f0 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... 11200 1e421572 65730000 00168401 00040223 .B.res.........# 11210 00157661 6c000000 1cac0204 0c022300 ..val.........#. 11220 00120c00 001e7e08 72785f62 75667369 ......~.rx_bufsi 11230 7a650000 00161d02 23000872 785f6e64 ze......#..rx_nd 11240 65736300 0000161d 02230408 74785f6e esc......#..tx_n 11250 64657363 00000016 1d022308 00120800 desc......#..... 11260 001ea408 706f6c6c 65640000 001a9702 ....polled...... 11270 23000870 6f6c6c5f 77740000 00161d02 #..poll_wt...... 11280 2304000f 00001684 4000001e b1103f00 #.......@.....?. 11290 12460000 1ed90869 665f6e61 6d650000 .F.....if_name.. 112a0 001ea402 23000864 65765f61 64647200 ....#..dev_addr. 112b0 00001c69 02234000 14040000 1f100e41 ...i.#@........A 112c0 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 112d0 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM 112e0 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... 112f0 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ 11300 74000000 1ed90761 64665f64 6d615f69 t......adf_dma_i 11310 6e666f00 0800001f 5d08646d 615f6d61 nfo.....].dma_ma 11320 736b0000 001f1002 23000873 675f6e73 sk......#..sg_ns 11330 65677300 0000161d 02230400 14040000 egs......#...... 11340 1fb30e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 11350 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ 11360 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 11370 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS 11380 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. 11390 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum 113a0 5f747970 655f7400 00001f5d 12080000 _type_t....].... 113b0 1ff60874 785f636b 73756d00 00001fb3 ...tx_cksum..... 113c0 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... 113d0 b3022304 00096164 665f6e65 745f636b ..#...adf_net_ck 113e0 73756d5f 696e666f 5f740000 001fcd14 sum_info_t...... 113f0 04000020 4f0e4144 465f4e45 545f5453 ... O.ADF_NET_TS 11400 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET 11410 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ 11420 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a 11430 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ 11440 74000000 20101210 000020a3 08636b73 t... ..... ..cks 11450 756d5f63 61700000 001ff602 23000874 um_cap......#..t 11460 736f0000 00204f02 23080876 6c616e5f so... O.#..vlan_ 11470 73757070 6f727465 64000000 16840223 supported......# 11480 0c001220 0000213c 0874785f 7061636b ... ..!<.tx_pack 11490 65747300 0000161d 02230008 72785f70 ets......#..rx_p 114a0 61636b65 74730000 00161d02 23040874 ackets......#..t 114b0 785f6279 74657300 0000161d 02230808 x_bytes......#.. 114c0 72785f62 79746573 00000016 1d02230c rx_bytes......#. 114d0 0874785f 64726f70 70656400 0000161d .tx_dropped..... 114e0 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. 114f0 00161d02 23140872 785f6572 726f7273 ....#..rx_errors 11500 00000016 1d022318 0874785f 6572726f ......#..tx_erro 11510 72730000 00161d02 231c0009 6164665f rs......#...adf_ 11520 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... 11530 1c761600 00213c03 00000021 61107f00 .v...!<....!a... 11540 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 11550 64647200 03040000 2198086e 656c656d ddr.....!..nelem 11560 00000016 1d022300 086d6361 73740000 ......#..mcast.. 11570 00215302 23040009 6164665f 6e65745f .!S.#...adf_net_ 11580 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. 11590 00001c10 09616466 5f6e6574 5f636d64 .....adf_net_cmd 115a0 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... 115b0 7e096164 665f6e65 745f636d 645f636b ~.adf_net_cmd_ck 115c0 73756d5f 696e666f 5f740000 001ff609 sum_info_t...... 115d0 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring 115e0 5f696e66 6f5f7400 00001e42 09616466 _info_t....B.adf 115f0 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf 11600 6f5f7400 00001f27 09616466 5f6e6574 o_t....'.adf_net 11610 5f636d64 5f766964 5f740000 001cac09 _cmd_vid_t...... 11620 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl 11630 6f61645f 6361705f 74000000 20670961 oad_cap_t... g.a 11640 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats 11650 5f740000 0020a309 6164665f 6e65745f _t... ..adf_net_ 11660 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! 11670 610d6164 665f6e65 745f636d 645f6d63 a.adf_net_cmd_mc 11680 6173745f 63617000 04000022 da0e4144 ast_cap...."..AD 11690 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. 116a0 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 116b0 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne 116c0 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ 116d0 74000000 22921803 04000023 ac086c69 t..."......#..li 116e0 6e6b5f69 6e666f00 00002198 02230008 nk_info...!..#.. 116f0 706f6c6c 5f696e66 6f000000 21b50223 poll_info...!..# 11700 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! 11710 d2022300 0872696e 675f696e 666f0000 ..#..ring_info.. 11720 0021f002 23000864 6d615f69 6e666f00 .!..#..dma_info. 11730 0000220d 02230008 76696400 00002229 .."..#..vid...") 11740 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. 11750 00002240 02230008 73746174 73000000 .."@.#..stats... 11760 225f0223 00086d63 6173745f 696e666f "_.#..mcast_info 11770 00000022 78022300 086d6361 73745f63 ..."x.#..mcast_c 11780 61700000 0022da02 23000014 04000024 ap..."..#......$ 11790 030e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 117a0 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 117b0 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. 117c0 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 117d0 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. 117e0 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c 117f0 6b73756d 5f747970 655f7400 000023ac ksum_type_t...#. 11800 12080000 24430872 6573756c 74000000 ....$C.result... 11810 24030223 00087661 6c000000 161d0223 $..#..val......# 11820 04001208 00002473 08747970 65000000 ......$s.type... 11830 204f0223 00086d73 73000000 1cac0223 O.#..mss......# 11840 04086864 725f6f66 66000000 16840223 ..hdr_off......# 11850 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 11860 65616400 0c000024 b2086865 61640000 ead....$..head.. 11870 00143d02 23000874 61696c00 0000143d ..=.#..tail....= 11880 02230408 716c656e 00000016 1d022308 .#..qlen......#. 11890 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. 118a0 00143d03 00001693 04000300 00161d04 ..=............. 118b0 00020106 0000131f 01060000 161d0106 ................ 118c0 00001693 01060000 16930103 00001300 ................ 118d0 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 118e0 6561645f 74000000 2473095f 5f616466 ead_t...$s.__adf 118f0 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 11900 24f30300 00250b04 00060000 24b20106 $....%......$... 11910 000024b2 01140400 00262b0e 415f5354 ..$......&+.A_ST 11920 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT 11930 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST 11940 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ 11950 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... 11960 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. 11970 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR 11980 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU 11990 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S 119a0 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ 119b0 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A 119c0 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT 119d0 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS 119e0 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU 119f0 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA 11a00 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta 11a10 7475735f 74000000 25360600 00262b01 tus_t...%6...&+. 11a20 06000001 18010201 09616466 5f6e6275 .........adf_nbu 11a30 665f7400 000024b2 14040000 26900e41 f_t...$.....&..A 11a40 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV 11a50 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA 11a60 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... 11a70 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t 11a80 00000026 59060000 262b0102 01096164 ...&Y...&+....ad 11a90 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 11aa0 5f740000 00163a03 000026ae 04000201 _t....:...&..... 11ab0 02010600 00264901 06000024 b2010201 .....&I....$.... 11ac0 02010600 00264901 06000024 b2010600 .....&I....$.... 11ad0 00264901 06000024 b2010600 00264901 .&I....$.....&I. 11ae0 02010201 06000016 1d010600 00169301 ................ 11af0 02010201 0600001b 4a010600 001a9701 ........J....... 11b00 0600001a 97010961 64665f6f 735f7367 .......adf_os_sg 11b10 6c697374 5f740000 0016d203 00002727 list_t........'' 11b20 04000201 02010201 06000016 93010961 ...............a 11b30 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 11b40 0000250b 03000027 4f040002 01030000 ..%....'O....... 11b50 24f30400 02010201 02010600 00264901 $............&I. 11b60 06000024 b2010600 00161d01 06000016 ...$............ 11b70 1d010600 001a9701 0600001a 97010600 ................ 11b80 001fb301 06000016 1d010961 64665f6e ...........adf_n 11b90 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. 11ba0 00242103 000027ab 04000201 02010961 .$!...'........a 11bb0 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... 11bc0 24430300 0027cf04 00020102 01096164 $C...'........ad 11bd0 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. 11be0 00040d09 6164665f 6e65745f 766c616e ....adf_net_vlan 11bf0 6864725f 74000000 1dbf0300 00280404 hdr_t........(.. 11c00 00060000 262b0106 0000262b 01020102 ....&+....&+.... 11c10 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... 11c20 00285308 64756d6d 79000000 01180223 .(S.dummy......# 11c30 00000201 03000028 53040002 01030000 .......(S....... 11c40 285c0400 075f4849 465f4341 4c4c4241 (\..._HIF_CALLBA 11c50 434b000c 000028b1 0873656e 645f6275 CK....(..send_bu 11c60 665f646f 6e650000 00285502 23000872 f_done...(U.#..r 11c70 6563765f 62756600 0000285e 02230408 ecv_buf...(^.#.. 11c80 636f6e74 65787400 0000040d 02230800 context......#.. 11c90 09686966 5f68616e 646c655f 74000000 .hif_handle_t... 11ca0 040d0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... 11cb0 28320300 0028c304 00060000 28b10103 (2...(......(... 11cc0 000028da 04000201 03000028 e7040009 ..(........(.... 11cd0 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( 11ce0 65030000 28f00400 02010300 00290904 e...(........).. 11cf0 00060000 01180103 00002912 04000201 ..........)..... 11d00 03000029 1f040006 00000118 01030000 ...)............ 11d10 29280400 02010300 00293504 00060000 )(.......)5..... 11d20 01180103 0000293e 04000201 03000029 ......)>.......) 11d30 4b040007 6869665f 61706900 3800002a K...hif_api.8..* 11d40 a4085f69 6e697400 000028e0 02230008 .._init...(..#.. 11d50 5f736875 74646f77 6e000000 28e90223 _shutdown...(..# 11d60 04085f72 65676973 7465725f 63616c6c .._register_call 11d70 6261636b 00000029 0b022308 085f6765 back...)..#.._ge 11d80 745f746f 74616c5f 63726564 69745f63 t_total_credit_c 11d90 6f756e74 00000029 1802230c 085f7374 ount...)..#.._st 11da0 61727400 000028e9 02231008 5f636f6e art...(..#.._con 11db0 6669675f 70697065 00000029 21022314 fig_pipe...)!.#. 11dc0 085f7365 6e645f62 75666665 72000000 ._send_buffer... 11dd0 292e0223 18085f72 65747572 6e5f7265 )..#.._return_re 11de0 63765f62 75660000 00293702 231c085f cv_buf...)7.#.._ 11df0 69735f70 6970655f 73757070 6f727465 is_pipe_supporte 11e00 64000000 29440223 20085f67 65745f6d d...)D.# ._get_m 11e10 61785f6d 73675f6c 656e0000 00294402 ax_msg_len...)D. 11e20 2324085f 6765745f 72657365 72766564 #$._get_reserved 11e30 5f686561 64726f6f 6d000000 29180223 _headroom...)..# 11e40 28085f69 73725f68 616e646c 65720000 (._isr_handler.. 11e50 0028e902 232c085f 6765745f 64656661 .(..#,._get_defa 11e60 756c745f 70697065 00000029 4d022330 ult_pipe...)M.#0 11e70 08705265 73657276 65640000 00040d02 .pReserved...... 11e80 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. 11e90 00002b2d 0e444d41 5f454e47 494e455f ..+-.DMA_ENGINE_ 11ea0 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE 11eb0 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN 11ec0 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI 11ed0 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG 11ee0 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN 11ef0 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E 11f00 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma 11f10 5f656e67 696e655f 74000000 2aa40d64 _engine_t...*..d 11f20 6d615f69 66747970 65000400 002b7a0e ma_iftype....+z. 11f30 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM 11f40 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I 11f50 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if 11f60 74797065 5f740000 002b3f06 000012f2 type_t...+?..... 11f70 01030000 2b8c0400 02010300 002b9904 ....+........+.. 11f80 00020103 00002ba2 04000600 00092401 ......+.......$. 11f90 0300002b ab040006 000012f2 01030000 ...+............ 11fa0 2bb80400 06000012 f2010300 002bc504 +............+.. 11fb0 00060000 143d0103 00002bd2 04000201 .....=....+..... 11fc0 0300002b df040007 646d615f 6c69625f ...+....dma_lib_ 11fd0 61706900 3400002c e6087478 5f696e69 api.4..,..tx_ini 11fe0 74000000 2b920223 00087478 5f737461 t...+..#..tx_sta 11ff0 72740000 002b9b02 23040872 785f696e rt...+..#..rx_in 12000 69740000 002b9202 23080872 785f636f it...+..#..rx_co 12010 6e666967 0000002b a402230c 0872785f nfig...+..#..rx_ 12020 73746172 74000000 2b9b0223 1008696e start...+..#..in 12030 74725f73 74617475 73000000 2bb10223 tr_status...+..# 12040 14086861 72645f78 6d697400 00002bbe ..hard_xmit...+. 12050 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. 12060 002b9b02 231c0878 6d69745f 646f6e65 .+..#..xmit_done 12070 0000002b cb022320 08726561 705f786d ...+..# .reap_xm 12080 69747465 64000000 2bd80223 24087265 itted...+..#$.re 12090 61705f72 65637600 00002bd8 02232808 ap_recv...+..#(. 120a0 72657475 726e5f72 65637600 00002be1 return_recv...+. 120b0 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ 120c0 cb022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft 120d0 63000c00 002d0408 73770000 0028f002 c....-..sw...(.. 120e0 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ 120f0 74000000 2ce60300 002d0404 00020103 t...,....-...... 12100 00002d1e 04000600 0012de01 0300002d ..-............- 12110 2704000d 6869665f 7063695f 70697065 '...hif_pci_pipe 12120 5f747800 0400002d 870e4849 465f5043 _tx....-..HIF_PC 12130 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF 12140 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... 12150 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ 12160 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p 12170 6970655f 74785f74 0000002d 34060000 ipe_tx_t...-4... 12180 2b2d0103 00002d9e 04000d68 69665f70 +-....-....hif_p 12190 63695f70 6970655f 72780004 00002e24 ci_pipe_rx.....$ 121a0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 121b0 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE 121c0 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P 121d0 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC 121e0 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF 121f0 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX 12200 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe 12210 5f72785f 74000000 2dab0600 002b2d01 _rx_t...-....+-. 12220 0300002e 3b040007 6869665f 7063695f ....;...hif_pci_ 12230 61706900 2400002f 19087063 695f626f api.$../..pci_bo 12240 6f745f69 6e697400 00000111 02230008 ot_init......#.. 12250 7063695f 696e6974 00000028 e0022304 pci_init...(..#. 12260 08706369 5f726573 65740000 00011102 .pci_reset...... 12270 23080870 63695f65 6e61626c 65000000 #..pci_enable... 12280 01110223 0c087063 695f7265 61705f78 ...#..pci_reap_x 12290 6d697474 65640000 002d2002 23100870 mitted...- .#..p 122a0 63695f72 6561705f 72656376 0000002d ci_reap_recv...- 122b0 20022314 08706369 5f676574 5f706970 .#..pci_get_pip 122c0 65000000 2d2d0223 18087063 695f6765 e...--.#..pci_ge 122d0 745f7478 5f656e67 0000002d a402231c t_tx_eng...-..#. 122e0 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. 122f0 00002e41 02232000 07676d61 635f6170 ...A.# ..gmac_ap 12300 69000400 002f4008 676d6163 5f626f6f i..../@.gmac_boo 12310 745f696e 69740000 00011102 2300000f t_init......#... 12320 00000325 0600002f 4d100500 075f5f65 ...%.../M....__e 12330 74686864 72000e00 002f8308 64737400 thhdr..../..dst. 12340 00002f40 02230008 73726300 00002f40 ../@.#..src.../@ 12350 02230608 65747970 65000000 12f20223 .#..etype......# 12360 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ 12370 d1157265 73000000 12de0100 02022300 ..res.........#. 12380 1570726f 746f0000 0012de01 02060223 .proto.........# 12390 00087265 735f6c6f 00000012 de022301 ..res_lo......#. 123a0 08726573 5f686900 000012f2 02230200 .res_hi......#.. 123b0 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 123c0 0d086574 68000000 2f4d0223 00086174 ..eth.../M.#..at 123d0 68000000 2f830223 0e08616c 69676e5f h.../..#..align_ 123e0 70616400 000012f2 02231200 095f5f67 pad......#...__g 123f0 6d61635f 6864725f 74000000 2fd1075f mac_hdr_t.../.._ 12400 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 12410 57086864 72000000 300d0223 00086772 W.hdr...0..#..gr 12420 616e0000 0012f202 23140873 77000000 an......#..sw... 12430 28f00223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin 12440 6b616765 5f636865 636b0008 00003090 kage_check....0. 12450 08766572 73696f6e 00000001 18022300 .version......#. 12460 08746162 6c650000 00011802 23040003 .table......#... 12470 00003057 04000600 00011801 03000030 ..0W...........0 12480 97040003 00000410 0400175f 415f636d ..........._A_cm 12490 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ 124a0 7461626c 650001b8 000031e7 0868616c table.....1..hal 124b0 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 124c0 00309d02 23000873 74617274 5f627373 .0..#..start_bss 124d0 00000030 a4022304 08617070 5f737461 ...0..#..app_sta 124e0 72740000 00011102 2308086d 656d0000 rt......#..mem.. 124f0 00045002 230c086d 69736300 0000056f ..P.#..misc....o 12500 02232008 7072696e 74660000 00014502 .# .printf....E. 12510 23440875 61727400 0000020e 02234c08 #D.uart......#L. 12520 676d6163 0000002f 1902236c 08757362 gmac.../..#l.usb 12530 0000000f 9e022370 08636c6f 636b0000 ......#p.clock.. 12540 000ae703 23e00108 74696d65 72000000 ....#...timer... 12550 07870323 84020869 6e747200 00000c37 ...#...intr....7 12560 03239802 08616c6c 6f637261 6d000000 .#...allocram... 12570 093f0323 c4020872 6f6d7000 00000831 .?.#...romp....1 12580 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. 12590 000e1403 23e00208 65657000 00000f42 ....#...eep....B 125a0 0323fc02 08737472 696e6700 00000693 .#...string..... 125b0 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... 125c0 3c0323a4 0300075f 5553425f 4649464f <.#...._USB_FIFO 125d0 5f434f4e 46494700 10000032 5a086765 _CONFIG....2Z.ge 125e0 745f636f 6d6d616e 645f6275 66000000 t_command_buf... 125f0 144a0223 00087265 63765f63 6f6d6d61 .J.#..recv_comma 12600 6e640000 00146002 23040867 65745f65 nd....`.#..get_e 12610 76656e74 5f627566 00000014 4a022308 vent_buf....J.#. 12620 0873656e 645f6576 656e745f 646f6e65 .send_event_done 12630 00000014 6002230c 00095553 425f4649 ....`.#...USB_FI 12640 464f5f43 4f4e4649 47000000 31e70300 FO_CONFIG...1... 12650 00325a04 00020103 00003276 04000775 .2Z.......2v...u 12660 73626669 666f5f61 7069000c 000032cc sbfifo_api....2. 12670 085f696e 69740000 00327802 2300085f ._init...2x.#.._ 12680 656e6162 6c655f65 76656e74 5f697372 enable_event_isr 12690 00000001 11022304 08705265 73657276 ......#..pReserv 126a0 65640000 00040d02 2308000f 00001684 ed......#....... 126b0 02000032 d9100100 075f4854 435f4652 ...2....._HTC_FR 126c0 414d455f 48445200 08000033 4b08456e AME_HDR....3K.En 126d0 64706f69 6e744944 00000016 84022300 dpointID......#. 126e0 08466c61 67730000 00168402 23010850 .Flags......#..P 126f0 61796c6f 61644c65 6e000000 1cac0223 ayloadLen......# 12700 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. 12710 0032cc02 23040848 6f737453 65714e75 .2..#..HostSeqNu 12720 6d000000 1cac0223 06001202 00003364 m......#......3d 12730 084d6573 73616765 49440000 001cac02 .MessageID...... 12740 23000012 08000033 c7084d65 73736167 #......3..Messag 12750 65494400 00001cac 02230008 43726564 eID......#..Cred 12760 6974436f 756e7400 00001cac 02230208 itCount......#.. 12770 43726564 69745369 7a650000 001cac02 CreditSize...... 12780 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. 12790 00001684 02230608 5f506164 31000000 .....#.._Pad1... 127a0 16840223 0700120a 0000345e 084d6573 ...#......4^.Mes 127b0 73616765 49440000 001cac02 23000853 sageID......#..S 127c0 65727669 63654944 0000001c ac022302 erviceID......#. 127d0 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags 127e0 0000001c ac022304 08446f77 6e4c696e ......#..DownLin 127f0 6b506970 65494400 00001684 02230608 kPipeID......#.. 12800 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... 12810 84022307 08536572 76696365 4d657461 ..#..ServiceMeta 12820 4c656e67 74680000 00168402 2308085f Length......#.._ 12830 50616431 00000016 84022309 00120a00 Pad1......#..... 12840 0034e608 4d657373 61676549 44000000 .4..MessageID... 12850 1cac0223 00085365 72766963 65494400 ...#..ServiceID. 12860 00001cac 02230208 53746174 75730000 .....#..Status.. 12870 00168402 23040845 6e64706f 696e7449 ....#..EndpointI 12880 44000000 16840223 05084d61 784d7367 D......#..MaxMsg 12890 53697a65 0000001c ac022306 08536572 Size......#..Ser 128a0 76696365 4d657461 4c656e67 74680000 viceMetaLength.. 128b0 00168402 2308085f 50616431 00000016 ....#.._Pad1.... 128c0 84022309 00120200 0034ff08 4d657373 ..#......4..Mess 128d0 61676549 44000000 1cac0223 00001204 ageID......#.... 128e0 0000353b 084d6573 73616765 49440000 ..5;.MessageID.. 128f0 001cac02 23000850 69706549 44000000 ....#..PipeID... 12900 16840223 02084372 65646974 436f756e ...#..CreditCoun 12910 74000000 16840223 03001204 00003572 t......#......5r 12920 084d6573 73616765 49440000 001cac02 .MessageID...... 12930 23000850 69706549 44000000 16840223 #..PipeID......# 12940 02085374 61747573 00000016 84022303 ..Status......#. 12950 00120200 00359908 5265636f 72644944 .....5..RecordID 12960 00000016 84022300 084c656e 67746800 ......#..Length. 12970 00001684 02230100 12020000 35c30845 .....#......5..E 12980 6e64706f 696e7449 44000000 16840223 ndpointID......# 12990 00084372 65646974 73000000 16840223 ..Credits......# 129a0 01001204 00003604 08456e64 706f696e ......6..Endpoin 129b0 74494400 00001684 02230008 43726564 tID......#..Cred 129c0 69747300 00001684 02230108 54677443 its......#..TgtC 129d0 72656469 74536571 4e6f0000 001cac02 reditSeqNo...... 129e0 2302000f 00001684 04000036 11100300 #..........6.... 129f0 12060000 364d0850 72655661 6c696400 ....6M.PreValid. 12a00 00001684 02230008 4c6f6f6b 41686561 .....#..LookAhea 12a10 64000000 36040223 0108506f 73745661 d...6..#..PostVa 12a20 6c696400 00001684 02230500 09706f6f lid......#...poo 12a30 6c5f6861 6e646c65 5f740000 00040d06 l_handle_t...... 12a40 0000364d 01030000 36600400 02010300 ..6M....6`...... 12a50 00366d04 00140400 0036eb0e 504f4f4c .6m......6..POOL 12a60 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. 12a70 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV 12a80 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO 12a90 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV 12aa0 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL 12ab0 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL 12ac0 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P 12ad0 4f4f4c5f 49440000 00367602 01030000 OOL_ID...6v..... 12ae0 36fc0400 06000026 49010300 00370504 6......&I....7.. 12af0 00060000 26490103 00003712 04000201 ....&I....7..... 12b00 03000037 1f040007 6275665f 706f6f6c ...7....buf_pool 12b10 5f617069 001c0000 37c1085f 696e6974 _api....7.._init 12b20 00000036 66022300 085f7368 7574646f ...6f.#.._shutdo 12b30 776e0000 00366f02 2304085f 63726561 wn...6o.#.._crea 12b40 74655f70 6f6f6c00 000036fe 02230808 te_pool...6..#.. 12b50 5f616c6c 6f635f62 75660000 00370b02 _alloc_buf...7.. 12b60 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al 12b70 69676e00 00003718 02231008 5f667265 ign...7..#.._fre 12b80 655f6275 66000000 37210223 14087052 e_buf...7!.#..pR 12b90 65736572 76656400 0000040d 02231800 eserved......#.. 12ba0 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... 12bb0 0038a008 704e6578 74000000 38a00223 .8..pNext...8..# 12bc0 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg 12bd0 00000039 55022304 0850726f 63657373 ...9U.#..Process 12be0 53656e64 42756666 6572436f 6d706c65 SendBufferComple 12bf0 74650000 00395e02 23080850 726f6365 te...9^.#..Proce 12c00 7373436f 6e6e6563 74000000 39720223 ssConnect...9r.# 12c10 0c085365 72766963 65494400 000012f2 ..ServiceID..... 12c20 02231008 53657276 69636546 6c616773 .#..ServiceFlags 12c30 00000012 f2022312 084d6178 5376634d ......#..MaxSvcM 12c40 73675369 7a650000 0012f202 23140854 sgSize......#..T 12c50 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi 12c60 6d697400 000012f2 02231608 53657276 mit......#..Serv 12c70 69636543 74780000 00040d02 23180003 iceCtx......#... 12c80 000037c1 04001404 0000393e 19454e44 ..7.......9>.END 12c90 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... 12ca0 ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN 12cb0 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI 12cc0 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. 12cd0 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN 12ce0 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI 12cf0 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. 12d00 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN 12d10 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT 12d20 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... 12d30 38a70201 03000039 53040002 01030000 8......9S....... 12d40 395c0400 03000001 18040006 000012de 9\.............. 12d50 01030000 396c0400 03000037 c1040007 ....9l.....7.... 12d60 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 12d70 f1084372 65646974 53697a65 00000001 ..CreditSize.... 12d80 18022300 08437265 6469744e 756d6265 ..#..CreditNumbe 12d90 72000000 01180223 04084f53 48616e64 r......#..OSHand 12da0 6c650000 001a4802 23080848 49464861 le....H.#..HIFHa 12db0 6e646c65 00000028 b102230c 08506f6f ndle...(..#..Poo 12dc0 6c48616e 646c6500 0000364d 02231000 lHandle...6M.#.. 12dd0 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX 12de0 54000200 003a2d08 656e645f 706f696e T....:-.end_poin 12df0 74000000 12de0223 00086874 635f666c t......#..htc_fl 12e00 61677300 000012de 02230100 09687463 ags......#...htc 12e10 5f68616e 646c655f 74000000 040d0948 _handle_t......H 12e20 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET 12e30 455f4342 00000001 11094854 435f434f E_CB......HTC_CO 12e40 4e464947 00000039 80030000 3a5a0400 NFIG...9....:Z.. 12e50 0600003a 2d010300 003a7104 00020103 ...:-....:q..... 12e60 00003a7e 04000948 54435f53 45525649 ..:~...HTC_SERVI 12e70 43450000 0037c103 00003a87 04000201 CE...7....:..... 12e80 0300003a 9f040002 01030000 3aa80400 ...:........:... 12e90 02010300 003ab104 00060000 01180103 .....:.......... 12ea0 00003aba 04000768 74635f61 70697300 ..:....htc_apis. 12eb0 3400003c 37085f48 54435f49 6e697400 4..<7._HTC_Init. 12ec0 00003a77 02230008 5f485443 5f536875 ..:w.#.._HTC_Shu 12ed0 74646f77 6e000000 3a800223 04085f48 tdown...:..#.._H 12ee0 54435f52 65676973 74657253 65727669 TC_RegisterServi 12ef0 63650000 003aa102 2308085f 4854435f ce...:..#.._HTC_ 12f00 52656164 79000000 3a800223 0c085f48 Ready...:..#.._H 12f10 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers 12f20 0000003a aa022310 085f4854 435f5265 ...:..#.._HTC_Re 12f30 7475726e 42756666 6572734c 69737400 turnBuffersList. 12f40 00003ab3 02231408 5f485443 5f53656e ..:..#.._HTC_Sen 12f50 644d7367 0000003a aa022318 085f4854 dMsg...:..#.._HT 12f60 435f4765 74526573 65727665 64486561 C_GetReservedHea 12f70 64726f6f 6d000000 3ac00223 1c085f48 droom...:..#.._H 12f80 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle 12f90 72000000 285e0223 20085f48 54435f53 r...(^.# ._HTC_S 12fa0 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. 12fb0 00285502 2324085f 4854435f 436f6e74 .(U.#$._HTC_Cont 12fc0 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg 12fd0 00000039 55022328 085f4854 435f436f ...9U.#(._HTC_Co 12fe0 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS 12ff0 656e6443 6f6d706c 65746500 0000395e endComplete...9^ 13000 02232c08 70526573 65727665 64000000 .#,.pReserved... 13010 040d0223 30000768 6f73745f 6170705f ...#0..host_app_ 13020 61726561 5f730004 00003c67 08776d69 area_s......tu 131c0 706c654e 756d4c00 00001cac 02230008 pleNumL......#.. 131d0 7475706c 654e756d 48000000 1cac0223 tupleNumH......# 131e0 02086176 74000000 3dcb0223 04001201 ..avt...=..#.... 131f0 00003e31 08626561 636f6e50 656e6469 ..>1.beaconPendi 13200 6e67436f 756e7400 00001684 02230000 ngCount......#.. 13210 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG 13220 00100000 3e9a0848 74634861 6e646c65 ....>..HtcHandle 13230 0000003a 2d022300 08506f6f 6c48616e ...:-.#..PoolHan 13240 646c6500 0000364d 02230408 4d617843 dle...6M.#..MaxC 13250 6d645265 706c7945 76747300 00000118 mdReplyEvts..... 13260 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts 13270 00000001 1802230c 00020103 00003e9a ......#.......>. 13280 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL 13290 45520000 003e9c07 5f574d49 5f444953 ER...>.._WMI_DIS 132a0 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? 132b0 03087043 6d644861 6e646c65 72000000 ..pCmdHandler... 132c0 3ea30223 0008436d 64494400 000012f2 >..#..CmdID..... 132d0 02230408 466c6167 73000000 12f20223 .#..Flags......# 132e0 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH 132f0 5f544142 4c450010 00003f64 08704e65 _TABLE....?d.pNe 13300 78740000 003f6402 23000870 436f6e74 xt...?d.#..pCont 13310 65787400 0000040d 02230408 4e756d62 ext......#..Numb 13320 65724f66 456e7472 69657300 00000118 erOfEntries..... 13330 02230808 70546162 6c650000 003f8302 .#..pTable...?.. 13340 230c0003 00003f03 04000957 4d495f44 #.....?....WMI_D 13350 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... 13360 3eb80300 003f6b04 00030000 3f030400 >....?k.....?... 13370 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT 13380 00000039 f10d574d 495f4556 545f434c ...9..WMI_EVT_CL 13390 41535300 04000040 1b19574d 495f4556 ASS....@..WMI_EV 133a0 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... 133b0 ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 133c0 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ 133d0 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE 133e0 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL 133f0 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E 13400 56545f43 4c415353 0000003f a6075f57 VT_CLASS...?.._W 13410 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. 13420 00004079 08487463 42756643 74780000 ..@y.HtcBufCtx.. 13430 003f9102 23000845 76656e74 436c6173 .?..#..EventClas 13440 73000000 401b0223 0408466c 61677300 s...@..#..Flags. 13450 000012f2 02230800 09776d69 5f68616e .....#...wmi_han 13460 646c655f 74000000 040d0957 4d495f53 dle_t......WMI_S 13470 56435f43 4f4e4649 47000000 3e310300 VC_CONFIG...>1.. 13480 00408b04 00060000 40790103 000040a6 .@......@y....@. 13490 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ 134a0 5441424c 45000000 3f030300 0040b304 TABLE...?....@.. 134b0 00020103 000040d2 04000600 00264901 ......@......&I. 134c0 03000040 db040002 01030000 40e80400 ...@........@... 134d0 06000001 18010300 0040f104 00020103 .........@...... 134e0 000040fe 04000600 0012de01 03000041 ..@............A 134f0 07040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api 13500 73002c00 00424f08 5f574d49 5f496e69 s.,..BO._WMI_Ini 13510 74000000 40ac0223 00085f57 4d495f52 t...@..#.._WMI_R 13520 65676973 74657244 69737061 74636854 egisterDispatchT 13530 61626c65 00000040 d4022304 085f574d able...@..#.._WM 13540 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ 13550 e1022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv 13560 656e7400 000040ea 02230c08 5f574d49 ent...@..#.._WMI 13570 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent 13580 73436f75 6e740000 0040f702 2310085f sCount...@..#.._ 13590 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete 135a0 48616e64 6c657200 0000395e 02231408 Handler...9^.#.. 135b0 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE 135c0 70000000 40f70223 18085f57 4d495f53 p...@..#.._WMI_S 135d0 68757464 6f776e00 00004100 02231c08 hutdown...A..#.. 135e0 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage 135f0 48616e64 6c657200 00003955 02232008 Handler...9U.# . 13600 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn 13610 65637400 0000410d 02232408 70526573 ect...A..#$.pRes 13620 65727665 64000000 040d0223 2800077a erved......#(..z 13630 73446d61 44657363 00140000 42d10863 sDmaDesc....B..c 13640 74726c00 0000017c 02230008 73746174 trl....|.#..stat 13650 75730000 00017c02 23020874 6f74616c us....|.#..total 13660 4c656e00 0000017c 02230408 64617461 Len....|.#..data 13670 53697a65 00000001 7c022306 086c6173 Size....|.#..las 13680 74416464 72000000 42d10223 08086461 tAddr...B..#..da 13690 74614164 64720000 0001a002 230c086e taAddr......#..n 136a0 65787441 64647200 000042d1 02231000 extAddr...B..#.. 136b0 03000042 4f040003 0000424f 0400077a ...BO.....BO...z 136c0 73446d61 51756575 65000800 00431108 sDmaQueue....C.. 136d0 68656164 00000042 d8022300 08746572 head...B..#..ter 136e0 6d696e61 746f7200 000042d8 02230400 minator...B..#.. 136f0 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... 13700 00437508 68656164 00000042 d8022300 .Cu.head...B..#. 13710 08746572 6d696e61 746f7200 000042d8 .terminator...B. 13720 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h 13730 65616400 0000143d 02230808 786d6974 ead....=.#..xmit 13740 65645f62 75665f74 61696c00 0000143d ed_buf_tail....= 13750 02230c00 02010300 00437504 00030000 .#.......Cu..... 13760 42df0400 02010300 00438504 00030000 B........C...... 13770 43110400 02010300 00439504 00020103 C........C...... 13780 0000439e 04000201 03000043 a7040006 ..C........C.... 13790 0000143d 01030000 43b00400 02010300 ...=....C....... 137a0 0043bd04 00060000 143d0103 000043c6 .C.......=....C. 137b0 04000201 03000043 d3040006 00000118 .......C........ 137c0 01030000 43dc0400 06000042 d8010300 ....C......B.... 137d0 0043e904 00020103 000043f6 04000764 .C........C....d 137e0 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. 137f0 00456c08 5f696e69 74000000 43770223 .El._init...Cw.# 13800 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue 13810 00000043 87022304 085f696e 69745f74 ...C..#.._init_t 13820 785f7175 65756500 00004397 02230808 x_queue...C..#.. 13830 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue 13840 00000043 a002230c 085f786d 69745f62 ...C..#.._xmit_b 13850 75660000 0043a902 2310085f 666c7573 uf...C..#.._flus 13860 685f786d 69740000 00438702 2314085f h_xmit...C..#.._ 13870 72656170 5f726563 765f6275 66000000 reap_recv_buf... 13880 43b60223 18085f72 65747572 6e5f7265 C..#.._return_re 13890 63765f62 75660000 0043bf02 231c085f cv_buf...C..#.._ 138a0 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. 138b0 000043cc 02232008 5f737761 705f6461 ..C..# ._swap_da 138c0 74610000 0043d502 2324085f 6861735f ta...C..#$._has_ 138d0 636f6d70 6c5f7061 636b6574 73000000 compl_packets... 138e0 43e20223 28085f64 6573635f 64756d70 C..#(._desc_dump 138f0 00000043 8702232c 085f6765 745f7061 ...C..#,._get_pa 13900 636b6574 00000043 ef022330 085f7265 cket...C..#0._re 13910 636c6169 6d5f7061 636b6574 00000043 claim_packet...C 13920 f8022334 085f7075 745f7061 636b6574 ..#4._put_packet 13930 00000043 f8022338 08705265 73657276 ...C..#8.pReserv 13940 65640000 00040d02 233c0009 5f415f63 ed......#<.._A_c 13950 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 13960 5f746162 6c655f74 00000030 ab09574d _table_t...0..WM 13970 495f5356 435f4150 49530000 00411417 I_SVC_APIS...A.. 13980 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 13990 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. 139a0 469a0863 6d6e6f73 00000045 6c022300 F..cmnos...El.#. 139b0 08646267 00000003 da0323b8 03086869 .dbg......#...hi 139c0 66000000 29540323 c0030868 74630000 f...)T.#...htc.. 139d0 003ac703 23f80308 776d695f 7376635f .:..#...wmi_svc_ 139e0 61706900 0000458e 0323ac04 08757362 api...E..#...usb 139f0 6669666f 5f617069 00000032 7f0323d8 fifo_api...2..#. 13a00 04086275 665f706f 6f6c0000 00372803 ..buf_pool...7(. 13a10 23e40408 76627566 00000014 67032380 #...vbuf....g.#. 13a20 05087664 65736300 00001349 03239405 ..vdesc....I.#.. 13a30 08616c6c 6f637261 6d000000 093f0323 .allocram....?.# 13a40 a8050864 6d615f65 6e67696e 65000000 ...dma_engine... 13a50 43ff0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. 13a60 002be803 23f40508 6869665f 70636900 .+..#...hif_pci. 13a70 00002e48 0323a806 00095f41 5f6d6167 ...H.#...._A_mag 13a80 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 13a90 7461626c 655f7400 000045a0 06000007 table_t...E..... 13aa0 fd010300 0001b504 00060000 07fd011a ................ 13ab0 6565705f 73746174 65000000 07fd0503 eep_state....... 13ac0 00500978 011a6565 705f6578 69737400 .P.x..eep_exist. 13ad0 000007fd 05030050 097a010f 0000011f .......P.z...... 13ae0 06000047 09100500 03000046 fc040004 ...G.......F.... 13af0 6c6f6e67 20696e74 00050409 696e7433 long int....int3 13b00 325f7400 00004710 1b000001 181b0000 2_t...G......... 13b10 01a01b00 0001a003 00004733 04000300 ..........G3.... 13b20 000f4204 0002011c 012d636d 6e6f735f ..B......-cmnos_ 13b30 65657072 6f6d5f77 72697465 5f68776f eeprom_write_hwo 13b40 72640000 0007fd01 01039201 20029000 rd.......... ... 13b50 008e1da0 008e1df7 0000479c 1d012d6f ..........G...-o 13b60 66667365 74000000 01920152 1d012d64 ffset......R..-d 13b70 61746100 00000192 0153001c 015a636d ata......S...Zcm 13b80 6e6f735f 65657072 6f6d5f72 6561645f nos_eeprom_read_ 13b90 68776f72 64000000 07fd0101 03920120 hword.......... 13ba0 02900000 8e1df800 8e1e2300 0047fe1d ..........#..G.. 13bb0 015a6f66 66736574 00000001 9201521d .Zoffset......R. 13bc0 015a6d44 61746100 00000f21 01531e6d .ZmData....!.S.m 13bd0 53747344 61746100 000001b5 001c017a StsData........z 13be0 636d6e6f 735f6565 705f6973 5f657869 cmnos_eep_is_exi 13bf0 73740000 000f1201 01039201 20029000 st.......... ... 13c00 008e1e24 008e1e5b 00004839 1e6d4461 ...$...[..H9.mDa 13c10 74610000 00019200 1c019963 6d6e6f73 ta.........cmnos 13c20 5f656570 5f777269 74650000 000f1201 _eep_write...... 13c30 01039201 20029000 008e1e5c 008e1ebc .... ......\.... 13c40 000048dc 1d01996f 66667365 74000000 ..H....offset... 13c50 01920152 1d01996c 656e0000 00019201 ...R...len...... 13c60 531d0199 70427566 0000000f 2101541e S...pBuf....!.T. 13c70 70446174 61000000 0f211e65 65705f73 pData....!.eep_s 13c80 74617274 5f6f6673 74000000 01921e65 tart_ofst......e 13c90 65705f65 6e645f6f 66737400 00000192 ep_end_ofst..... 13ca0 1e726574 56616c00 00000f12 1e690000 .retVal......i.. 13cb0 0001921e 6a000000 0192001c 01c7636d ....j.........cm 13cc0 6e6f735f 6565705f 72656164 0000000f nos_eep_read.... 13cd0 12010103 92012002 9000008e 1ebc008e ...... ......... 13ce0 1f010000 49771d01 c76f6666 73657400 ....Iw...offset. 13cf0 00000192 01521d01 c76c656e 00000001 .....R...len.... 13d00 9201531d 01c77042 75660000 000f2101 ..S...pBuf....!. 13d10 541e6d44 61746100 00000f21 1e656570 T.mData....!.eep 13d20 5f737461 72745f6f 66737400 00000192 _start_ofst..... 13d30 1e656570 5f656e64 5f6f6673 74000000 .eep_end_ofst... 13d40 01921e72 65745661 6c000000 0f121e69 ...retVal......i 13d50 00000001 92001f01 f9636d6e 6f735f65 .........cmnos_e 13d60 65705f69 6e697400 01010392 01300290 ep_init......0.. 13d70 00008e1f 04008e21 6c000049 c9206900 .......!l..I. i. 13d80 0000471c 02915020 7265675f 76616c75 ..G...P reg_valu 13d90 65000000 47290291 541e6d53 74734461 e...G)..T.mStsDa 13da0 74610000 0001b500 210101a0 636d6e6f ta......!...cmno 13db0 735f6565 705f6d6f 64756c65 5f696e73 s_eep_module_ins 13dc0 74616c6c 00010103 92012002 9000008e tall...... ..... 13dd0 216c008e 21852201 01a07462 6c000000 !l..!."...tbl... 13de0 473f0152 00000000 004ae500 02000008 G?.R.....J...... 13df0 df04012f 726f6f74 2f576f72 6b737061 .../root/Workspa 13e00 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13e10 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 13e20 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. 13e30 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil 13e40 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 13e50 2f636d6e 6f732f69 6e74722f 7372632f /cmnos/intr/src/ 13e60 636d6e6f 735f696e 74722e63 002f726f cmnos_intr.c./ro 13e70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 13e80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 13e90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 13ea0 636d6e6f 732f696e 74720078 742d7863 cmnos/intr.xt-xc 13eb0 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT 13ec0 3a616c69 676e5f69 6e737472 75637469 :align_instructi 13ed0 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - 13ee0 4f50543a 73706163 65000100 000051dc OPT:space.....Q. 13ef0 02010300 00010904 0004696e 74000504 ..........int... 13f00 04636861 72000701 05000001 19050000 .char........... 13f10 01190300 00012604 00060000 01120103 ......&......... 13f20 00000132 04000770 72696e74 665f6170 ...2...printf_ap 13f30 69000800 00017608 5f707269 6e74665f i.....v._printf_ 13f40 696e6974 00000001 0b022300 085f7072 init......#.._pr 13f50 696e7466 00000001 38022304 00047368 intf....8.#...sh 13f60 6f727420 756e7369 676e6564 20696e74 ort unsigned int 13f70 00070209 75696e74 31365f74 00000001 ....uint16_t.... 13f80 76046c6f 6e672075 6e736967 6e656420 v.long unsigned 13f90 696e7400 07040975 696e7433 325f7400 int....uint32_t. 13fa0 0000019a 07756172 745f6669 666f0008 .....uart_fifo.. 13fb0 00000208 08737461 72745f69 6e646578 .....start_index 13fc0 00000001 8c022300 08656e64 5f696e64 ......#..end_ind 13fd0 65780000 00018c02 2302086f 76657272 ex......#..overr 13fe0 756e5f65 72720000 0001af02 23040007 un_err......#... 13ff0 75617274 5f617069 00200000 02c1085f uart_api. ....._ 14000 75617274 5f696e69 74000000 03180223 uart_init......# 14010 00085f75 6172745f 63686172 5f707574 .._uart_char_put 14020 00000003 3f022304 085f7561 72745f63 ....?.#.._uart_c 14030 6861725f 67657400 00000353 02230808 har_get....S.#.. 14040 5f756172 745f7374 725f6f75 74000000 _uart_str_out... 14050 035c0223 0c085f75 6172745f 7461736b .\.#.._uart_task 14060 00000001 0b022310 085f7561 72745f73 ......#.._uart_s 14070 74617475 73000000 03180223 14085f75 tatus......#.._u 14080 6172745f 636f6e66 69670000 00036502 art_config....e. 14090 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. 140a0 0000036e 02231c00 03000002 08040007 ...n.#.......... 140b0 75617274 5f626c6b 00100000 03120864 uart_blk.......d 140c0 65627567 5f6d6f64 65000000 018c0223 ebug_mode......# 140d0 00086261 75640000 00018c02 2302085f ..baud......#.._ 140e0 75617274 00000002 c1022304 085f7478 uart......#.._tx 140f0 00000001 bd022308 00060000 01af0103 ......#......... 14100 00000312 04000475 6e736967 6e656420 .......unsigned 14110 63686172 00070109 75696e74 385f7400 char....uint8_t. 14120 0000031f 02010300 00033d04 00030000 ..........=..... 14130 03300400 06000001 8c010300 00034d04 .0............M. 14140 00020103 0000035a 04000201 03000003 .......Z........ 14150 63040002 01030000 036c0400 03000001 c........l...... 14160 19040006 00000112 01030000 037c0400 .............|.. 14170 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU 14180 4354000c 000003d4 08636d64 5f737472 CT.......cmd_str 14190 00000003 75022300 0868656c 705f7374 ....u.#..help_st 141a0 72000000 03750223 0408636d 645f6675 r....u.#..cmd_fu 141b0 6e630000 00038202 23080007 6462675f nc......#...dbg_ 141c0 61706900 08000004 07085f64 62675f69 api......._dbg_i 141d0 6e697400 0000010b 02230008 5f646267 nit......#.._dbg 141e0 5f746173 6b000000 010b0223 04000a04 _task......#.... 141f0 0004756e 7369676e 65642069 6e740007 ..unsigned int.. 14200 04060000 04070103 0000041a 04000b0b ................ 14210 03000004 28040006 00000407 01030000 ....(........... 14220 04300400 06000001 12010300 00043d04 .0............=. 14230 00076d65 6d5f6170 69001400 0004ac08 ..mem_api....... 14240 5f6d656d 5f696e69 74000000 010b0223 _mem_init......# 14250 00085f6d 656d7365 74000000 04200223 .._memset.... .# 14260 04085f6d 656d6370 79000000 04360223 .._memcpy....6.# 14270 08085f6d 656d6d6f 76650000 00043602 .._memmove....6. 14280 230c085f 6d656d63 6d700000 00044302 #.._memcmp....C. 14290 23100007 72656769 73746572 5f64756d #...register_dum 142a0 705f7300 90000005 13087461 72676574 p_s.......target 142b0 5f696400 000001af 02230008 6173736c _id......#..assl 142c0 696e6500 000001af 02230408 70630000 ine......#..pc.. 142d0 0001af02 23080862 61647661 64647200 ....#..badvaddr. 142e0 000001af 02230c08 6578635f 6672616d .....#..exc_fram 142f0 65000000 482f0223 10000300 0004ac04 e...H/.#........ 14300 00020103 0000051a 04000201 03000005 ................ 14310 23040006 00000112 01030000 052c0400 #............,.. 14320 0c686f73 7469665f 73000400 0005880d .hostif_s....... 14330 4849465f 55534200 000d4849 465f5043 HIF_USB...HIF_PC 14340 49450001 0d484946 5f474d41 4300020d IE...HIF_GMAC... 14350 4849465f 50434900 030d4849 465f4e55 HIF_PCI...HIF_NU 14360 4d00040d 4849465f 4e4f4e45 00050009 M...HIF_NONE.... 14370 415f484f 53544946 00000005 39060000 A_HOSTIF....9... 14380 05880103 00000596 04000600 00033001 ..............0. 14390 03000005 a3040006 0000018c 01030000 ................ 143a0 05b00400 076d6973 635f6170 69002400 .....misc_api.$. 143b0 0006a008 5f737973 74656d5f 72657365 ...._system_rese 143c0 74000000 010b0223 00085f6d 61635f72 t......#.._mac_r 143d0 65736574 00000001 0b022304 085f6173 eset......#.._as 143e0 73666169 6c000000 051c0223 08085f6d sfail......#.._m 143f0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h 14400 616e646c 65720000 00051c02 230c085f andler......#.._ 14410 7265706f 72745f66 61696c75 72655f74 report_failure_t 14420 6f5f686f 73740000 00052502 2310085f o_host....%.#.._ 14430 74617267 65745f69 645f6765 74000000 target_id_get... 14440 05320223 14085f69 735f686f 73745f70 .2.#.._is_host_p 14450 72657365 6e740000 00059c02 2318085f resent......#.._ 14460 6b626869 74000000 05a90223 1c085f72 kbhit......#.._r 14470 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. 14480 0005b602 23200006 00000375 01030000 ....# .....u.... 14490 06a00400 06000003 75010300 0006ad04 ........u....... 144a0 00060000 01120103 000006ba 04000600 ................ 144b0 00011201 03000006 c7040006 00000112 ................ 144c0 01030000 06d40400 07737472 696e675f .........string_ 144d0 61706900 18000007 5a085f73 7472696e api.....Z._strin 144e0 675f696e 69740000 00010b02 2300085f g_init......#.._ 144f0 73747263 70790000 0006a602 2304085f strcpy......#.._ 14500 7374726e 63707900 000006b3 02230808 strncpy......#.. 14510 5f737472 6c656e00 000006c0 02230c08 _strlen......#.. 14520 5f737472 636d7000 000006cd 02231008 _strcmp......#.. 14530 5f737472 6e636d70 00000006 da022314 _strncmp......#. 14540 000e0000 040a1400 0007670f 0400095f ..........g...._ 14550 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... 14560 075a0941 5f74696d 65725f74 00000007 .Z.A_timer_t.... 14570 67030000 077b0400 02010300 00079104 g....{.......... 14580 00020103 0000079a 04000941 5f48414e ...........A_HAN 14590 444c4500 0000040a 02010941 5f54494d DLE........A_TIM 145a0 45525f46 554e4300 000007b1 03000007 ER_FUNC......... 145b0 b3040002 01030000 07cc0400 0774696d .............tim 145c0 65725f61 70690014 0000084b 085f7469 er_api.....K._ti 145d0 6d65725f 696e6974 00000001 0b022300 mer_init......#. 145e0 085f7469 6d65725f 61726d00 00000793 ._timer_arm..... 145f0 02230408 5f74696d 65725f64 69736172 .#.._timer_disar 14600 6d000000 079c0223 08085f74 696d6572 m......#.._timer 14610 5f736574 666e0000 0007ce02 230c085f _setfn......#.._ 14620 74696d65 725f7275 6e000000 010b0223 timer_run......# 14630 10000942 4f4f4c45 414e0000 00018c06 ...BOOLEAN...... 14640 0000084b 01030000 08580400 06000008 ...K.....X...... 14650 4b010300 00086504 00060000 084b0103 K.....e......K.. 14660 00000872 04000772 6f6d705f 61706900 ...r...romp_api. 14670 10000008 e4085f72 6f6d705f 696e6974 ......_romp_init 14680 00000001 0b022300 085f726f 6d705f64 ......#.._romp_d 14690 6f776e6c 6f616400 0000085e 02230408 ownload....^.#.. 146a0 5f726f6d 705f696e 7374616c 6c000000 _romp_install... 146b0 086b0223 08085f72 6f6d705f 6465636f .k.#.._romp_deco 146c0 64650000 00087802 230c0007 726f6d5f de....x.#...rom_ 146d0 70617463 685f7374 00100000 09400863 patch_st.....@.c 146e0 72633136 00000001 8c022300 086c656e rc16......#..len 146f0 00000001 8c022302 086c645f 61646472 ......#..ld_addr 14700 00000001 af022304 0866756e 5f616464 ......#..fun_add 14710 72000000 01af0223 08087066 756e0000 r......#..pfun.. 14720 00034602 230c0007 6565705f 72656469 ..F.#...eep_redi 14730 725f6164 64720004 00000972 086f6666 r_addr.....r.off 14740 73657400 0000018c 02230008 73697a65 set......#..size 14750 00000001 8c022302 0009415f 55494e54 ......#...A_UINT 14760 33320000 00040a06 00000407 01030000 32.............. 14770 09800400 07616c6c 6f637261 6d5f6170 .....allocram_ap 14780 69000c00 0009f108 636d6e6f 735f616c i.......cmnos_al 14790 6c6f6372 616d5f69 6e697400 00000986 locram_init..... 147a0 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr 147b0 616d0000 00098602 23040863 6d6e6f73 am......#..cmnos 147c0 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. 147d0 0000010b 02230800 02010300 0009f104 .....#.......... 147e0 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC 147f0 00000009 f3075f74 61736b6c 65740010 ......_tasklet.. 14800 00000a52 0866756e 63000000 09fa0223 ...R.func......# 14810 00086172 67000000 04070223 04087374 ..arg......#..st 14820 61746500 00000112 02230808 6e657874 ate......#..next 14830 0000000a 5202230c 00030000 0a0e0400 ....R.#......... 14840 0300000a 0e040009 415f7461 736b6c65 ........A_taskle 14850 745f7400 00000a0e 0300000a 60040002 t_t.........`... 14860 01030000 0a780400 02010300 000a8104 .....x.......... 14870 00077461 736b6c65 745f6170 69001400 ..tasklet_api... 14880 000b1608 5f746173 6b6c6574 5f696e69 ...._tasklet_ini 14890 74000000 010b0223 00085f74 61736b6c t......#.._taskl 148a0 65745f69 6e69745f 7461736b 0000000a et_init_task.... 148b0 7a022304 085f7461 736b6c65 745f6469 z.#.._tasklet_di 148c0 7361626c 65000000 0a830223 08085f74 sable......#.._t 148d0 61736b6c 65745f73 63686564 756c6500 asklet_schedule. 148e0 00000a83 02230c08 5f746173 6b6c6574 .....#.._tasklet 148f0 5f72756e 00000001 0b022310 00020103 _run......#..... 14900 00000b16 04000600 00097201 0300000b ..........r..... 14910 1f040002 01030000 0b2c0400 07636c6f .........,...clo 14920 636b5f61 70690024 00000c0e 085f636c ck_api.$....._cl 14930 6f636b5f 696e6974 0000000b 18022300 ock_init......#. 14940 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init 14950 00000001 0b022304 085f7561 72745f66 ......#.._uart_f 14960 72657175 656e6379 0000000b 25022308 requency....%.#. 14970 085f6465 6c61795f 75730000 000b2e02 ._delay_us...... 14980 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se 14990 74000000 0b2e0223 10085f72 6566636c t......#.._refcl 149a0 6b5f7370 6565645f 67657400 00000b25 k_speed_get....% 149b0 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond 149c0 73000000 0b250223 18085f73 7973636c s....%.#.._syscl 149d0 6b5f6368 616e6765 00000001 0b02231c k_change......#. 149e0 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... 149f0 0b022320 00060000 01af0103 00000c0e ..# ............ 14a00 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. 14a10 000001af 0600000c 1b010300 000c2d04 ..............-. 14a20 00020103 00000c3a 04000201 0300000c .......:........ 14a30 43040006 000001af 01030000 0c4c0400 C............L.. 14a40 09415f69 73725f74 0000000c 52020103 .A_isr_t....R... 14a50 00000c66 04000600 00040a01 0300000c ...f............ 14a60 6f040002 01030000 0c7c0400 07696e74 o........|...int 14a70 725f6170 69002c00 000d9e08 5f696e74 r_api.,....._int 14a80 725f696e 69740000 00010b02 2300085f r_init......#.._ 14a90 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. 14aa0 00000c14 02230408 5f696e74 725f6469 .....#.._intr_di 14ab0 7361626c 65000000 0c330223 08085f69 sable....3.#.._i 14ac0 6e74725f 72657374 6f726500 00000c3c ntr_restore....< 14ad0 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i 14ae0 6e756d00 00000c45 02231008 5f696e74 num....E.#.._int 14af0 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... 14b00 0c450223 14085f69 6e74725f 61747461 .E.#.._intr_atta 14b10 63685f69 73720000 000c6802 2318085f ch_isr....h.#.._ 14b20 6765745f 696e7472 656e6162 6c650000 get_intrenable.. 14b30 000c7502 231c085f 7365745f 696e7472 ..u.#.._set_intr 14b40 656e6162 6c650000 000c7e02 2320085f enable....~.# ._ 14b50 6765745f 696e7472 70656e64 696e6700 get_intrpending. 14b60 00000c75 02232408 5f756e62 6c6f636b ...u.#$._unblock 14b70 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... 14b80 0b022328 00100400 000dc408 74696d65 ..#(........time 14b90 6f757400 000001af 02230008 61637469 out......#..acti 14ba0 6f6e0000 0001af02 23000011 0800000d on......#....... 14bb0 df08636d 64000000 01af0223 00120000 ..cmd......#.... 14bc0 0d9e0223 04000954 5f574454 5f434d44 ...#...T_WDT_CMD 14bd0 0000000d c4020103 00000dee 04001304 ................ 14be0 00000e44 0d454e55 4d5f5744 545f424f ...D.ENUM_WDT_BO 14bf0 4f540001 0d454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B 14c00 4f4f5400 020d454e 554d5f53 5553505f OOT...ENUM_SUSP_ 14c10 424f4f54 00030d45 4e554d5f 554e4b4e BOOT...ENUM_UNKN 14c20 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO 14c30 4f545f54 59504500 00000df7 0600000e OT_TYPE......... 14c40 44010300 000e5504 00077764 745f6170 D.....U...wdt_ap 14c50 69001c00 000ef908 5f776474 5f696e69 i......._wdt_ini 14c60 74000000 010b0223 00085f77 64745f65 t......#.._wdt_e 14c70 6e61626c 65000000 010b0223 04085f77 nable......#.._w 14c80 64745f64 69736162 6c650000 00010b02 dt_disable...... 14c90 2308085f 7764745f 73657400 00000df0 #.._wdt_set..... 14ca0 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... 14cb0 010b0223 10085f77 64745f72 65736574 ...#.._wdt_reset 14cc0 00000001 0b022314 085f7764 745f6c61 ......#.._wdt_la 14cd0 73745f62 6f6f7400 00000e5b 02231800 st_boot....[.#.. 14ce0 13040000 0f600d52 45545f53 55434345 .....`.RET_SUCCE 14cf0 53530000 0d524554 5f4e4f54 5f494e49 SS...RET_NOT_INI 14d00 5400010d 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS 14d10 5400020d 5245545f 4545505f 434f5252 T...RET_EEP_CORR 14d20 55505400 030d5245 545f4545 505f4f56 UPT...RET_EEP_OV 14d30 4552464c 4f570004 0d524554 5f554e4b ERFLOW...RET_UNK 14d40 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE 14d50 54000000 0ef90300 00018c04 00060000 T............... 14d60 0f600103 00000f76 04000600 000f6001 .`.....v......`. 14d70 0300000f 83040007 6565705f 61706900 ........eep_api. 14d80 1000000f ec085f65 65705f69 6e697400 ......_eep_init. 14d90 0000010b 02230008 5f656570 5f726561 .....#.._eep_rea 14da0 64000000 0f7c0223 04085f65 65705f77 d....|.#.._eep_w 14db0 72697465 0000000f 7c022308 085f6565 rite....|.#.._ee 14dc0 705f6973 5f657869 73740000 000f8902 p_is_exist...... 14dd0 230c0007 7573625f 61706900 70000012 #...usb_api.p... 14de0 99085f75 73625f69 6e697400 0000010b .._usb_init..... 14df0 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas 14e00 6b000000 010b0223 04085f75 73625f66 k......#.._usb_f 14e10 775f7461 736b0000 00010b02 2308085f w_task......#.._ 14e20 7573625f 696e6974 5f706879 00000001 usb_init_phy.... 14e30 0b02230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se 14e40 74757000 0000010b 02231008 5f757362 tup......#.._usb 14e50 5f657030 5f747800 0000010b 02231408 _ep0_tx......#.. 14e60 5f757362 5f657030 5f727800 0000010b _usb_ep0_rx..... 14e70 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int 14e80 65726661 63650000 00086b02 231c085f erface....k.#.._ 14e90 7573625f 7365745f 696e7465 72666163 usb_set_interfac 14ea0 65000000 086b0223 20085f75 73625f67 e....k.# ._usb_g 14eb0 65745f63 6f6e6669 67757261 74696f6e et_configuration 14ec0 00000008 6b022324 085f7573 625f7365 ....k.#$._usb_se 14ed0 745f636f 6e666967 75726174 696f6e00 t_configuration. 14ee0 0000086b 02232808 5f757362 5f737461 ...k.#(._usb_sta 14ef0 6e646172 645f636d 64000000 086b0223 ndard_cmd....k.# 14f00 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm 14f10 64000000 010b0223 30085f75 73625f70 d......#0._usb_p 14f20 6f776572 5f6f6666 00000001 0b022334 ower_off......#4 14f30 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo 14f40 00000001 0b022338 085f7573 625f6765 ......#8._usb_ge 14f50 6e5f7764 74000000 010b0223 3c085f75 n_wdt......#<._u 14f60 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... 14f70 0b022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe 14f80 61747572 65000000 086b0223 44085f75 ature....k.#D._u 14f90 73625f73 65745f66 65617475 72650000 sb_set_feature.. 14fa0 00086b02 2348085f 7573625f 7365745f ..k.#H._usb_set_ 14fb0 61646472 65737300 0000086b 02234c08 address....k.#L. 14fc0 5f757362 5f676574 5f646573 63726970 _usb_get_descrip 14fd0 746f7200 0000086b 02235008 5f757362 tor....k.#P._usb 14fe0 5f676574 5f737461 74757300 0000086b _get_status....k 14ff0 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d 15000 65736300 0000010b 02235808 5f757362 esc......#X._usb 15010 5f726567 5f6f7574 00000001 0b02235c _reg_out......#\ 15020 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. 15030 0000010b 02236008 5f757362 5f657030 .....#`._usb_ep0 15040 5f74785f 64617461 00000001 0b022364 _tx_data......#d 15050 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat 15060 61000000 010b0223 68085f75 73625f63 a......#h._usb_c 15070 6c6b5f69 6e697400 0000010b 02236c00 lk_init......#l. 15080 075f5644 45534300 24000013 25086e65 ._VDESC.$...%.ne 15090 78745f64 65736300 00001325 02230008 xt_desc....%.#.. 150a0 6275665f 61646472 00000013 39022304 buf_addr....9.#. 150b0 08627566 5f73697a 65000000 13400223 .buf_size....@.# 150c0 08086461 74615f6f 66667365 74000000 ..data_offset... 150d0 13400223 0a086461 74615f73 697a6500 .@.#..data_size. 150e0 00001340 02230c08 636f6e74 726f6c00 ...@.#..control. 150f0 00001340 02230e08 68775f64 6573635f ...@.#..hw_desc_ 15100 62756600 0000134e 02231000 03000012 buf....N.#...... 15110 99040009 415f5549 4e543800 0000031f ....A_UINT8..... 15120 03000013 2c040009 415f5549 4e543136 ....,...A_UINT16 15130 00000001 760e0000 132c1400 00135b0f ....v....,....[. 15140 13000300 00129904 00095644 45534300 ..........VDESC. 15150 00001299 03000013 62040006 0000136d ........b......m 15160 01030000 13740400 06000013 39010300 .....t......9... 15170 00138104 00020103 0000138e 04000776 ...............v 15180 64657363 5f617069 00140000 1406085f desc_api......._ 15190 696e6974 0000000b 2e022300 085f616c init......#.._al 151a0 6c6f635f 76646573 63000000 137a0223 loc_vdesc....z.# 151b0 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. 151c0 00138702 2308085f 73776170 5f766465 ....#.._swap_vde 151d0 73630000 00139002 230c0870 52657365 sc......#..pRese 151e0 72766564 00000004 07022310 00075f56 rved......#..._V 151f0 42554600 20000014 66086465 73635f6c BUF. ...f.desc_l 15200 69737400 0000136d 02230008 6e657874 ist....m.#..next 15210 5f627566 00000014 66022304 08627566 _buf....f.#..buf 15220 5f6c656e 67746800 00001340 02230808 _length....@.#.. 15230 72657365 72766564 00000014 6d02230a reserved....m.#. 15240 08637478 00000013 4e02230c 00030000 .ctx....N.#..... 15250 14060400 0e000013 2c020000 147a0f01 ........,....z.. 15260 00030000 14060400 09564255 46000000 .........VBUF... 15270 14060300 00148104 00060000 148b0103 ................ 15280 00001492 04000600 00148b01 03000014 ................ 15290 9f040002 01030000 14ac0400 07766275 .............vbu 152a0 665f6170 69001400 00152a08 5f696e69 f_api.....*._ini 152b0 74000000 0b2e0223 00085f61 6c6c6f63 t......#.._alloc 152c0 5f766275 66000000 14980223 04085f61 _vbuf......#.._a 152d0 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s 152e0 697a6500 000014a5 02230808 5f667265 ize......#.._fre 152f0 655f7662 75660000 0014ae02 230c0870 e_vbuf......#..p 15300 52657365 72766564 00000004 07022310 Reserved......#. 15310 00075f5f 6164665f 64657669 63650004 ..__adf_device.. 15320 0000154c 0864756d 6d790000 00011202 ...L.dummy...... 15330 23000003 00000972 0400075f 5f616466 #......r...__adf 15340 5f646d61 5f6d6170 000c0000 15930862 _dma_map.......b 15350 75660000 00148b02 23000864 735f6164 uf......#..ds_ad 15360 64720000 00154c02 23040864 735f6c65 dr....L.#..ds_le 15370 6e000000 13400223 0800110c 000015cd n....@.#........ 15380 085f5f76 615f7374 6b000000 03750223 .__va_stk....u.# 15390 00085f5f 76615f72 65670000 00037502 ..__va_reg....u. 153a0 2304085f 5f76615f 6e647800 00000112 #..__va_ndx..... 153b0 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm 153c0 615f6164 64725f74 00000009 72096164 a_addr_t....r.ad 153d0 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 153e0 000015cd 095f5f61 64665f6f 735f646d .....__adf_os_dm 153f0 615f7369 7a655f74 00000009 72096164 a_size_t....r.ad 15400 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 15410 000015fd 075f5f64 6d615f73 65677300 .....__dma_segs. 15420 08000016 59087061 64647200 000015e6 ....Y.paddr..... 15430 02230008 6c656e00 00001616 02230400 .#..len......#.. 15440 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... 15450 09720961 5f75696e 7433325f 74000000 .r.a_uint32_t... 15460 16590e00 00162d08 00001688 0f000007 .Y....-......... 15470 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in 15480 666f000c 000016c1 086e7365 67730000 fo.......nsegs.. 15490 00166b02 23000864 6d615f73 65677300 ..k.#..dma_segs. 154a0 0000167b 02230400 095f5f61 5f75696e ...{.#...__a_uin 154b0 74385f74 00000013 2c09615f 75696e74 t8_t....,.a_uint 154c0 385f7400 000016c1 03000016 d2040007 8_t............. 154d0 5f5f7367 5f736567 73000800 00171308 __sg_segs....... 154e0 76616464 72000000 16e10223 00086c65 vaddr......#..le 154f0 6e000000 166b0223 04000e00 0016e820 n....k.#....... 15500 00001720 0f030007 6164665f 6f735f73 ... ....adf_os_s 15510 676c6973 74002400 00175308 6e736567 glist.$...S.nseg 15520 73000000 166b0223 00087367 5f736567 s....k.#..sg_seg 15530 73000000 17130223 04001110 0000179c s......#........ 15540 0876656e 646f7200 0000166b 02230008 .vendor....k.#.. 15550 64657669 63650000 00166b02 23040873 device....k.#..s 15560 75627665 6e646f72 00000016 6b022308 ubvendor....k.#. 15570 08737562 64657669 63650000 00166b02 .subdevice....k. 15580 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un 15590 7369676e 65642069 6e740007 0809415f signed int....A_ 155a0 55494e54 36340000 00179c09 5f5f615f UINT64......__a_ 155b0 75696e74 36345f74 00000017 b609615f uint64_t......a_ 155c0 75696e74 36345f74 00000017 c4130400 uint64_t........ 155d0 0018220d 4144465f 4f535f52 45534f55 ..".ADF_OS_RESOU 155e0 5243455f 54595045 5f4d454d 00000d41 RCE_TYPE_MEM...A 155f0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 15600 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os 15610 5f726573 6f757263 655f7479 70655f74 _resource_type_t 15620 00000017 e6111800 00186c08 73746172 ..........l.star 15630 74000000 17d60223 0008656e 64000000 t......#..end... 15640 17d60223 08087479 70650000 00182202 ...#..type....". 15650 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d 15660 65765f69 645f7400 00001753 03000018 ev_id_t....S.... 15670 6c040010 04000018 ab087063 69000000 l.........pci... 15680 18850223 00087261 77000000 04070223 ...#..raw......# 15690 00001010 000018ca 08706369 00000018 .........pci.... 156a0 6c022300 08726177 00000004 07022300 l.#..raw......#. 156b0 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle 156c0 5f740000 00040709 6164665f 6f735f72 _t......adf_os_r 156d0 65736f75 7263655f 74000000 183e0300 esource_t....>.. 156e0 0018e004 00096164 665f6f73 5f617474 ......adf_os_att 156f0 6163685f 64617461 5f740000 0018ab03 ach_data_t...... 15700 000018fe 04000300 00152a04 00095f5f ..........*...__ 15710 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 15720 0000191f 09616466 5f6f735f 64657669 .....adf_os_devi 15730 63655f74 00000019 26060000 18ca0103 ce_t....&....... 15740 00001952 04000201 03000019 5f040009 ...R........_... 15750 6164665f 6f735f70 6d5f7400 00000407 adf_os_pm_t..... 15760 02010300 00197904 00130400 0019b90d ......y......... 15770 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 15780 50434900 010d4144 465f4f53 5f425553 PCI...ADF_OS_BUS 15790 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... 157a0 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type 157b0 5f740000 00198209 6164665f 6f735f62 _t......adf_os_b 157c0 75735f72 65675f64 6174615f 74000000 us_reg_data_t... 157d0 188c0300 00031f04 00075f61 64665f64 .........._adf_d 157e0 72765f69 6e666f00 2000001a 96086472 rv_info. .....dr 157f0 765f6174 74616368 00000019 58022300 v_attach....X.#. 15800 08647276 5f646574 61636800 00001961 .drv_detach....a 15810 02230408 6472765f 73757370 656e6400 .#..drv_suspend. 15820 0000197b 02230808 6472765f 72657375 ...{.#..drv_resu 15830 6d650000 00196102 230c0862 75735f74 me....a.#..bus_t 15840 79706500 000019b9 02231008 6275735f ype......#..bus_ 15850 64617461 00000019 d0022314 086d6f64 data......#..mod 15860 5f6e616d 65000000 19eb0223 18086966 _name......#..if 15870 6e616d65 00000019 eb02231c 00096164 name......#...ad 15880 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... 15890 04070300 0016c104 00020102 01095f5f ..............__ 158a0 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 158b0 040a1304 00001ae5 0d415f46 414c5345 .........A_FALSE 158c0 00000d41 5f545255 45000100 09615f62 ...A_TRUE....a_b 158d0 6f6f6c5f 74000000 1acb0300 00155304 ool_t.........S. 158e0 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m 158f0 61705f74 0000001a f302010c 6164665f ap_t........adf_ 15900 6f735f63 61636865 5f73796e 63000400 os_cache_sync... 15910 001b7d0d 4144465f 53594e43 5f505245 ..}.ADF_SYNC_PRE 15920 52454144 00000d41 44465f53 594e435f READ...ADF_SYNC_ 15930 50524557 52495445 00020d41 44465f53 PREWRITE...ADF_S 15940 594e435f 504f5354 52454144 00010d41 YNC_POSTREAD...A 15950 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT 15960 45000300 09616466 5f6f735f 63616368 E....adf_os_cach 15970 655f7379 6e635f74 0000001b 14020109 e_sync_t........ 15980 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 15990 1ab60600 001b9801 09616466 5f6f735f .........adf_os_ 159a0 646d615f 6d61705f 74000000 1afa0300 dma_map_t....... 159b0 001bb104 00060000 04070103 00001afa ................ 159c0 04000600 00040701 02010600 0015e601 ................ 159d0 02010473 686f7274 20696e74 00050209 ...short int.... 159e0 415f494e 54313600 00001beb 095f5f61 A_INT16......__a 159f0 5f696e74 31365f74 0000001b f809615f _int16_t......a_ 15a00 696e7431 365f7400 00001c05 04736967 int16_t......sig 15a10 6e656420 63686172 00050109 415f494e ned char....A_IN 15a20 54380000 001c2509 5f5f615f 696e7438 T8....%.__a_int8 15a30 5f740000 001c3409 615f696e 74385f74 _t....4.a_int8_t 15a40 0000001c 40110c00 001cb708 73757070 ....@.......supp 15a50 6f727465 64000000 166b0223 00086164 orted....k.#..ad 15a60 76657274 697a6564 00000016 6b022304 vertized....k.#. 15a70 08737065 65640000 001c1602 23080864 .speed......#..d 15a80 75706c65 78000000 1c500223 0a086175 uplex....P.#..au 15a90 746f6e65 67000000 16d20223 0b000e00 toneg......#.... 15aa0 0016d206 00001cc4 0f050007 6164665f ............adf_ 15ab0 6e65745f 65746861 64647200 0600001c net_ethaddr..... 15ac0 e8086164 64720000 001cb702 23000009 ..addr......#... 15ad0 5f5f615f 75696e74 31365f74 00000013 __a_uint16_t.... 15ae0 4009615f 75696e74 31365f74 0000001c @.a_uint16_t.... 15af0 e8110e00 001d4c08 65746865 725f6468 ......L.ether_dh 15b00 6f737400 00001cb7 02230008 65746865 ost......#..ethe 15b10 725f7368 6f737400 00001cb7 02230608 r_shost......#.. 15b20 65746865 725f7479 70650000 001cfa02 ether_type...... 15b30 230c0011 1400001e 0d146970 5f766572 #.........ip_ver 15b40 73696f6e 00000016 d2010004 02230014 sion.........#.. 15b50 69705f68 6c000000 16d20104 04022300 ip_hl.........#. 15b60 0869705f 746f7300 000016d2 02230108 .ip_tos......#.. 15b70 69705f6c 656e0000 001cfa02 23020869 ip_len......#..i 15b80 705f6964 0000001c fa022304 0869705f p_id......#..ip_ 15b90 66726167 5f6f6666 0000001c fa022306 frag_off......#. 15ba0 0869705f 74746c00 000016d2 02230808 .ip_ttl......#.. 15bb0 69705f70 726f746f 00000016 d2022309 ip_proto......#. 15bc0 0869705f 63686563 6b000000 1cfa0223 .ip_check......# 15bd0 0a086970 5f736164 64720000 00166b02 ..ip_saddr....k. 15be0 230c0869 705f6461 64647200 0000166b #..ip_daddr....k 15bf0 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla 15c00 6e686472 00040000 1e5f0874 70696400 nhdr....._.tpid. 15c10 00001cfa 02230014 7072696f 00000016 .....#..prio.... 15c20 d2010003 02230214 63666900 000016d2 .....#..cfi..... 15c30 01030102 23021476 69640000 001cfa02 ....#..vid...... 15c40 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v 15c50 69640002 00001e90 14726573 00000016 id.......res.... 15c60 d2010004 02230014 76616c00 00001cfa .....#..val..... 15c70 02040c02 23000011 0c00001e cc087278 ....#.........rx 15c80 5f627566 73697a65 00000016 6b022300 _bufsize....k.#. 15c90 0872785f 6e646573 63000000 166b0223 .rx_ndesc....k.# 15ca0 04087478 5f6e6465 73630000 00166b02 ..tx_ndesc....k. 15cb0 23080011 0800001e f208706f 6c6c6564 #.........polled 15cc0 0000001a e5022300 08706f6c 6c5f7774 ......#..poll_wt 15cd0 00000016 6b022304 000e0000 16d24000 ....k.#.......@. 15ce0 001eff0f 3f001146 00001f27 0869665f ....?..F...'.if_ 15cf0 6e616d65 0000001e f2022300 08646576 name......#..dev 15d00 5f616464 72000000 1cb70223 40001304 _addr......#@... 15d10 00001f5e 0d414446 5f4f535f 444d415f ...^.ADF_OS_DMA_ 15d20 4d41534b 5f333242 49540000 0d414446 MASK_32BIT...ADF 15d30 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B 15d40 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma 15d50 5f6d6173 6b5f7400 00001f27 07616466 _mask_t....'.adf 15d60 5f646d61 5f696e66 6f000800 001fab08 _dma_info....... 15d70 646d615f 6d61736b 0000001f 5e022300 dma_mask....^.#. 15d80 0873675f 6e736567 73000000 166b0223 .sg_nsegs....k.# 15d90 04001304 00002001 0d414446 5f4e4554 ...... ..ADF_NET 15da0 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD 15db0 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ 15dc0 5544505f 49507634 00010d41 44465f4e UDP_IPv4...ADF_N 15dd0 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 15de0 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net 15df0 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... 15e00 1fab1108 00002044 0874785f 636b7375 ...... D.tx_cksu 15e10 6d000000 20010223 00087278 5f636b73 m... ..#..rx_cks 15e20 756d0000 00200102 23040009 6164665f um... ..#...adf_ 15e30 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t 15e40 00000020 1b130400 00209d0d 4144465f ... ..... ..ADF_ 15e50 4e45545f 54534f5f 4e4f4e45 00000d41 NET_TSO_NONE...A 15e60 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. 15e70 010d4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL 15e80 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso 15e90 5f747970 655f7400 0000205e 11100000 _type_t... ^.... 15ea0 20f10863 6b73756d 5f636170 00000020 ..cksum_cap... 15eb0 44022300 0874736f 00000020 9d022308 D.#..tso... ..#. 15ec0 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. 15ed0 000016d2 02230c00 11200000 218a0874 .....#... ..!..t 15ee0 785f7061 636b6574 73000000 166b0223 x_packets....k.# 15ef0 00087278 5f706163 6b657473 00000016 ..rx_packets.... 15f00 6b022304 0874785f 62797465 73000000 k.#..tx_bytes... 15f10 166b0223 08087278 5f627974 65730000 .k.#..rx_bytes.. 15f20 00166b02 230c0874 785f6472 6f707065 ..k.#..tx_droppe 15f30 64000000 166b0223 10087278 5f64726f d....k.#..rx_dro 15f40 70706564 00000016 6b022314 0872785f pped....k.#..rx_ 15f50 6572726f 72730000 00166b02 23180874 errors....k.#..t 15f60 785f6572 726f7273 00000016 6b02231c x_errors....k.#. 15f70 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd 15f80 725f7400 00001cc4 15000021 8a030000 r_t........!.... 15f90 0021af0f 7f001661 64665f6e 65745f63 .!.....adf_net_c 15fa0 6d645f6d 63616464 72000304 000021e6 md_mcaddr.....!. 15fb0 086e656c 656d0000 00166b02 2300086d .nelem....k.#..m 15fc0 63617374 00000021 a1022304 00096164 cast...!..#...ad 15fd0 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i 15fe0 6e666f5f 74000000 1c5e0961 64665f6e nfo_t....^.adf_n 15ff0 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info 16000 5f740000 001ecc09 6164665f 6e65745f _t......adf_net_ 16010 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t 16020 00000020 44096164 665f6e65 745f636d ... D.adf_net_cm 16030 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... 16040 1e900961 64665f6e 65745f63 6d645f64 ...adf_net_cmd_d 16050 6d615f69 6e666f5f 74000000 1f750961 ma_info_t....u.a 16060 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t 16070 0000001c fa096164 665f6e65 745f636d ......adf_net_cm 16080 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. 16090 000020b5 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd 160a0 5f737461 74735f74 00000020 f1096164 _stats_t... ..ad 160b0 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 160c0 5f740000 0021af0c 6164665f 6e65745f _t...!..adf_net_ 160d0 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... 160e0 0023280d 4144465f 4e45545f 4d434153 .#(.ADF_NET_MCAS 160f0 545f5355 5000000d 4144465f 4e45545f T_SUP...ADF_NET_ 16100 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... 16110 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas 16120 745f6361 705f7400 000022e0 17030400 t_cap_t..."..... 16130 0023fa08 6c696e6b 5f696e66 6f000000 .#..link_info... 16140 21e60223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. 16150 00002203 02230008 636b7375 6d5f696e .."..#..cksum_in 16160 666f0000 00222002 23000872 696e675f fo..." .#..ring_ 16170 696e666f 00000022 3e022300 08646d61 info...">.#..dma 16180 5f696e66 6f000000 225b0223 00087669 _info..."[.#..vi 16190 64000000 22770223 00086f66 666c6f61 d..."w.#..offloa 161a0 645f6361 70000000 228e0223 00087374 d_cap..."..#..st 161b0 61747300 000022ad 02230008 6d636173 ats..."..#..mcas 161c0 745f696e 666f0000 0022c602 2300086d t_info..."..#..m 161d0 63617374 5f636170 00000023 28022300 cast_cap...#(.#. 161e0 00130400 0024510d 4144465f 4e425546 .....$Q.ADF_NBUF 161f0 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. 16200 0d414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 16210 554d5f48 5700010d 4144465f 4e425546 UM_HW...ADF_NBUF 16220 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE 16230 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu 16240 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ 16250 74000000 23fa1108 00002491 08726573 t...#.....$..res 16260 756c7400 00002451 02230008 76616c00 ult...$Q.#..val. 16270 0000166b 02230400 11080000 24c10874 ...k.#......$..t 16280 79706500 0000209d 02230008 6d737300 ype... ..#..mss. 16290 00001cfa 02230408 6864725f 6f666600 .....#..hdr_off. 162a0 000016d2 02230600 075f5f61 64665f6e .....#...__adf_n 162b0 6275665f 71686561 64000c00 00250008 buf_qhead....%.. 162c0 68656164 00000014 8b022300 08746169 head......#..tai 162d0 6c000000 148b0223 0408716c 656e0000 l......#..qlen.. 162e0 00166b02 23080009 5f5f6164 665f6e62 ..k.#...__adf_nb 162f0 75665f74 00000014 8b030000 16e10400 uf_t............ 16300 03000016 6b040002 01060000 136d0106 ....k........m.. 16310 0000166b 01060000 16e10106 000016e1 ...k............ 16320 01030000 134e0400 095f5f61 64665f6e .....N...__adf_n 16330 6275665f 71686561 645f7400 000024c1 buf_qhead_t...$. 16340 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu 16350 655f7400 00002541 03000025 59040006 e_t...%A...%Y... 16360 00002500 01060000 25000113 04000026 ..%.....%......& 16370 790d415f 53544154 55535f4f 4b00000d y.A_STATUS_OK... 16380 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. 16390 010d415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN 163a0 5400020d 415f5354 41545553 5f454e4f T...A_STATUS_ENO 163b0 4d454d00 030d415f 53544154 55535f45 MEM...A_STATUS_E 163c0 494e5641 4c00040d 415f5354 41545553 INVAL...A_STATUS 163d0 5f45494e 50524f47 52455353 00050d41 _EINPROGRESS...A 163e0 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP 163f0 00060d41 5f535441 5455535f 45425553 ...A_STATUS_EBUS 16400 5900070d 415f5354 41545553 5f453242 Y...A_STATUS_E2B 16410 49470008 0d415f53 54415455 535f4541 IG...A_STATUS_EA 16420 4444524e 4f544156 41494c00 090d415f DDRNOTAVAIL...A_ 16430 53544154 55535f45 4e58494f 000a0d41 STATUS_ENXIO...A 16440 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. 16450 0d415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... 16460 09615f73 74617475 735f7400 00002584 .a_status_t...%. 16470 06000026 79010600 00011201 02010961 ...&y..........a 16480 64665f6e 6275665f 74000000 25001304 df_nbuf_t...%... 16490 000026de 0d414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ 164a0 544f5f44 45564943 4500000d 4144465f TO_DEVICE...ADF_ 164b0 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI 164c0 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma 164d0 5f646972 5f740000 0026a706 00002679 _dir_t...&....&y 164e0 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama 164f0 705f696e 666f5f74 00000016 88030000 p_info_t........ 16500 26fc0400 02010201 06000026 97010600 &..........&.... 16510 00250001 02010201 06000026 97010600 .%.........&.... 16520 00250001 06000026 97010600 00250001 .%.....&.....%.. 16530 06000026 97010201 02010600 00166b01 ...&..........k. 16540 06000016 e1010201 02010600 001b9801 ................ 16550 0600001a e5010600 001ae501 09616466 .............adf 16560 5f6f735f 73676c69 73745f74 00000017 _os_sglist_t.... 16570 20030000 27750400 02010201 02010600 ...'u.......... 16580 0016e101 09616466 5f6e6275 665f7175 .....adf_nbuf_qu 16590 6575655f 74000000 25590300 00279d04 eue_t...%Y...'.. 165a0 00020103 00002541 04000201 02010201 ......%A........ 165b0 06000026 97010600 00250001 06000016 ...&.....%...... 165c0 6b010600 00166b01 0600001a e5010600 k.....k......... 165d0 001ae501 06000020 01010600 00166b01 ....... ......k. 165e0 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks 165f0 756d5f74 00000024 6f030000 27f90400 um_t...$o...'... 16600 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts 16610 6f5f7400 00002491 03000028 1d040002 o_t...$....(.... 16620 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand 16630 6c655f74 00000004 07096164 665f6e65 le_t......adf_ne 16640 745f766c 616e6864 725f7400 00001e0d t_vlanhdr_t..... 16650 03000028 52040006 00002679 01060000 ...(R.....&y.... 16660 26790102 01020107 5f484946 5f434f4e &y......_HIF_CON 16670 46494700 04000028 a1086475 6d6d7900 FIG....(..dummy. 16680 00000112 02230000 02010300 0028a104 .....#.......(.. 16690 00020103 000028aa 0400075f 4849465f ......(...._HIF_ 166a0 43414c4c 4241434b 000c0000 28ff0873 CALLBACK....(..s 166b0 656e645f 6275665f 646f6e65 00000028 end_buf_done...( 166c0 a3022300 08726563 765f6275 66000000 ..#..recv_buf... 166d0 28ac0223 0408636f 6e746578 74000000 (..#..context... 166e0 04070223 08000968 69665f68 616e646c ...#...hif_handl 166f0 655f7400 00000407 09484946 5f434f4e e_t......HIF_CON 16700 46494700 00002880 03000029 11040006 FIG...(....).... 16710 000028ff 01030000 29280400 02010300 ..(.....)(...... 16720 00293504 00094849 465f4341 4c4c4241 .)5...HIF_CALLBA 16730 434b0000 0028b303 0000293e 04000201 CK...(....)>.... 16740 03000029 57040006 00000112 01030000 ...)W........... 16750 29600400 02010300 00296d04 00060000 )`.......)m..... 16760 01120103 00002976 04000201 03000029 ......)v.......) 16770 83040006 00000112 01030000 298c0400 ............)... 16780 02010300 00299904 00076869 665f6170 .....)....hif_ap 16790 69003800 002af208 5f696e69 74000000 i.8..*.._init... 167a0 292e0223 00085f73 68757464 6f776e00 )..#.._shutdown. 167b0 00002937 02230408 5f726567 69737465 ..)7.#.._registe 167c0 725f6361 6c6c6261 636b0000 00295902 r_callback...)Y. 167d0 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr 167e0 65646974 5f636f75 6e740000 00296602 edit_count...)f. 167f0 230c085f 73746172 74000000 29370223 #.._start...)7.# 16800 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. 16810 00296f02 2314085f 73656e64 5f627566 .)o.#.._send_buf 16820 66657200 0000297c 02231808 5f726574 fer...)|.#.._ret 16830 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) 16840 8502231c 085f6973 5f706970 655f7375 ..#.._is_pipe_su 16850 70706f72 74656400 00002992 02232008 pported...)..# . 16860 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len 16870 00000029 92022324 085f6765 745f7265 ...)..#$._get_re 16880 73657276 65645f68 65616472 6f6f6d00 served_headroom. 16890 00002966 02232808 5f697372 5f68616e ..)f.#(._isr_han 168a0 646c6572 00000029 3702232c 085f6765 dler...)7.#,._ge 168b0 745f6465 6661756c 745f7069 70650000 t_default_pipe.. 168c0 00299b02 23300870 52657365 72766564 .)..#0.pReserved 168d0 00000004 07022334 000c646d 615f656e ......#4..dma_en 168e0 67696e65 00040000 2b7b0d44 4d415f45 gine....+{.DMA_E 168f0 4e47494e 455f5258 3000000d 444d415f NGINE_RX0...DMA_ 16900 454e4749 4e455f52 58310001 0d444d41 ENGINE_RX1...DMA 16910 5f454e47 494e455f 52583200 020d444d _ENGINE_RX2...DM 16920 415f454e 47494e45 5f525833 00030d44 A_ENGINE_RX3...D 16930 4d415f45 4e47494e 455f5458 3000040d MA_ENGINE_TX0... 16940 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. 16950 0d444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. 16960 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. 16970 00002af2 0c646d61 5f696674 79706500 ..*..dma_iftype. 16980 0400002b c80d444d 415f4946 5f474d41 ...+..DMA_IF_GMA 16990 4300000d 444d415f 49465f50 43490001 C...DMA_IF_PCI.. 169a0 0d444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... 169b0 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ 169c0 8d060000 13400103 00002bda 04000201 .....@....+..... 169d0 0300002b e7040002 01030000 2bf00400 ...+........+... 169e0 06000009 72010300 002bf904 00060000 ....r....+...... 169f0 13400103 00002c06 04000600 00134001 .@....,.......@. 16a00 0300002c 13040006 0000148b 01030000 ...,............ 16a10 2c200400 02010300 002c2d04 0007646d , .......,-...dm 16a20 615f6c69 625f6170 69003400 002d3408 a_lib_api.4..-4. 16a30 74785f69 6e697400 00002be0 02230008 tx_init...+..#.. 16a40 74785f73 74617274 0000002b e9022304 tx_start...+..#. 16a50 0872785f 696e6974 0000002b e0022308 .rx_init...+..#. 16a60 0872785f 636f6e66 69670000 002bf202 .rx_config...+.. 16a70 230c0872 785f7374 61727400 00002be9 #..rx_start...+. 16a80 02231008 696e7472 5f737461 74757300 .#..intr_status. 16a90 00002bff 02231408 68617264 5f786d69 ..+..#..hard_xmi 16aa0 74000000 2c0c0223 1808666c 7573685f t...,..#..flush_ 16ab0 786d6974 0000002b e902231c 08786d69 xmit...+..#..xmi 16ac0 745f646f 6e650000 002c1902 23200872 t_done...,..# .r 16ad0 6561705f 786d6974 74656400 00002c26 eap_xmitted...,& 16ae0 02232408 72656170 5f726563 76000000 .#$.reap_recv... 16af0 2c260223 28087265 7475726e 5f726563 ,&.#(.return_rec 16b00 76000000 2c2f0223 2c087265 63765f70 v...,/.#,.recv_p 16b10 6b740000 002c1902 23300007 5f5f7063 kt...,..#0..__pc 16b20 695f736f 66746300 0c00002d 52087377 i_softc....-R.sw 16b30 00000029 3e022300 00095f5f 7063695f ...)>.#...__pci_ 16b40 736f6674 635f7400 00002d34 0300002d softc_t...-4...- 16b50 52040002 01030000 2d6c0400 06000013 R.......-l...... 16b60 2c010300 002d7504 000c6869 665f7063 ,....-u...hif_pc 16b70 695f7069 70655f74 78000400 002dd50d i_pipe_tx....-.. 16b80 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 16b90 00000d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 16ba0 54583100 010d4849 465f5043 495f5049 TX1...HIF_PCI_PI 16bb0 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif 16bc0 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. 16bd0 002d8206 00002b7b 01030000 2dec0400 .-....+{....-... 16be0 0c686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 16bf0 00040000 2e720d48 49465f50 43495f50 .....r.HIF_PCI_P 16c00 4950455f 52583000 000d4849 465f5043 IPE_RX0...HIF_PC 16c10 495f5049 50455f52 58310001 0d484946 I_PIPE_RX1...HIF 16c20 5f504349 5f504950 455f5258 3200020d _PCI_PIPE_RX2... 16c30 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 16c40 00030d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 16c50 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc 16c60 695f7069 70655f72 785f7400 00002df9 i_pipe_rx_t...-. 16c70 0600002b 7b010300 002e8904 00076869 ...+{.........hi 16c80 665f7063 695f6170 69002400 002f6708 f_pci_api.$../g. 16c90 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... 16ca0 010b0223 00087063 695f696e 69740000 ...#..pci_init.. 16cb0 00292e02 23040870 63695f72 65736574 .)..#..pci_reset 16cc0 00000001 0b022308 08706369 5f656e61 ......#..pci_ena 16cd0 626c6500 0000010b 02230c08 7063695f ble......#..pci_ 16ce0 72656170 5f786d69 74746564 0000002d reap_xmitted...- 16cf0 6e022310 08706369 5f726561 705f7265 n.#..pci_reap_re 16d00 63760000 002d6e02 23140870 63695f67 cv...-n.#..pci_g 16d10 65745f70 69706500 00002d7b 02231808 et_pipe...-{.#.. 16d20 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. 16d30 002df202 231c0870 63695f67 65745f72 .-..#..pci_get_r 16d40 785f656e 67000000 2e8f0223 20000767 x_eng......# ..g 16d50 6d61635f 61706900 0400002f 8e08676d mac_api..../..gm 16d60 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... 16d70 0b022300 000e0000 031f0600 002f9b0f ..#........../.. 16d80 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ 16d90 d1086473 74000000 2f8e0223 00087372 ..dst.../..#..sr 16da0 63000000 2f8e0223 06086574 79706500 c.../..#..etype. 16db0 00001340 02230c00 075f5f61 74686864 ...@.#...__athhd 16dc0 72000400 00301f14 72657300 0000132c r....0..res...., 16dd0 01000202 23001470 726f746f 00000013 ....#..proto.... 16de0 2c010206 02230008 7265735f 6c6f0000 ,....#..res_lo.. 16df0 00132c02 23010872 65735f68 69000000 ..,.#..res_hi... 16e00 13400223 0200075f 5f676d61 635f6864 .@.#...__gmac_hd 16e10 72001400 00305b08 65746800 00002f9b r....0[.eth.../. 16e20 02230008 61746800 00002fd1 02230e08 .#..ath.../..#.. 16e30 616c6967 6e5f7061 64000000 13400223 align_pad....@.# 16e40 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. 16e50 0000301f 075f5f67 6d61635f 736f6674 ..0..__gmac_soft 16e60 63002400 0030a508 68647200 0000305b c.$..0..hdr...0[ 16e70 02230008 6772616e 00000013 40022314 .#..gran....@.#. 16e80 08737700 0000293e 02231800 075f415f .sw...)>.#..._A_ 16e90 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check 16ea0 00080000 30de0876 65727369 6f6e0000 ....0..version.. 16eb0 00011202 23000874 61626c65 00000001 ....#..table.... 16ec0 12022304 00030000 30a50400 06000001 ..#.....0....... 16ed0 12010300 0030e504 00030000 040a0400 .....0.......... 16ee0 165f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire 16ef0 6374696f 6e5f7461 626c6500 01b80000 ction_table..... 16f00 32350868 616c5f6c 696e6b61 67655f63 25.hal_linkage_c 16f10 6865636b 00000030 eb022300 08737461 heck...0..#..sta 16f20 72745f62 73730000 0030f202 23040861 rt_bss...0..#..a 16f30 70705f73 74617274 00000001 0b022308 pp_start......#. 16f40 086d656d 00000004 4a02230c 086d6973 .mem....J.#..mis 16f50 63000000 05bd0223 20087072 696e7466 c......# .printf 16f60 00000001 3f022344 08756172 74000000 ....?.#D.uart... 16f70 02080223 4c08676d 61630000 002f6702 ...#L.gmac.../g. 16f80 236c0875 73620000 000fec02 23700863 #l.usb......#p.c 16f90 6c6f636b 0000000b 350323e0 01087469 lock....5.#...ti 16fa0 6d657200 000007d5 03238402 08696e74 mer......#...int 16fb0 72000000 0c850323 98020861 6c6c6f63 r......#...alloc 16fc0 72616d00 0000098d 0323c402 08726f6d ram......#...rom 16fd0 70000000 087f0323 d0020877 64745f74 p......#...wdt_t 16fe0 696d6572 0000000e 620323e0 02086565 imer....b.#...ee 16ff0 70000000 0f900323 fc020873 7472696e p......#...strin 17000 67000000 06e10323 8c030874 61736b6c g......#...taskl 17010 65740000 000a8a03 23a40300 075f5553 et......#...._US 17020 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... 17030 0032a808 6765745f 636f6d6d 616e645f .2..get_command_ 17040 62756600 00001498 02230008 72656376 buf......#..recv 17050 5f636f6d 6d616e64 00000014 ae022304 _command......#. 17060 08676574 5f657665 6e745f62 75660000 .get_event_buf.. 17070 00149802 23080873 656e645f 6576656e ....#..send_even 17080 745f646f 6e650000 0014ae02 230c0009 t_done......#... 17090 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. 170a0 00003235 03000032 a8040002 01030000 ..25...2........ 170b0 32c40400 07757362 6669666f 5f617069 2....usbfifo_api 170c0 000c0000 331a085f 696e6974 00000032 ....3.._init...2 170d0 c6022300 085f656e 61626c65 5f657665 ..#.._enable_eve 170e0 6e745f69 73720000 00010b02 23040870 nt_isr......#..p 170f0 52657365 72766564 00000004 07022308 Reserved......#. 17100 000e0000 16d20200 0033270f 0100075f .........3'...._ 17110 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... 17120 00339908 456e6470 6f696e74 49440000 .3..EndpointID.. 17130 0016d202 23000846 6c616773 00000016 ....#..Flags.... 17140 d2022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. 17150 00001cfa 02230208 436f6e74 726f6c42 .....#..ControlB 17160 79746573 00000033 1a022304 08486f73 ytes...3..#..Hos 17170 74536571 4e756d00 00001cfa 02230600 tSeqNum......#.. 17180 11020000 33b2084d 65737361 67654944 ....3..MessageID 17190 0000001c fa022300 00110800 00341508 ......#......4.. 171a0 4d657373 61676549 44000000 1cfa0223 MessageID......# 171b0 00084372 65646974 436f756e 74000000 ..CreditCount... 171c0 1cfa0223 02084372 65646974 53697a65 ...#..CreditSize 171d0 0000001c fa022304 084d6178 456e6470 ......#..MaxEndp 171e0 6f696e74 73000000 16d20223 06085f50 oints......#.._P 171f0 61643100 000016d2 02230700 110a0000 ad1......#...... 17200 34ac084d 65737361 67654944 0000001c 4..MessageID.... 17210 fa022300 08536572 76696365 49440000 ..#..ServiceID.. 17220 001cfa02 23020843 6f6e6e65 6374696f ....#..Connectio 17230 6e466c61 67730000 001cfa02 23040844 nFlags......#..D 17240 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... 17250 16d20223 06085570 4c696e6b 50697065 ...#..UpLinkPipe 17260 49440000 0016d202 23070853 65727669 ID......#..Servi 17270 63654d65 74614c65 6e677468 00000016 ceMetaLength.... 17280 d2022308 085f5061 64310000 0016d202 ..#.._Pad1...... 17290 23090011 0a000035 34084d65 73736167 #......54.Messag 172a0 65494400 00001cfa 02230008 53657276 eID......#..Serv 172b0 69636549 44000000 1cfa0223 02085374 iceID......#..St 172c0 61747573 00000016 d2022304 08456e64 atus......#..End 172d0 706f696e 74494400 000016d2 02230508 pointID......#.. 172e0 4d61784d 73675369 7a650000 001cfa02 MaxMsgSize...... 172f0 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe 17300 6e677468 00000016 d2022308 085f5061 ngth......#.._Pa 17310 64310000 0016d202 23090011 02000035 d1......#......5 17320 4d084d65 73736167 65494400 00001cfa M.MessageID..... 17330 02230000 11040000 3589084d 65737361 .#......5..Messa 17340 67654944 0000001c fa022300 08506970 geID......#..Pip 17350 65494400 000016d2 02230208 43726564 eID......#..Cred 17360 6974436f 756e7400 000016d2 02230300 itCount......#.. 17370 11040000 35c0084d 65737361 67654944 ....5..MessageID 17380 0000001c fa022300 08506970 65494400 ......#..PipeID. 17390 000016d2 02230208 53746174 75730000 .....#..Status.. 173a0 0016d202 23030011 02000035 e7085265 ....#......5..Re 173b0 636f7264 49440000 0016d202 2300084c cordID......#..L 173c0 656e6774 68000000 16d20223 01001102 ength......#.... 173d0 00003611 08456e64 706f696e 74494400 ..6..EndpointID. 173e0 000016d2 02230008 43726564 69747300 .....#..Credits. 173f0 000016d2 02230100 11040000 36520845 .....#......6R.E 17400 6e64706f 696e7449 44000000 16d20223 ndpointID......# 17410 00084372 65646974 73000000 16d20223 ..Credits......# 17420 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo 17430 0000001c fa022302 000e0000 16d20400 ......#......... 17440 00365f0f 03001106 0000369b 08507265 .6_.......6..Pre 17450 56616c69 64000000 16d20223 00084c6f Valid......#..Lo 17460 6f6b4168 65616400 00003652 02230108 okAhead...6R.#.. 17470 506f7374 56616c69 64000000 16d20223 PostValid......# 17480 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t 17490 00000004 07060000 369b0103 000036ae ........6.....6. 174a0 04000201 03000036 bb040013 04000037 .......6.......7 174b0 390d504f 4f4c5f49 445f4854 435f434f 9.POOL_ID_HTC_CO 174c0 4e54524f 4c00000d 504f4f4c 5f49445f NTROL...POOL_ID_ 174d0 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL 174e0 5900010d 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ 174f0 5356435f 4556454e 5400020d 504f4f4c SVC_EVENT...POOL 17500 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. 17510 030d504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... 17520 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 17530 c4020103 0000374a 04000600 00269701 ......7J.....&.. 17540 03000037 53040006 00002697 01030000 ...7S.....&..... 17550 37600400 02010300 00376d04 00076275 7`.......7m...bu 17560 665f706f 6f6c5f61 7069001c 0000380f f_pool_api....8. 17570 085f696e 69740000 0036b402 2300085f ._init...6..#.._ 17580 73687574 646f776e 00000036 bd022304 shutdown...6..#. 17590 085f6372 65617465 5f706f6f 6c000000 ._create_pool... 175a0 374c0223 08085f61 6c6c6f63 5f627566 7L.#.._alloc_buf 175b0 00000037 5902230c 085f616c 6c6f635f ...7Y.#.._alloc_ 175c0 6275665f 616c6967 6e000000 37660223 buf_align...7f.# 175d0 10085f66 7265655f 62756600 0000376f .._free_buf...7o 175e0 02231408 70526573 65727665 64000000 .#..pReserved... 175f0 04070223 1800075f 4854435f 53455256 ...#..._HTC_SERV 17600 49434500 1c000038 ee08704e 65787400 ICE....8..pNext. 17610 000038ee 02230008 50726f63 65737352 ..8..#..ProcessR 17620 6563764d 73670000 0039a302 23040850 ecvMsg...9..#..P 17630 726f6365 73735365 6e644275 66666572 rocessSendBuffer 17640 436f6d70 6c657465 00000039 ac022308 Complete...9..#. 17650 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. 17660 000039c0 02230c08 53657276 69636549 ..9..#..ServiceI 17670 44000000 13400223 10085365 72766963 D....@.#..Servic 17680 65466c61 67730000 00134002 2312084d eFlags....@.#..M 17690 61785376 634d7367 53697a65 00000013 axSvcMsgSize.... 176a0 40022314 08547261 696c6572 53706343 @.#..TrailerSpcC 176b0 6865636b 4c696d69 74000000 13400223 heckLimit....@.# 176c0 16085365 72766963 65437478 00000004 ..ServiceCtx.... 176d0 07022318 00030000 380f0400 13040000 ..#.....8....... 176e0 398c1845 4e44504f 494e545f 554e5553 9..ENDPOINT_UNUS 176f0 454400ff ffffff0d 454e4450 4f494e54 ED......ENDPOINT 17700 3000000d 454e4450 4f494e54 3100010d 0...ENDPOINT1... 17710 454e4450 4f494e54 3200020d 454e4450 ENDPOINT2...ENDP 17720 4f494e54 3300030d 454e4450 4f494e54 OINT3...ENDPOINT 17730 3400040d 454e4450 4f494e54 3500050d 4...ENDPOINT5... 17740 454e4450 4f494e54 3600060d 454e4450 ENDPOINT6...ENDP 17750 4f494e54 3700070d 454e4450 4f494e54 OINT7...ENDPOINT 17760 3800080d 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX 17770 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT 17780 5f494400 000038f5 02010300 0039a104 _ID...8......9.. 17790 00020103 000039aa 04000300 00011204 ......9......... 177a0 00060000 132c0103 000039ba 04000300 .....,....9..... 177b0 00380f04 00075f48 54435f43 4f4e4649 .8...._HTC_CONFI 177c0 47001400 003a3f08 43726564 69745369 G....:?.CreditSi 177d0 7a650000 00011202 23000843 72656469 ze......#..Credi 177e0 744e756d 62657200 00000112 02230408 tNumber......#.. 177f0 4f534861 6e646c65 0000001a 96022308 OSHandle......#. 17800 08484946 48616e64 6c650000 0028ff02 .HIFHandle...(.. 17810 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... 17820 369b0223 1000075f 4854435f 4255465f 6..#..._HTC_BUF_ 17830 434f4e54 45585400 0200003a 7b08656e CONTEXT....:{.en 17840 645f706f 696e7400 0000132c 02230008 d_point....,.#.. 17850 6874635f 666c6167 73000000 132c0223 htc_flags....,.# 17860 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. 17870 00000407 09485443 5f534554 55505f43 .....HTC_SETUP_C 17880 4f4d504c 4554455f 43420000 00010b09 OMPLETE_CB...... 17890 4854435f 434f4e46 49470000 0039ce03 HTC_CONFIG...9.. 178a0 00003aa8 04000600 003a7b01 0300003a ..:......:{....: 178b0 bf040002 01030000 3acc0400 09485443 ........:....HTC 178c0 5f534552 56494345 00000038 0f030000 _SERVICE...8.... 178d0 3ad50400 02010300 003aed04 00020103 :........:...... 178e0 00003af6 04000201 0300003a ff040006 ..:........:.... 178f0 00000112 01030000 3b080400 07687463 ........;....htc 17900 5f617069 73003400 003c8508 5f485443 _apis.4..<.._HTC 17910 5f496e69 74000000 3ac50223 00085f48 _Init...:..#.._H 17920 54435f53 68757464 6f776e00 00003ace TC_Shutdown...:. 17930 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe 17940 72536572 76696365 0000003a ef022308 rService...:..#. 17950 085f4854 435f5265 61647900 00003ace ._HTC_Ready...:. 17960 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 17970 75666665 72730000 003af802 2310085f uffers...:..#.._ 17980 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 17990 734c6973 74000000 3b010223 14085f48 sList...;..#.._H 179a0 54435f53 656e644d 73670000 003af802 TC_SendMsg...:.. 179b0 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser 179c0 76656448 65616472 6f6f6d00 00003b0e vedHeadroom...;. 179d0 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv 179e0 48616e64 6c657200 000028ac 02232008 Handler...(..# . 179f0 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan 17a00 646c6572 00000028 a3022324 085f4854 dler...(..#$._HT 17a10 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc 17a20 6573734d 73670000 0039a302 2328085f essMsg...9..#(._ 17a30 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr 17a40 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet 17a50 65000000 39ac0223 2c087052 65736572 e...9..#,.pReser 17a60 76656400 00000407 02233000 07686f73 ved......#0..hos 17a70 745f6170 705f6172 65615f73 00040000 t_app_area_s.... 17a80 3cb50877 6d695f70 726f746f 636f6c5f <..wmi_protocol_ 17a90 76657200 0000166b 02230000 110e0000 ver....k.#...... 17aa0 3cec0864 73744d61 63000000 1cb70223 <..dstMac......# 17ab0 00087372 634d6163 0000001c b7022306 ..srcMac......#. 17ac0 08747970 654f724c 656e0000 001cfa02 .typeOrLen...... 17ad0 230c000e 000016d2 0300003c f90f0200 #..........<.... 17ae0 11080000 3d490864 73617000 000016d2 ....=I.dsap..... 17af0 02230008 73736170 00000016 d2022301 .#..ssap......#. 17b00 08636e74 6c000000 16d20223 02086f72 .cntl......#..or 17b10 67436f64 65000000 3cec0223 03086574 gCode...<..#..et 17b20 68657254 79706500 00001cfa 02230600 herType......#.. 17b30 11020000 3d6a0872 73736900 00001c50 ....=j.rssi....P 17b40 02230008 696e666f 00000016 d2022301 .#..info......#. 17b50 00110400 003d9108 636f6d6d 616e6449 .....=..commandI 17b60 64000000 1cfa0223 00087365 714e6f00 d......#..seqNo. 17b70 00001cfa 02230200 0e000016 d2010000 .....#.......... 17b80 3d9e0f00 00110200 003dc508 6d736753 =........=..msgS 17b90 697a6500 000016d2 02230008 6d736744 ize......#..msgD 17ba0 61746100 00003d91 02230100 11080000 ata...=..#...... 17bb0 3e0c0861 64647265 73734c00 00001cfa >..addressL..... 17bc0 02230008 61646472 65737348 0000001c .#..addressH.... 17bd0 fa022302 0876616c 75654c00 00001cfa ..#..valueL..... 17be0 02230408 76616c75 65480000 001cfa02 .#..valueH...... 17bf0 23060009 574d495f 41565400 00003dc5 #...WMI_AVT...=. 17c00 0e00003e 0c080000 3e260f00 00110c00 ...>....>&...... 17c10 003e5d08 7475706c 654e756d 4c000000 .>].tupleNumL... 17c20 1cfa0223 00087475 706c654e 756d4800 ...#..tupleNumH. 17c30 00001cfa 02230208 61767400 00003e19 .....#..avt...>. 17c40 02230400 11010000 3e7f0862 6561636f .#......>..beaco 17c50 6e50656e 64696e67 436f756e 74000000 nPendingCount... 17c60 16d20223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ 17c70 434f4e46 49470010 00003ee8 08487463 CONFIG....>..Htc 17c80 48616e64 6c650000 003a7b02 23000850 Handle...:{.#..P 17c90 6f6f6c48 616e646c 65000000 369b0223 oolHandle...6..# 17ca0 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt 17cb0 73000000 01120223 08084d61 78457665 s......#..MaxEve 17cc0 6e744576 74730000 00011202 230c0002 ntEvts......#... 17cd0 01030000 3ee80400 09574d49 5f434d44 ....>....WMI_CMD 17ce0 5f48414e 444c4552 0000003e ea075f57 _HANDLER...>.._W 17cf0 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR 17d00 59000800 003f5108 70436d64 48616e64 Y....?Q.pCmdHand 17d10 6c657200 00003ef1 02230008 436d6449 ler...>..#..CmdI 17d20 44000000 13400223 0408466c 61677300 D....@.#..Flags. 17d30 00001340 02230600 075f574d 495f4449 ...@.#..._WMI_DI 17d40 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... 17d50 3fb20870 4e657874 0000003f b2022300 ?..pNext...?..#. 17d60 0870436f 6e746578 74000000 04070223 .pContext......# 17d70 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie 17d80 73000000 01120223 08087054 61626c65 s......#..pTable 17d90 0000003f d102230c 00030000 3f510400 ...?..#.....?Q.. 17da0 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN 17db0 54525900 00003f06 0300003f b9040003 TRY...?....?.... 17dc0 00003f51 04000948 54435f42 55465f43 ..?Q...HTC_BUF_C 17dd0 4f4e5445 58540000 003a3f0c 574d495f ONTEXT...:?.WMI_ 17de0 4556545f 434c4153 53000400 00406918 EVT_CLASS....@i. 17df0 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO 17e00 4e4500ff ffffff0d 574d495f 4556545f NE......WMI_EVT_ 17e10 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. 17e20 000d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 17e30 434d445f 5245504c 5900010d 574d495f CMD_REPLY...WMI_ 17e40 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... 17e50 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. 17e60 003ff407 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON 17e70 54455854 000c0000 40c70848 74634275 TEXT....@..HtcBu 17e80 66437478 0000003f df022300 08457665 fCtx...?..#..Eve 17e90 6e74436c 61737300 00004069 02230408 ntClass...@i.#.. 17ea0 466c6167 73000000 13400223 08000977 Flags....@.#...w 17eb0 6d695f68 616e646c 655f7400 00000407 mi_handle_t..... 17ec0 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. 17ed0 00003e7f 03000040 d9040006 000040c7 ..>....@......@. 17ee0 01030000 40f40400 09574d49 5f444953 ....@....WMI_DIS 17ef0 50415443 485f5441 424c4500 00003f51 PATCH_TABLE...?Q 17f00 03000041 01040002 01030000 41200400 ...A........A .. 17f10 06000026 97010300 00412904 00020103 ...&.....A)..... 17f20 00004136 04000600 00011201 03000041 ..A6...........A 17f30 3f040002 01030000 414c0400 06000013 ?.......AL...... 17f40 2c010300 00415504 00075f77 6d695f73 ,....AU..._wmi_s 17f50 76635f61 70697300 2c000042 9d085f57 vc_apis.,..B.._W 17f60 4d495f49 6e697400 000040fa 02230008 MI_Init...@..#.. 17f70 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis 17f80 70617463 68546162 6c650000 00412202 patchTable...A". 17f90 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve 17fa0 6e740000 00412f02 2308085f 574d495f nt...A/.#.._WMI_ 17fb0 53656e64 4576656e 74000000 41380223 SendEvent...A8.# 17fc0 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin 17fd0 67457665 6e747343 6f756e74 00000041 gEventsCount...A 17fe0 45022310 085f574d 495f5365 6e64436f E.#.._WMI_SendCo 17ff0 6d706c65 74654861 6e646c65 72000000 mpleteHandler... 18000 39ac0223 14085f57 4d495f47 6574436f 9..#.._WMI_GetCo 18010 6e74726f 6c457000 00004145 02231808 ntrolEp...AE.#.. 18020 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... 18030 414e0223 1c085f57 4d495f52 6563764d AN.#.._WMI_RecvM 18040 65737361 67654861 6e646c65 72000000 essageHandler... 18050 39a30223 20085f57 4d495f53 65727669 9..# ._WMI_Servi 18060 6365436f 6e6e6563 74000000 415b0223 ceConnect...A[.# 18070 24087052 65736572 76656400 00000407 $.pReserved..... 18080 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. 18090 0000431f 08637472 6c000000 01760223 ..C..ctrl....v.# 180a0 00087374 61747573 00000001 76022302 ..status....v.#. 180b0 08746f74 616c4c65 6e000000 01760223 .totalLen....v.# 180c0 04086461 74615369 7a650000 00017602 ..dataSize....v. 180d0 2306086c 61737441 64647200 0000431f #..lastAddr...C. 180e0 02230808 64617461 41646472 00000001 .#..dataAddr.... 180f0 9a02230c 086e6578 74416464 72000000 ..#..nextAddr... 18100 431f0223 10000300 00429d04 00030000 C..#.....B...... 18110 429d0400 077a7344 6d615175 65756500 B....zsDmaQueue. 18120 08000043 5f086865 61640000 00432602 ...C_.head...C&. 18130 23000874 65726d69 6e61746f 72000000 #..terminator... 18140 43260223 0400077a 73547844 6d615175 C&.#...zsTxDmaQu 18150 65756500 10000043 c3086865 61640000 eue....C..head.. 18160 00432602 23000874 65726d69 6e61746f .C&.#..terminato 18170 72000000 43260223 0408786d 69746564 r...C&.#..xmited 18180 5f627566 5f686561 64000000 148b0223 _buf_head......# 18190 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai 181a0 6c000000 148b0223 0c000201 03000043 l......#.......C 181b0 c3040003 0000432d 04000201 03000043 ......C-.......C 181c0 d3040003 0000435f 04000201 03000043 ......C_.......C 181d0 e3040002 01030000 43ec0400 02010300 ........C....... 181e0 0043f504 00060000 148b0103 000043fe .C............C. 181f0 04000201 03000044 0b040006 0000148b .......D........ 18200 01030000 44140400 02010300 00442104 ....D........D!. 18210 00060000 01120103 0000442a 04000600 ..........D*.... 18220 00432601 03000044 37040002 01030000 .C&....D7....... 18230 44440400 07646d61 5f656e67 696e655f DD...dma_engine_ 18240 61706900 40000045 ba085f69 6e697400 api.@..E.._init. 18250 000043c5 02230008 5f696e69 745f7278 ..C..#.._init_rx 18260 5f717565 75650000 0043d502 2304085f _queue...C..#.._ 18270 696e6974 5f74785f 71756575 65000000 init_tx_queue... 18280 43e50223 08085f63 6f6e6669 675f7278 C..#.._config_rx 18290 5f717565 75650000 0043ee02 230c085f _queue...C..#.._ 182a0 786d6974 5f627566 00000043 f7022310 xmit_buf...C..#. 182b0 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C 182c0 d5022314 085f7265 61705f72 6563765f ..#.._reap_recv_ 182d0 62756600 00004404 02231808 5f726574 buf...D..#.._ret 182e0 75726e5f 72656376 5f627566 00000044 urn_recv_buf...D 182f0 0d02231c 085f7265 61705f78 6d697465 ..#.._reap_xmite 18300 645f6275 66000000 441a0223 20085f73 d_buf...D..# ._s 18310 7761705f 64617461 00000044 23022324 wap_data...D#.#$ 18320 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack 18330 65747300 00004430 02232808 5f646573 ets...D0.#(._des 18340 635f6475 6d700000 0043d502 232c085f c_dump...C..#,._ 18350 6765745f 7061636b 65740000 00443d02 get_packet...D=. 18360 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack 18370 65740000 00444602 2334085f 7075745f et...DF.#4._put_ 18380 7061636b 65740000 00444602 23380870 packet...DF.#8.p 18390 52657365 72766564 00000004 0702233c Reserved......#< 183a0 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 183b0 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. 183c0 0030f909 574d495f 5356435f 41504953 .0..WMI_SVC_APIS 183d0 00000041 62165f41 5f6d6167 7069655f ...Ab._A_magpie_ 183e0 696e6469 72656374 696f6e5f 7461626c indirection_tabl 183f0 6500034c 000046e8 08636d6e 6f730000 e..L..F..cmnos.. 18400 0045ba02 23000864 62670000 0003d403 .E..#..dbg...... 18410 23b80308 68696600 000029a2 0323c003 #...hif...)..#.. 18420 08687463 0000003b 150323f8 0308776d .htc...;..#...wm 18430 695f7376 635f6170 69000000 45dc0323 i_svc_api...E..# 18440 ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. 18450 0032cd03 23d80408 6275665f 706f6f6c .2..#...buf_pool 18460 00000037 760323e4 04087662 75660000 ...7v.#...vbuf.. 18470 0014b503 23800508 76646573 63000000 ....#...vdesc... 18480 13970323 94050861 6c6c6f63 72616d00 ...#...allocram. 18490 0000098d 0323a805 08646d61 5f656e67 .....#...dma_eng 184a0 696e6500 0000444d 0323b405 08646d61 ine...DM.#...dma 184b0 5f6c6962 0000002c 360323f4 05086869 _lib...,6.#...hi 184c0 665f7063 69000000 2e960323 a8060009 f_pci......#.... 184d0 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 184e0 6374696f 6e5f7461 626c655f 74000000 ction_table_t... 184f0 45ee1110 0000473e 08613000 000001af E.....G>.a0..... 18500 02230008 61310000 0001af02 23040861 .#..a1......#..a 18510 32000000 01af0223 08086133 00000001 2......#..a3.... 18520 af02230c 000e0000 470b5000 00474b0f ..#.....G.P..GK. 18530 04000758 54656e73 615f6578 63657074 ...XTensa_except 18540 696f6e5f 6672616d 655f7300 80000048 ion_frame_s....H 18550 2f087874 5f706300 000001af 02230008 /.xt_pc......#.. 18560 78745f70 73000000 01af0223 04087874 xt_ps......#..xt 18570 5f736172 00000001 af022308 0878745f _sar......#..xt_ 18580 76707269 00000001 af02230c 0878745f vpri......#..xt_ 18590 61320000 0001af02 23100878 745f6133 a2......#..xt_a3 185a0 00000001 af022314 0878745f 61340000 ......#..xt_a4.. 185b0 0001af02 23180878 745f6135 00000001 ....#..xt_a5.... 185c0 af02231c 0878745f 65786363 61757365 ..#..xt_exccause 185d0 00000001 af022320 0878745f 6c636f75 ......# .xt_lcou 185e0 6e740000 0001af02 23240878 745f6c62 nt......#$.xt_lb 185f0 65670000 0001af02 23280878 745f6c65 eg......#(.xt_le 18600 6e640000 0001af02 232c0877 62000000 nd......#,.wb... 18610 473e0223 30000943 50555f65 78636570 G>.#0..CPU_excep 18620 74696f6e 5f667261 6d655f74 00000047 tion_frame_t...G 18630 4b110800 00486d08 69737200 00000c59 K....Hm.isr....Y 18640 02230008 6973725f 61726700 00000407 .#..isr_arg..... 18650 02230400 0e000048 4a980000 487a0f12 .#.....HJ...Hz.. 18660 0019636d 6e6f735f 6973725f 696e666f ..cmnos_isr_info 18670 00000048 6d050300 50099001 19636d6e ...Hm...P....cmn 18680 6f735f65 6e61626c 65645f69 6e746572 os_enabled_inter 18690 72757074 73000000 01af0503 00500980 rupts........P.. 186a0 011a0000 01121a00 00011203 000048bf ..............H. 186b0 04000300 000c8504 0002011b 011e636d ..............cm 186c0 6e6f735f 696e7472 5f64756d 6d790000 nos_intr_dummy.. 186d0 0001af01 01039201 20029000 008e2188 ........ .....!. 186e0 008e218d 00004911 1c011e70 5061726d ..!...I....pParm 186f0 00000004 07015200 1d012a63 6d6e6f73 ......R...*cmnos 18700 5f696e74 725f696e 69740001 01039201 _intr_init...... 18710 20029000 008e2190 008e21b6 00004941 .....!...!...IA 18720 1e690000 0001af00 1d013c63 6d6e6f73 .i..........tupleNumL. 1c6b0 00001ca3 02230008 7475706c 654e756d .....#..tupleNum 1c6c0 48000000 1ca30223 02086176 74000000 H......#..avt... 1c6d0 3dc20223 04001201 00003e28 08626561 =..#......>(.bea 1c6e0 636f6e50 656e6469 6e67436f 756e7400 conPendingCount. 1c6f0 0000167b 02230000 075f574d 495f5356 ...{.#..._WMI_SV 1c700 435f434f 4e464947 00100000 3e910848 C_CONFIG....>..H 1c710 74634861 6e646c65 0000003a 24022300 tcHandle...:$.#. 1c720 08506f6f 6c48616e 646c6500 00003644 .PoolHandle...6D 1c730 02230408 4d617843 6d645265 706c7945 .#..MaxCmdReplyE 1c740 76747300 0000010f 02230808 4d617845 vts......#..MaxE 1c750 76656e74 45767473 00000001 0f02230c ventEvts......#. 1c760 00020103 00003e91 04000957 4d495f43 ......>....WMI_C 1c770 4d445f48 414e444c 45520000 003e9307 MD_HANDLER...>.. 1c780 5f574d49 5f444953 50415443 485f454e _WMI_DISPATCH_EN 1c790 54525900 0800003e fa087043 6d644861 TRY....>..pCmdHa 1c7a0 6e646c65 72000000 3e9a0223 0008436d ndler...>..#..Cm 1c7b0 64494400 000012e9 02230408 466c6167 dID......#..Flag 1c7c0 73000000 12e90223 0600075f 574d495f s......#..._WMI_ 1c7d0 44495350 41544348 5f544142 4c450010 DISPATCH_TABLE.. 1c7e0 00003f5b 08704e65 78740000 003f5b02 ..?[.pNext...?[. 1c7f0 23000870 436f6e74 65787400 00000404 #..pContext..... 1c800 02230408 4e756d62 65724f66 456e7472 .#..NumberOfEntr 1c810 69657300 0000010f 02230808 70546162 ies......#..pTab 1c820 6c650000 003f7a02 230c0003 00003efa le...?z.#.....>. 1c830 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ 1c840 454e5452 59000000 3eaf0300 003f6204 ENTRY...>....?b. 1c850 00030000 3efa0400 09485443 5f425546 ....>....HTC_BUF 1c860 5f434f4e 54455854 00000039 e80d574d _CONTEXT...9..WM 1c870 495f4556 545f434c 41535300 04000040 I_EVT_CLASS....@ 1c880 1219574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 1c890 4e4f4e45 00ffffff ff0e574d 495f4556 NONE......WMI_EV 1c8a0 545f434c 4153535f 434d445f 4556454e T_CLASS_CMD_EVEN 1c8b0 5400000e 574d495f 4556545f 434c4153 T...WMI_EVT_CLAS 1c8c0 535f434d 445f5245 504c5900 010e574d S_CMD_REPLY...WM 1c8d0 495f4556 545f434c 4153535f 4d415800 I_EVT_CLASS_MAX. 1c8e0 02000957 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 1c8f0 0000003f 9d075f57 4d495f42 55465f43 ...?.._WMI_BUF_C 1c900 4f4e5445 5854000c 00004070 08487463 ONTEXT....@p.Htc 1c910 42756643 74780000 003f8802 23000845 BufCtx...?..#..E 1c920 76656e74 436c6173 73000000 40120223 ventClass...@..# 1c930 0408466c 61677300 000012e9 02230800 ..Flags......#.. 1c940 09776d69 5f68616e 646c655f 74000000 .wmi_handle_t... 1c950 04040957 4d495f53 56435f43 4f4e4649 ...WMI_SVC_CONFI 1c960 47000000 3e280300 00408204 00060000 G...>(...@...... 1c970 40700103 0000409d 04000957 4d495f44 @p....@....WMI_D 1c980 49535041 5443485f 5441424c 45000000 ISPATCH_TABLE... 1c990 3efa0300 0040aa04 00020103 000040c9 >....@........@. 1c9a0 04000600 00264001 03000040 d2040002 .....&@....@.... 1c9b0 01030000 40df0400 06000001 0f010300 ....@........... 1c9c0 0040e804 00020103 000040f5 04000600 .@........@..... 1c9d0 0012d501 03000040 fe040007 5f776d69 .......@...._wmi 1c9e0 5f737663 5f617069 73002c00 00424608 _svc_apis.,..BF. 1c9f0 5f574d49 5f496e69 74000000 40a30223 _WMI_Init...@..# 1ca00 00085f57 4d495f52 65676973 74657244 .._WMI_RegisterD 1ca10 69737061 74636854 61626c65 00000040 ispatchTable...@ 1ca20 cb022304 085f574d 495f416c 6c6f6345 ..#.._WMI_AllocE 1ca30 76656e74 00000040 d8022308 085f574d vent...@..#.._WM 1ca40 495f5365 6e644576 656e7400 000040e1 I_SendEvent...@. 1ca50 02230c08 5f574d49 5f476574 50656e64 .#.._WMI_GetPend 1ca60 696e6745 76656e74 73436f75 6e740000 ingEventsCount.. 1ca70 0040ee02 2310085f 574d495f 53656e64 .@..#.._WMI_Send 1ca80 436f6d70 6c657465 48616e64 6c657200 CompleteHandler. 1ca90 00003955 02231408 5f574d49 5f476574 ..9U.#.._WMI_Get 1caa0 436f6e74 726f6c45 70000000 40ee0223 ControlEp...@..# 1cab0 18085f57 4d495f53 68757464 6f776e00 .._WMI_Shutdown. 1cac0 000040f7 02231c08 5f574d49 5f526563 ..@..#.._WMI_Rec 1cad0 764d6573 73616765 48616e64 6c657200 vMessageHandler. 1cae0 0000394c 02232008 5f574d49 5f536572 ..9L.# ._WMI_Ser 1caf0 76696365 436f6e6e 65637400 00004104 viceConnect...A. 1cb00 02232408 70526573 65727665 64000000 .#$.pReserved... 1cb10 04040223 2800077a 73446d61 44657363 ...#(..zsDmaDesc 1cb20 00140000 42c80863 74726c00 00000173 ....B..ctrl....s 1cb30 02230008 73746174 75730000 00017302 .#..status....s. 1cb40 23020874 6f74616c 4c656e00 00000173 #..totalLen....s 1cb50 02230408 64617461 53697a65 00000001 .#..dataSize.... 1cb60 73022306 086c6173 74416464 72000000 s.#..lastAddr... 1cb70 42c80223 08086461 74614164 64720000 B..#..dataAddr.. 1cb80 00019702 230c086e 65787441 64647200 ....#..nextAddr. 1cb90 000042c8 02231000 03000042 46040003 ..B..#.....BF... 1cba0 00004246 0400077a 73446d61 51756575 ..BF...zsDmaQueu 1cbb0 65000800 00430808 68656164 00000042 e....C..head...B 1cbc0 cf022300 08746572 6d696e61 746f7200 ..#..terminator. 1cbd0 000042cf 02230400 077a7354 78446d61 ..B..#...zsTxDma 1cbe0 51756575 65001000 00436c08 68656164 Queue....Cl.head 1cbf0 00000042 cf022300 08746572 6d696e61 ...B..#..termina 1cc00 746f7200 000042cf 02230408 786d6974 tor...B..#..xmit 1cc10 65645f62 75665f68 65616400 00001434 ed_buf_head....4 1cc20 02230808 786d6974 65645f62 75665f74 .#..xmited_buf_t 1cc30 61696c00 00001434 02230c00 02010300 ail....4.#...... 1cc40 00436c04 00030000 42d60400 02010300 .Cl.....B....... 1cc50 00437c04 00030000 43080400 02010300 .C|.....C....... 1cc60 00438c04 00020103 00004395 04000201 .C........C..... 1cc70 03000043 9e040006 00001434 01030000 ...C.......4.... 1cc80 43a70400 02010300 0043b404 00060000 C........C...... 1cc90 14340103 000043bd 04000201 03000043 .4....C........C 1cca0 ca040006 0000010f 01030000 43d30400 ............C... 1ccb0 06000042 cf010300 0043e004 00020103 ...B.....C...... 1ccc0 000043ed 04000764 6d615f65 6e67696e ..C....dma_engin 1ccd0 655f6170 69004000 00456308 5f696e69 e_api.@..Ec._ini 1cce0 74000000 436e0223 00085f69 6e69745f t...Cn.#.._init_ 1ccf0 72785f71 75657565 00000043 7e022304 rx_queue...C~.#. 1cd00 085f696e 69745f74 785f7175 65756500 ._init_tx_queue. 1cd10 0000438e 02230808 5f636f6e 6669675f ..C..#.._config_ 1cd20 72785f71 75657565 00000043 9702230c rx_queue...C..#. 1cd30 085f786d 69745f62 75660000 0043a002 ._xmit_buf...C.. 1cd40 2310085f 666c7573 685f786d 69740000 #.._flush_xmit.. 1cd50 00437e02 2314085f 72656170 5f726563 .C~.#.._reap_rec 1cd60 765f6275 66000000 43ad0223 18085f72 v_buf...C..#.._r 1cd70 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. 1cd80 0043b602 231c085f 72656170 5f786d69 .C..#.._reap_xmi 1cd90 7465645f 62756600 000043c3 02232008 ted_buf...C..# . 1cda0 5f737761 705f6461 74610000 0043cc02 _swap_data...C.. 1cdb0 2324085f 6861735f 636f6d70 6c5f7061 #$._has_compl_pa 1cdc0 636b6574 73000000 43d90223 28085f64 ckets...C..#(._d 1cdd0 6573635f 64756d70 00000043 7e02232c esc_dump...C~.#, 1cde0 085f6765 745f7061 636b6574 00000043 ._get_packet...C 1cdf0 e6022330 085f7265 636c6169 6d5f7061 ..#0._reclaim_pa 1ce00 636b6574 00000043 ef022334 085f7075 cket...C..#4._pu 1ce10 745f7061 636b6574 00000043 ef022338 t_packet...C..#8 1ce20 08705265 73657276 65640000 00040402 .pReserved...... 1ce30 233c0009 5f415f63 6d6e6f73 5f696e64 #<.._A_cmnos_ind 1ce40 69726563 74696f6e 5f746162 6c655f74 irection_table_t 1ce50 00000030 a209574d 495f5356 435f4150 ...0..WMI_SVC_AP 1ce60 49530000 00410b17 5f415f6d 61677069 IS...A.._A_magpi 1ce70 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta 1ce80 626c6500 034c0000 46910863 6d6e6f73 ble..L..F..cmnos 1ce90 00000045 63022300 08646267 00000003 ...Ec.#..dbg.... 1cea0 d10323b8 03086869 66000000 294b0323 ..#...hif...)K.# 1ceb0 c0030868 74630000 003abe03 23f80308 ...htc...:..#... 1cec0 776d695f 7376635f 61706900 00004585 wmi_svc_api...E. 1ced0 0323ac04 08757362 6669666f 5f617069 .#...usbfifo_api 1cee0 00000032 760323d8 04086275 665f706f ...2v.#...buf_po 1cef0 6f6c0000 00371f03 23e40408 76627566 ol...7..#...vbuf 1cf00 00000014 5e032380 05087664 65736300 ....^.#...vdesc. 1cf10 00001340 03239405 08616c6c 6f637261 ...@.#...allocra 1cf20 6d000000 09360323 a8050864 6d615f65 m....6.#...dma_e 1cf30 6e67696e 65000000 43f60323 b4050864 ngine...C..#...d 1cf40 6d615f6c 69620000 002bdf03 23f40508 ma_lib...+..#... 1cf50 6869665f 70636900 00002e3f 0323a806 hif_pci....?.#.. 1cf60 00095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 1cf70 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 1cf80 00004597 03000004 47040002 01060000 ..E.....G....... 1cf90 04040103 000046bd 04000b0b 03000046 ......F........F 1cfa0 cb040006 00000404 01030000 46d30400 ............F... 1cfb0 06000001 0f010300 0046e004 001a010a .........F...... 1cfc0 636d6e6f 735f6d65 6d5f696e 69740001 cmnos_mem_init.. 1cfd0 01039201 20029000 008e2318 008e231d .... .....#...#. 1cfe0 1b011663 6d6e6f73 5f6d656d 5f6d6f64 ...cmnos_mem_mod 1cff0 756c655f 696e7374 616c6c00 01010392 ule_install..... 1d000 01200290 00008e23 20008e23 3e1c0116 . .....# ..#>... 1d010 74626c00 000046b4 01520000 0000004b tbl...F..R.....K 1d020 99000200 000b7c04 012f726f 6f742f57 ......|../root/W 1d030 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1d040 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 1d050 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma 1d060 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. 1d070 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 1d080 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 1d090 632f7372 632f636d 6e6f735f 6d697363 c/src/cmnos_misc 1d0a0 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa 1d0b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 1d0c0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 1d0d0 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 1d0e0 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 1d0f0 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 1d100 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 1d110 202d6733 202d4f50 543a7370 61636500 -g3 -OPT:space. 1d120 01000000 6d830201 03000001 09040004 ....m........... 1d130 696e7400 05040463 68617200 07010500 int....char..... 1d140 00011905 00000119 03000001 26040006 ............&... 1d150 00000112 01030000 01320400 07707269 .........2...pri 1d160 6e74665f 61706900 08000001 76085f70 ntf_api.....v._p 1d170 72696e74 665f696e 69740000 00010b02 rintf_init...... 1d180 2300085f 7072696e 74660000 00013802 #.._printf....8. 1d190 23040004 73686f72 7420756e 7369676e #...short unsign 1d1a0 65642069 6e740007 02097569 6e743136 ed int....uint16 1d1b0 5f740000 00017604 6c6f6e67 20756e73 _t....v.long uns 1d1c0 69676e65 6420696e 74000704 0975696e igned int....uin 1d1d0 7433325f 74000000 019a0775 6172745f t32_t......uart_ 1d1e0 6669666f 00080000 02080873 74617274 fifo.......start 1d1f0 5f696e64 65780000 00018c02 23000865 _index......#..e 1d200 6e645f69 6e646578 00000001 8c022302 nd_index......#. 1d210 086f7665 7272756e 5f657272 00000001 .overrun_err.... 1d220 af022304 00077561 72745f61 70690020 ..#...uart_api. 1d230 000002c1 085f7561 72745f69 6e697400 ....._uart_init. 1d240 00000318 02230008 5f756172 745f6368 .....#.._uart_ch 1d250 61725f70 75740000 00033f02 2304085f ar_put....?.#.._ 1d260 75617274 5f636861 725f6765 74000000 uart_char_get... 1d270 03530223 08085f75 6172745f 7374725f .S.#.._uart_str_ 1d280 6f757400 0000035c 02230c08 5f756172 out....\.#.._uar 1d290 745f7461 736b0000 00010b02 2310085f t_task......#.._ 1d2a0 75617274 5f737461 74757300 00000318 uart_status..... 1d2b0 02231408 5f756172 745f636f 6e666967 .#.._uart_config 1d2c0 00000003 65022318 085f7561 72745f68 ....e.#.._uart_h 1d2d0 77696e69 74000000 036e0223 1c000300 winit....n.#.... 1d2e0 00020804 00077561 72745f62 6c6b0010 ......uart_blk.. 1d2f0 00000312 08646562 75675f6d 6f646500 .....debug_mode. 1d300 0000018c 02230008 62617564 00000001 .....#..baud.... 1d310 8c022302 085f7561 72740000 0002c102 ..#.._uart...... 1d320 2304085f 74780000 0001bd02 23080006 #.._tx......#... 1d330 000001af 01030000 03120400 04756e73 .............uns 1d340 69676e65 64206368 61720007 01097569 igned char....ui 1d350 6e74385f 74000000 031f0201 03000003 nt8_t........... 1d360 3d040003 00000330 04000600 00018c01 =......0........ 1d370 03000003 4d040002 01030000 035a0400 ....M........Z.. 1d380 02010300 00036304 00020103 0000036c ......c........l 1d390 04000300 00011904 00060000 01120103 ................ 1d3a0 0000037c 04000744 425f434f 4d4d414e ...|...DB_COMMAN 1d3b0 445f5354 52554354 000c0000 03d40863 D_STRUCT.......c 1d3c0 6d645f73 74720000 00037502 23000868 md_str....u.#..h 1d3d0 656c705f 73747200 00000375 02230408 elp_str....u.#.. 1d3e0 636d645f 66756e63 00000003 82022308 cmd_func......#. 1d3f0 00076462 675f6170 69000800 00040708 ..dbg_api....... 1d400 5f646267 5f696e69 74000000 010b0223 _dbg_init......# 1d410 00085f64 62675f74 61736b00 0000010b .._dbg_task..... 1d420 02230400 0a040004 756e7369 676e6564 .#......unsigned 1d430 20696e74 00070406 00000407 01030000 int............ 1d440 041a0400 0b0b0300 00042804 00060000 ..........(..... 1d450 04070103 00000430 04000600 00011201 .......0........ 1d460 03000004 3d040007 6d656d5f 61706900 ....=...mem_api. 1d470 14000004 ac085f6d 656d5f69 6e697400 ......_mem_init. 1d480 0000010b 02230008 5f6d656d 73657400 .....#.._memset. 1d490 00000420 02230408 5f6d656d 63707900 ... .#.._memcpy. 1d4a0 00000436 02230808 5f6d656d 6d6f7665 ...6.#.._memmove 1d4b0 00000004 3602230c 085f6d65 6d636d70 ....6.#.._memcmp 1d4c0 00000004 43022310 00077265 67697374 ....C.#...regist 1d4d0 65725f64 756d705f 73009000 00051308 er_dump_s....... 1d4e0 74617267 65745f69 64000000 01af0223 target_id......# 1d4f0 00086173 736c696e 65000000 01af0223 ..assline......# 1d500 04087063 00000001 af022308 08626164 ..pc......#..bad 1d510 76616464 72000000 01af0223 0c086578 vaddr......#..ex 1d520 635f6672 616d6500 0000482f 02231000 c_frame...H/.#.. 1d530 03000004 ac040002 01030000 051a0400 ................ 1d540 02010300 00052304 00060000 01120103 ......#......... 1d550 0000052c 04000c68 6f737469 665f7300 ...,...hostif_s. 1d560 04000005 880d4849 465f5553 4200000d ......HIF_USB... 1d570 4849465f 50434945 00010d48 49465f47 HIF_PCIE...HIF_G 1d580 4d414300 020d4849 465f5043 4900030d MAC...HIF_PCI... 1d590 4849465f 4e554d00 040d4849 465f4e4f HIF_NUM...HIF_NO 1d5a0 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. 1d5b0 00053906 00000588 01030000 05960400 ..9............. 1d5c0 06000003 30010300 0005a304 00060000 ....0........... 1d5d0 018c0103 000005b0 0400076d 6973635f ...........misc_ 1d5e0 61706900 24000006 a0085f73 79737465 api.$....._syste 1d5f0 6d5f7265 73657400 0000010b 02230008 m_reset......#.. 1d600 5f6d6163 5f726573 65740000 00010b02 _mac_reset...... 1d610 2304085f 61737366 61696c00 0000051c #.._assfail..... 1d620 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ 1d630 6c6f6164 5f68616e 646c6572 00000005 load_handler.... 1d640 1c02230c 085f7265 706f7274 5f666169 ..#.._report_fai 1d650 6c757265 5f746f5f 686f7374 00000005 lure_to_host.... 1d660 25022310 085f7461 72676574 5f69645f %.#.._target_id_ 1d670 67657400 00000532 02231408 5f69735f get....2.#.._is_ 1d680 686f7374 5f707265 73656e74 00000005 host_present.... 1d690 9c022318 085f6b62 68697400 000005a9 ..#.._kbhit..... 1d6a0 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version 1d6b0 5f676574 00000005 b6022320 00060000 _get......# .... 1d6c0 03750103 000006a0 04000600 00037501 .u............u. 1d6d0 03000006 ad040006 00000112 01030000 ................ 1d6e0 06ba0400 06000001 12010300 0006c704 ................ 1d6f0 00060000 01120103 000006d4 04000773 ...............s 1d700 7472696e 675f6170 69001800 00075a08 tring_api.....Z. 1d710 5f737472 696e675f 696e6974 00000001 _string_init.... 1d720 0b022300 085f7374 72637079 00000006 ..#.._strcpy.... 1d730 a6022304 085f7374 726e6370 79000000 ..#.._strncpy... 1d740 06b30223 08085f73 74726c65 6e000000 ...#.._strlen... 1d750 06c00223 0c085f73 7472636d 70000000 ...#.._strcmp... 1d760 06cd0223 10085f73 74726e63 6d700000 ...#.._strncmp.. 1d770 0006da02 2314000e 0000040a 14000007 ....#........... 1d780 670f0400 095f415f 54494d45 525f5350 g...._A_TIMER_SP 1d790 41434500 0000075a 09415f74 696d6572 ACE....Z.A_timer 1d7a0 5f740000 00076703 0000077b 04000201 _t....g....{.... 1d7b0 03000007 91040002 01030000 079a0400 ................ 1d7c0 09415f48 414e444c 45000000 040a0201 .A_HANDLE....... 1d7d0 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... 1d7e0 07b10300 0007b304 00020103 000007cc ................ 1d7f0 04000774 696d6572 5f617069 00140000 ...timer_api.... 1d800 084b085f 74696d65 725f696e 69740000 .K._timer_init.. 1d810 00010b02 2300085f 74696d65 725f6172 ....#.._timer_ar 1d820 6d000000 07930223 04085f74 696d6572 m......#.._timer 1d830 5f646973 61726d00 0000079c 02230808 _disarm......#.. 1d840 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... 1d850 ce02230c 085f7469 6d65725f 72756e00 ..#.._timer_run. 1d860 0000010b 02231000 09424f4f 4c45414e .....#...BOOLEAN 1d870 00000001 8c060000 084b0103 00000858 .........K.....X 1d880 04000600 00084b01 03000008 65040006 ......K.....e... 1d890 0000084b 01030000 08720400 07726f6d ...K.....r...rom 1d8a0 705f6170 69001000 0008e408 5f726f6d p_api......._rom 1d8b0 705f696e 69740000 00010b02 2300085f p_init......#.._ 1d8c0 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... 1d8d0 085e0223 04085f72 6f6d705f 696e7374 .^.#.._romp_inst 1d8e0 616c6c00 0000086b 02230808 5f726f6d all....k.#.._rom 1d8f0 705f6465 636f6465 00000008 7802230c p_decode....x.#. 1d900 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. 1d910 00000940 08637263 31360000 00018c02 ...@.crc16...... 1d920 2300086c 656e0000 00018c02 2302086c #..len......#..l 1d930 645f6164 64720000 0001af02 23040866 d_addr......#..f 1d940 756e5f61 64647200 000001af 02230808 un_addr......#.. 1d950 7066756e 00000003 4602230c 00076565 pfun....F.#...ee 1d960 705f7265 6469725f 61646472 00040000 p_redir_addr.... 1d970 0972086f 66667365 74000000 018c0223 .r.offset......# 1d980 00087369 7a650000 00018c02 23020009 ..size......#... 1d990 415f5549 4e543332 00000004 0a060000 A_UINT32........ 1d9a0 04070103 00000980 04000761 6c6c6f63 ...........alloc 1d9b0 72616d5f 61706900 0c000009 f108636d ram_api.......cm 1d9c0 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini 1d9d0 74000000 09860223 0008636d 6e6f735f t......#..cmnos_ 1d9e0 616c6c6f 6372616d 00000009 86022304 allocram......#. 1d9f0 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ 1da00 64656275 67000000 010b0223 08000201 debug......#.... 1da10 03000009 f1040009 415f5441 534b4c45 ........A_TASKLE 1da20 545f4655 4e430000 0009f307 5f746173 T_FUNC......_tas 1da30 6b6c6574 00100000 0a520866 756e6300 klet.....R.func. 1da40 000009fa 02230008 61726700 00000407 .....#..arg..... 1da50 02230408 73746174 65000000 01120223 .#..state......# 1da60 08086e65 78740000 000a5202 230c0003 ..next....R.#... 1da70 00000a0e 04000300 000a0e04 0009415f ..............A_ 1da80 7461736b 6c65745f 74000000 0a0e0300 tasklet_t....... 1da90 000a6004 00020103 00000a78 04000201 ..`........x.... 1daa0 0300000a 81040007 7461736b 6c65745f ........tasklet_ 1dab0 61706900 1400000b 16085f74 61736b6c api......._taskl 1dac0 65745f69 6e697400 0000010b 02230008 et_init......#.. 1dad0 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta 1dae0 736b0000 000a7a02 2304085f 7461736b sk....z.#.._task 1daf0 6c65745f 64697361 626c6500 00000a83 let_disable..... 1db00 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch 1db10 6564756c 65000000 0a830223 0c085f74 edule......#.._t 1db20 61736b6c 65745f72 756e0000 00010b02 asklet_run...... 1db30 23100002 01030000 0b160400 06000009 #............... 1db40 72010300 000b1f04 00020103 00000b2c r.............., 1db50 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. 1db60 0c0e085f 636c6f63 6b5f696e 69740000 ..._clock_init.. 1db70 000b1802 2300085f 636c6f63 6b726567 ....#.._clockreg 1db80 735f696e 69740000 00010b02 2304085f s_init......#.._ 1db90 75617274 5f667265 7175656e 63790000 uart_frequency.. 1dba0 000b2502 2308085f 64656c61 795f7573 ..%.#.._delay_us 1dbb0 0000000b 2e02230c 085f776c 616e5f62 ......#.._wlan_b 1dbc0 616e645f 73657400 00000b2e 02231008 and_set......#.. 1dbd0 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge 1dbe0 74000000 0b250223 14085f6d 696c6c69 t....%.#.._milli 1dbf0 7365636f 6e647300 00000b25 02231808 seconds....%.#.. 1dc00 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. 1dc10 00010b02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti 1dc20 636b0000 00010b02 23200006 000001af ck......# ...... 1dc30 01030000 0c0e0400 09415f6f 6c645f69 .........A_old_i 1dc40 6e74725f 74000000 01af0600 000c1b01 ntr_t........... 1dc50 0300000c 2d040002 01030000 0c3a0400 ....-........:.. 1dc60 02010300 000c4304 00060000 01af0103 ......C......... 1dc70 00000c4c 04000941 5f697372 5f740000 ...L...A_isr_t.. 1dc80 000c5202 01030000 0c660400 06000004 ..R......f...... 1dc90 0a010300 000c6f04 00020103 00000c7c ......o........| 1dca0 04000769 6e74725f 61706900 2c00000d ...intr_api.,... 1dcb0 9e085f69 6e74725f 696e6974 00000001 .._intr_init.... 1dcc0 0b022300 085f696e 74725f69 6e766f6b ..#.._intr_invok 1dcd0 655f6973 72000000 0c140223 04085f69 e_isr......#.._i 1dce0 6e74725f 64697361 626c6500 00000c33 ntr_disable....3 1dcf0 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor 1dd00 65000000 0c3c0223 0c085f69 6e74725f e....<.#.._intr_ 1dd10 6d61736b 5f696e75 6d000000 0c450223 mask_inum....E.# 1dd20 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i 1dd30 6e756d00 00000c45 02231408 5f696e74 num....E.#.._int 1dd40 725f6174 74616368 5f697372 0000000c r_attach_isr.... 1dd50 68022318 085f6765 745f696e 7472656e h.#.._get_intren 1dd60 61626c65 0000000c 7502231c 085f7365 able....u.#.._se 1dd70 745f696e 7472656e 61626c65 0000000c t_intrenable.... 1dd80 7e022320 085f6765 745f696e 74727065 ~.# ._get_intrpe 1dd90 6e64696e 67000000 0c750223 24085f75 nding....u.#$._u 1dda0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 1ddb0 766c0000 00010b02 23280010 0400000d vl......#(...... 1ddc0 c4087469 6d656f75 74000000 01af0223 ..timeout......# 1ddd0 00086163 74696f6e 00000001 af022300 ..action......#. 1dde0 00110800 000ddf08 636d6400 000001af ........cmd..... 1ddf0 02230012 00000d9e 02230400 09545f57 .#.......#...T_W 1de00 44545f43 4d440000 000dc402 01030000 DT_CMD.......... 1de10 0dee0400 13040000 0e440d45 4e554d5f .........D.ENUM_ 1de20 5744545f 424f4f54 00010d45 4e554d5f WDT_BOOT...ENUM_ 1de30 434f4c44 5f424f4f 5400020d 454e554d COLD_BOOT...ENUM 1de40 5f535553 505f424f 4f540003 0d454e55 _SUSP_BOOT...ENU 1de50 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. 1de60 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... 1de70 0df70600 000e4401 0300000e 55040007 ......D.....U... 1de80 7764745f 61706900 1c00000e f9085f77 wdt_api......._w 1de90 64745f69 6e697400 0000010b 02230008 dt_init......#.. 1dea0 5f776474 5f656e61 626c6500 0000010b _wdt_enable..... 1deb0 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable 1dec0 00000001 0b022308 085f7764 745f7365 ......#.._wdt_se 1ded0 74000000 0df00223 0c085f77 64745f74 t......#.._wdt_t 1dee0 61736b00 0000010b 02231008 5f776474 ask......#.._wdt 1def0 5f726573 65740000 00010b02 2314085f _reset......#.._ 1df00 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... 1df10 0e5b0223 18001304 00000f60 0d524554 .[.#.......`.RET 1df20 5f535543 43455353 00000d52 45545f4e _SUCCESS...RET_N 1df30 4f545f49 4e495400 010d5245 545f4e4f OT_INIT...RET_NO 1df40 545f4558 49535400 020d5245 545f4545 T_EXIST...RET_EE 1df50 505f434f 52525550 5400030d 5245545f P_CORRUPT...RET_ 1df60 4545505f 4f564552 464c4f57 00040d52 EEP_OVERFLOW...R 1df70 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ 1df80 4545505f 52455400 00000ef9 03000001 EEP_RET......... 1df90 8c040006 00000f60 01030000 0f760400 .......`.....v.. 1dfa0 0600000f 60010300 000f8304 00076565 ....`.........ee 1dfb0 705f6170 69001000 000fec08 5f656570 p_api......._eep 1dfc0 5f696e69 74000000 010b0223 00085f65 _init......#.._e 1dfd0 65705f72 65616400 00000f7c 02230408 ep_read....|.#.. 1dfe0 5f656570 5f777269 74650000 000f7c02 _eep_write....|. 1dff0 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist 1e000 0000000f 8902230c 00077573 625f6170 ......#...usb_ap 1e010 69007000 00129908 5f757362 5f696e69 i.p....._usb_ini 1e020 74000000 010b0223 00085f75 73625f72 t......#.._usb_r 1e030 6f6d5f74 61736b00 0000010b 02230408 om_task......#.. 1e040 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... 1e050 0b022308 085f7573 625f696e 69745f70 ..#.._usb_init_p 1e060 68790000 00010b02 230c085f 7573625f hy......#.._usb_ 1e070 6570305f 73657475 70000000 010b0223 ep0_setup......# 1e080 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... 1e090 010b0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r 1e0a0 78000000 010b0223 18085f75 73625f67 x......#.._usb_g 1e0b0 65745f69 6e746572 66616365 00000008 et_interface.... 1e0c0 6b02231c 085f7573 625f7365 745f696e k.#.._usb_set_in 1e0d0 74657266 61636500 0000086b 02232008 terface....k.# . 1e0e0 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu 1e0f0 72617469 6f6e0000 00086b02 2324085f ration....k.#$._ 1e100 7573625f 7365745f 636f6e66 69677572 usb_set_configur 1e110 6174696f 6e000000 086b0223 28085f75 ation....k.#(._u 1e120 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. 1e130 0000086b 02232c08 5f757362 5f76656e ...k.#,._usb_ven 1e140 646f725f 636d6400 0000010b 02233008 dor_cmd......#0. 1e150 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. 1e160 00010b02 2334085f 7573625f 72657365 ....#4._usb_rese 1e170 745f6669 666f0000 00010b02 2338085f t_fifo......#8._ 1e180 7573625f 67656e5f 77647400 0000010b usb_gen_wdt..... 1e190 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo 1e1a0 6f740000 00010b02 2340085f 7573625f ot......#@._usb_ 1e1b0 636c725f 66656174 75726500 0000086b clr_feature....k 1e1c0 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea 1e1d0 74757265 00000008 6b022348 085f7573 ture....k.#H._us 1e1e0 625f7365 745f6164 64726573 73000000 b_set_address... 1e1f0 086b0223 4c085f75 73625f67 65745f64 .k.#L._usb_get_d 1e200 65736372 6970746f 72000000 086b0223 escriptor....k.# 1e210 50085f75 73625f67 65745f73 74617475 P._usb_get_statu 1e220 73000000 086b0223 54085f75 73625f73 s....k.#T._usb_s 1e230 65747570 5f646573 63000000 010b0223 etup_desc......# 1e240 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. 1e250 00010b02 235c085f 7573625f 73746174 ....#\._usb_stat 1e260 75735f69 6e000000 010b0223 60085f75 us_in......#`._u 1e270 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. 1e280 00010b02 2364085f 7573625f 6570305f ....#d._usb_ep0_ 1e290 72785f64 61746100 0000010b 02236808 rx_data......#h. 1e2a0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... 1e2b0 010b0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. 1e2c0 00132508 6e657874 5f646573 63000000 ..%.next_desc... 1e2d0 13250223 00086275 665f6164 64720000 .%.#..buf_addr.. 1e2e0 00133902 23040862 75665f73 697a6500 ..9.#..buf_size. 1e2f0 00001340 02230808 64617461 5f6f6666 ...@.#..data_off 1e300 73657400 00001340 02230a08 64617461 set....@.#..data 1e310 5f73697a 65000000 13400223 0c08636f _size....@.#..co 1e320 6e74726f 6c000000 13400223 0e086877 ntrol....@.#..hw 1e330 5f646573 635f6275 66000000 134e0223 _desc_buf....N.# 1e340 10000300 00129904 0009415f 55494e54 ..........A_UINT 1e350 38000000 031f0300 00132c04 0009415f 8.........,...A_ 1e360 55494e54 31360000 0001760e 0000132c UINT16....v...., 1e370 14000013 5b0f1300 03000012 99040009 ....[........... 1e380 56444553 43000000 12990300 00136204 VDESC.........b. 1e390 00060000 136d0103 00001374 04000600 .....m.....t.... 1e3a0 00133901 03000013 81040002 01030000 ..9............. 1e3b0 138e0400 07766465 73635f61 70690014 .....vdesc_api.. 1e3c0 00001406 085f696e 69740000 000b2e02 ....._init...... 1e3d0 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. 1e3e0 0000137a 02230408 5f676574 5f68775f ...z.#.._get_hw_ 1e3f0 64657363 00000013 87022308 085f7377 desc......#.._sw 1e400 61705f76 64657363 00000013 9002230c ap_vdesc......#. 1e410 08705265 73657276 65640000 00040702 .pReserved...... 1e420 23100007 5f564255 46002000 00146608 #..._VBUF. ...f. 1e430 64657363 5f6c6973 74000000 136d0223 desc_list....m.# 1e440 00086e65 78745f62 75660000 00146602 ..next_buf....f. 1e450 23040862 75665f6c 656e6774 68000000 #..buf_length... 1e460 13400223 08087265 73657276 65640000 .@.#..reserved.. 1e470 00146d02 230a0863 74780000 00134e02 ..m.#..ctx....N. 1e480 230c0003 00001406 04000e00 00132c02 #.............,. 1e490 0000147a 0f010003 00001406 04000956 ...z...........V 1e4a0 42554600 00001406 03000014 81040006 BUF............. 1e4b0 0000148b 01030000 14920400 06000014 ................ 1e4c0 8b010300 00149f04 00020103 000014ac ................ 1e4d0 04000776 6275665f 61706900 14000015 ...vbuf_api..... 1e4e0 2a085f69 6e697400 00000b2e 02230008 *._init......#.. 1e4f0 5f616c6c 6f635f76 62756600 00001498 _alloc_vbuf..... 1e500 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 1e510 77697468 5f73697a 65000000 14a50223 with_size......# 1e520 08085f66 7265655f 76627566 00000014 .._free_vbuf.... 1e530 ae02230c 08705265 73657276 65640000 ..#..pReserved.. 1e540 00040702 23100007 5f5f6164 665f6465 ....#...__adf_de 1e550 76696365 00040000 154c0864 756d6d79 vice.....L.dummy 1e560 00000001 12022300 00030000 09720400 ......#......r.. 1e570 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. 1e580 00001593 08627566 00000014 8b022300 .....buf......#. 1e590 0864735f 61646472 00000015 4c022304 .ds_addr....L.#. 1e5a0 0864735f 6c656e00 00001340 02230800 .ds_len....@.#.. 1e5b0 110c0000 15cd085f 5f76615f 73746b00 .......__va_stk. 1e5c0 00000375 02230008 5f5f7661 5f726567 ...u.#..__va_reg 1e5d0 00000003 75022304 085f5f76 615f6e64 ....u.#..__va_nd 1e5e0 78000000 01120223 0800095f 5f616466 x......#...__adf 1e5f0 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. 1e600 00097209 6164665f 6f735f64 6d615f61 ..r.adf_os_dma_a 1e610 6464725f 74000000 15cd095f 5f616466 ddr_t......__adf 1e620 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. 1e630 00097209 6164665f 6f735f64 6d615f73 ..r.adf_os_dma_s 1e640 697a655f 74000000 15fd075f 5f646d61 ize_t......__dma 1e650 5f736567 73000800 00165908 70616464 _segs.....Y.padd 1e660 72000000 15e60223 00086c65 6e000000 r......#..len... 1e670 16160223 0400095f 5f615f75 696e7433 ...#...__a_uint3 1e680 325f7400 00000972 09615f75 696e7433 2_t....r.a_uint3 1e690 325f7400 00001659 0e000016 2d080000 2_t....Y....-... 1e6a0 16880f00 00076164 665f6f73 5f646d61 ......adf_os_dma 1e6b0 6d61705f 696e666f 000c0000 16c1086e map_info.......n 1e6c0 73656773 00000016 6b022300 08646d61 segs....k.#..dma 1e6d0 5f736567 73000000 167b0223 0400095f _segs....{.#..._ 1e6e0 5f615f75 696e7438 5f740000 00132c09 _a_uint8_t....,. 1e6f0 615f7569 6e74385f 74000000 16c10300 a_uint8_t....... 1e700 0016d204 00075f5f 73675f73 65677300 ......__sg_segs. 1e710 08000017 13087661 64647200 000016e1 ......vaddr..... 1e720 02230008 6c656e00 0000166b 02230400 .#..len....k.#.. 1e730 0e000016 e8200000 17200f03 00076164 ..... ... ....ad 1e740 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... 1e750 53086e73 65677300 0000166b 02230008 S.nsegs....k.#.. 1e760 73675f73 65677300 00001713 02230400 sg_segs......#.. 1e770 11100000 179c0876 656e646f 72000000 .......vendor... 1e780 166b0223 00086465 76696365 00000016 .k.#..device.... 1e790 6b022304 08737562 76656e64 6f720000 k.#..subvendor.. 1e7a0 00166b02 23080873 75626465 76696365 ..k.#..subdevice 1e7b0 00000016 6b02230c 00046c6f 6e67206c ....k.#...long l 1e7c0 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 1e7d0 00070809 415f5549 4e543634 00000017 ....A_UINT64.... 1e7e0 9c095f5f 615f7569 6e743634 5f740000 ..__a_uint64_t.. 1e7f0 0017b609 615f7569 6e743634 5f740000 ....a_uint64_t.. 1e800 0017c413 04000018 220d4144 465f4f53 ........".ADF_OS 1e810 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M 1e820 454d0000 0d414446 5f4f535f 5245534f EM...ADF_OS_RESO 1e830 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... 1e840 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 1e850 74797065 5f740000 0017e611 18000018 type_t.......... 1e860 6c087374 61727400 000017d6 02230008 l.start......#.. 1e870 656e6400 000017d6 02230808 74797065 end......#..type 1e880 00000018 22022310 00096164 665f6f73 ....".#...adf_os 1e890 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... 1e8a0 17530300 00186c04 00100400 0018ab08 .S....l......... 1e8b0 70636900 00001885 02230008 72617700 pci......#..raw. 1e8c0 00000407 02230000 10100000 18ca0870 .....#.........p 1e8d0 63690000 00186c02 23000872 61770000 ci....l.#..raw.. 1e8e0 00040702 23000009 6164665f 6472765f ....#...adf_drv_ 1e8f0 68616e64 6c655f74 00000004 07096164 handle_t......ad 1e900 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. 1e910 0000183e 03000018 e0040009 6164665f ...>........adf_ 1e920 6f735f61 74746163 685f6461 74615f74 os_attach_data_t 1e930 00000018 ab030000 18fe0400 03000015 ................ 1e940 2a040009 5f5f6164 665f6f73 5f646576 *...__adf_os_dev 1e950 6963655f 74000000 191f0961 64665f6f ice_t......adf_o 1e960 735f6465 76696365 5f740000 00192606 s_device_t....&. 1e970 000018ca 01030000 19520400 02010300 .........R...... 1e980 00195f04 00096164 665f6f73 5f706d5f .._...adf_os_pm_ 1e990 74000000 04070201 03000019 79040013 t...........y... 1e9a0 04000019 b90d4144 465f4f53 5f425553 ......ADF_OS_BUS 1e9b0 5f545950 455f5043 4900010d 4144465f _TYPE_PCI...ADF_ 1e9c0 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE 1e9d0 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu 1e9e0 735f7479 70655f74 00000019 82096164 s_type_t......ad 1e9f0 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat 1ea00 615f7400 0000188c 03000003 1f040007 a_t............. 1ea10 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 1ea20 001a9608 6472765f 61747461 63680000 ....drv_attach.. 1ea30 00195802 23000864 72765f64 65746163 ..X.#..drv_detac 1ea40 68000000 19610223 04086472 765f7375 h....a.#..drv_su 1ea50 7370656e 64000000 197b0223 08086472 spend....{.#..dr 1ea60 765f7265 73756d65 00000019 6102230c v_resume....a.#. 1ea70 08627573 5f747970 65000000 19b90223 .bus_type......# 1ea80 10086275 735f6461 74610000 0019d002 ..bus_data...... 1ea90 2314086d 6f645f6e 616d6500 000019eb #..mod_name..... 1eaa0 02231808 69666e61 6d650000 0019eb02 .#..ifname...... 1eab0 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl 1eac0 655f7400 00000407 03000016 c1040002 e_t............. 1ead0 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 1eae0 655f7400 0000040a 13040000 1ae50d41 e_t............A 1eaf0 5f46414c 53450000 0d415f54 52554500 _FALSE...A_TRUE. 1eb00 01000961 5f626f6f 6c5f7400 00001acb ...a_bool_t..... 1eb10 03000015 53040009 5f5f6164 665f6f73 ....S...__adf_os 1eb20 5f646d61 5f6d6170 5f740000 001af302 _dma_map_t...... 1eb30 010c6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 1eb40 796e6300 0400001b 7d0d4144 465f5359 ync.....}.ADF_SY 1eb50 4e435f50 52455245 41440000 0d414446 NC_PREREAD...ADF 1eb60 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 1eb70 0d414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 1eb80 41440001 0d414446 5f53594e 435f504f AD...ADF_SYNC_PO 1eb90 53545752 49544500 03000961 64665f6f STWRITE....adf_o 1eba0 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 1ebb0 001b1402 01096164 665f6f73 5f73697a ......adf_os_siz 1ebc0 655f7400 00001ab6 0600001b 98010961 e_t............a 1ebd0 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 1ebe0 00001afa 0300001b b1040006 00000407 ................ 1ebf0 01030000 1afa0400 06000004 07010201 ................ 1ec00 06000015 e6010201 0473686f 72742069 .........short i 1ec10 6e740005 0209415f 494e5431 36000000 nt....A_INT16... 1ec20 1beb095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. 1ec30 001bf809 615f696e 7431365f 74000000 ....a_int16_t... 1ec40 1c050473 69676e65 64206368 61720005 ...signed char.. 1ec50 0109415f 494e5438 0000001c 25095f5f ..A_INT8....%.__ 1ec60 615f696e 74385f74 0000001c 3409615f a_int8_t....4.a_ 1ec70 696e7438 5f740000 001c4011 0c00001c int8_t....@..... 1ec80 b7087375 70706f72 74656400 0000166b ..supported....k 1ec90 02230008 61647665 7274697a 65640000 .#..advertized.. 1eca0 00166b02 23040873 70656564 0000001c ..k.#..speed.... 1ecb0 16022308 08647570 6c657800 00001c50 ..#..duplex....P 1ecc0 02230a08 6175746f 6e656700 000016d2 .#..autoneg..... 1ecd0 02230b00 0e000016 d2060000 1cc40f05 .#.............. 1ece0 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd 1ecf0 72000600 001ce808 61646472 0000001c r.......addr.... 1ed00 b7022300 00095f5f 615f7569 6e743136 ..#...__a_uint16 1ed10 5f740000 00134009 615f7569 6e743136 _t....@.a_uint16 1ed20 5f740000 001ce811 0e00001d 4c086574 _t..........L.et 1ed30 6865725f 64686f73 74000000 1cb70223 her_dhost......# 1ed40 00086574 6865725f 73686f73 74000000 ..ether_shost... 1ed50 1cb70223 06086574 6865725f 74797065 ...#..ether_type 1ed60 0000001c fa02230c 00111400 001e0d14 ......#......... 1ed70 69705f76 65727369 6f6e0000 0016d201 ip_version...... 1ed80 00040223 00146970 5f686c00 000016d2 ...#..ip_hl..... 1ed90 01040402 23000869 705f746f 73000000 ....#..ip_tos... 1eda0 16d20223 01086970 5f6c656e 0000001c ...#..ip_len.... 1edb0 fa022302 0869705f 69640000 001cfa02 ..#..ip_id...... 1edc0 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. 1edd0 001cfa02 23060869 705f7474 6c000000 ....#..ip_ttl... 1ede0 16d20223 08086970 5f70726f 746f0000 ...#..ip_proto.. 1edf0 0016d202 23090869 705f6368 65636b00 ....#..ip_check. 1ee00 00001cfa 02230a08 69705f73 61646472 .....#..ip_saddr 1ee10 00000016 6b02230c 0869705f 64616464 ....k.#..ip_dadd 1ee20 72000000 166b0223 10000761 64665f6e r....k.#...adf_n 1ee30 65745f76 6c616e68 64720004 00001e5f et_vlanhdr....._ 1ee40 08747069 64000000 1cfa0223 00147072 .tpid......#..pr 1ee50 696f0000 0016d201 00030223 02146366 io.........#..cf 1ee60 69000000 16d20103 01022302 14766964 i.........#..vid 1ee70 0000001c fa02040c 02230200 07616466 .........#...adf 1ee80 5f6e6574 5f766964 00020000 1e901472 _net_vid.......r 1ee90 65730000 0016d201 00040223 00147661 es.........#..va 1eea0 6c000000 1cfa0204 0c022300 00110c00 l.........#..... 1eeb0 001ecc08 72785f62 75667369 7a650000 ....rx_bufsize.. 1eec0 00166b02 23000872 785f6e64 65736300 ..k.#..rx_ndesc. 1eed0 0000166b 02230408 74785f6e 64657363 ...k.#..tx_ndesc 1eee0 00000016 6b022308 00110800 001ef208 ....k.#......... 1eef0 706f6c6c 65640000 001ae502 23000870 polled......#..p 1ef00 6f6c6c5f 77740000 00166b02 2304000e oll_wt....k.#... 1ef10 000016d2 4000001e ff0f3f00 11460000 ....@.....?..F.. 1ef20 1f270869 665f6e61 6d650000 001ef202 .'.if_name...... 1ef30 23000864 65765f61 64647200 00001cb7 #..dev_addr..... 1ef40 02234000 13040000 1f5e0d41 44465f4f .#@......^.ADF_O 1ef50 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT 1ef60 00000d41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 1ef70 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ 1ef80 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... 1ef90 1f270761 64665f64 6d615f69 6e666f00 .'.adf_dma_info. 1efa0 0800001f ab08646d 615f6d61 736b0000 ......dma_mask.. 1efb0 001f5e02 23000873 675f6e73 65677300 ..^.#..sg_nsegs. 1efc0 0000166b 02230400 13040000 20010d41 ...k.#...... ..A 1efd0 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON 1efe0 4500000d 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU 1eff0 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. 1f000 0d414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 1f010 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a 1f020 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ 1f030 655f7400 00001fab 11080000 20440874 e_t......... D.t 1f040 785f636b 73756d00 00002001 02230008 x_cksum... ..#.. 1f050 72785f63 6b73756d 00000020 01022304 rx_cksum... ..#. 1f060 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 1f070 696e666f 5f740000 00201b13 04000020 info_t... ..... 1f080 9d0d4144 465f4e45 545f5453 4f5f4e4f ..ADF_NET_TSO_NO 1f090 4e450000 0d414446 5f4e4554 5f54534f NE...ADF_NET_TSO 1f0a0 5f495056 3400010d 4144465f 4e45545f _IPV4...ADF_NET_ 1f0b0 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n 1f0c0 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... 1f0d0 205e1110 000020f1 08636b73 756d5f63 ^.... ..cksum_c 1f0e0 61700000 00204402 23000874 736f0000 ap... D.#..tso.. 1f0f0 00209d02 23080876 6c616e5f 73757070 . ..#..vlan_supp 1f100 6f727465 64000000 16d20223 0c001120 orted......#... 1f110 0000218a 0874785f 7061636b 65747300 ..!..tx_packets. 1f120 0000166b 02230008 72785f70 61636b65 ...k.#..rx_packe 1f130 74730000 00166b02 23040874 785f6279 ts....k.#..tx_by 1f140 74657300 0000166b 02230808 72785f62 tes....k.#..rx_b 1f150 79746573 00000016 6b02230c 0874785f ytes....k.#..tx_ 1f160 64726f70 70656400 0000166b 02231008 dropped....k.#.. 1f170 72785f64 726f7070 65640000 00166b02 rx_dropped....k. 1f180 23140872 785f6572 726f7273 00000016 #..rx_errors.... 1f190 6b022318 0874785f 6572726f 72730000 k.#..tx_errors.. 1f1a0 00166b02 231c0009 6164665f 6e65745f ..k.#...adf_net_ 1f1b0 65746861 6464725f 74000000 1cc41500 ethaddr_t....... 1f1c0 00218a03 00000021 af0f7f00 16616466 .!.....!.....adf 1f1d0 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. 1f1e0 03040000 21e6086e 656c656d 00000016 ....!..nelem.... 1f1f0 6b022300 086d6361 73740000 0021a102 k.#..mcast...!.. 1f200 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ 1f210 6c696e6b 5f696e66 6f5f7400 00001c5e link_info_t....^ 1f220 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol 1f230 6c5f696e 666f5f74 0000001e cc096164 l_info_t......ad 1f240 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ 1f250 696e666f 5f740000 00204409 6164665f info_t... D.adf_ 1f260 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf 1f270 6f5f7400 00001e90 09616466 5f6e6574 o_t......adf_net 1f280 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. 1f290 00001f75 09616466 5f6e6574 5f636d64 ...u.adf_net_cmd 1f2a0 5f766964 5f740000 001cfa09 6164665f _vid_t......adf_ 1f2b0 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ 1f2c0 6361705f 74000000 20b50961 64665f6e cap_t... ..adf_n 1f2d0 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. 1f2e0 0020f109 6164665f 6e65745f 636d645f . ..adf_net_cmd_ 1f2f0 6d636164 64725f74 00000021 af0c6164 mcaddr_t...!..ad 1f300 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 1f310 63617000 04000023 280d4144 465f4e45 cap....#(.ADF_NE 1f320 545f4d43 4153545f 53555000 000d4144 T_MCAST_SUP...AD 1f330 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS 1f340 55500001 00096164 665f6e65 745f636d UP....adf_net_cm 1f350 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... 1f360 22e01703 04000023 fa086c69 6e6b5f69 "......#..link_i 1f370 6e666f00 000021e6 02230008 706f6c6c nfo...!..#..poll 1f380 5f696e66 6f000000 22030223 0008636b _info..."..#..ck 1f390 73756d5f 696e666f 00000022 20022300 sum_info..." .#. 1f3a0 0872696e 675f696e 666f0000 00223e02 .ring_info...">. 1f3b0 23000864 6d615f69 6e666f00 0000225b #..dma_info..."[ 1f3c0 02230008 76696400 00002277 02230008 .#..vid..."w.#.. 1f3d0 6f66666c 6f61645f 63617000 0000228e offload_cap...". 1f3e0 02230008 73746174 73000000 22ad0223 .#..stats..."..# 1f3f0 00086d63 6173745f 696e666f 00000022 ..mcast_info..." 1f400 c6022300 086d6361 73745f63 61700000 ..#..mcast_cap.. 1f410 00232802 23000013 04000024 510d4144 .#(.#......$Q.AD 1f420 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 1f430 4e4f4e45 00000d41 44465f4e 4255465f NONE...ADF_NBUF_ 1f440 52585f43 4b53554d 5f485700 010d4144 RX_CKSUM_HW...AD 1f450 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 1f460 554e4e45 43455353 41525900 02000961 UNNECESSARY....a 1f470 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 1f480 5f747970 655f7400 000023fa 11080000 _type_t...#..... 1f490 24910872 6573756c 74000000 24510223 $..result...$Q.# 1f4a0 00087661 6c000000 166b0223 04001108 ..val....k.#.... 1f4b0 000024c1 08747970 65000000 209d0223 ..$..type... ..# 1f4c0 00086d73 73000000 1cfa0223 04086864 ..mss......#..hd 1f4d0 725f6f66 66000000 16d20223 0600075f r_off......#..._ 1f4e0 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. 1f4f0 0c000025 00086865 61640000 00148b02 ...%..head...... 1f500 23000874 61696c00 0000148b 02230408 #..tail......#.. 1f510 716c656e 00000016 6b022308 00095f5f qlen....k.#...__ 1f520 6164665f 6e627566 5f740000 00148b03 adf_nbuf_t...... 1f530 000016e1 04000300 00166b04 00020106 ..........k..... 1f540 0000136d 01060000 166b0106 000016e1 ...m.....k...... 1f550 01060000 16e10103 0000134e 0400095f ...........N..._ 1f560 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ 1f570 74000000 24c1095f 5f616466 5f6e6275 t...$..__adf_nbu 1f580 665f7175 6575655f 74000000 25410300 f_queue_t...%A.. 1f590 00255904 00060000 25000106 00002500 .%Y.....%.....%. 1f5a0 01130400 0026790d 415f5354 41545553 .....&y.A_STATUS 1f5b0 5f4f4b00 000d415f 53544154 55535f46 _OK...A_STATUS_F 1f5c0 41494c45 4400010d 415f5354 41545553 AILED...A_STATUS 1f5d0 5f454e4f 454e5400 020d415f 53544154 _ENOENT...A_STAT 1f5e0 55535f45 4e4f4d45 4d00030d 415f5354 US_ENOMEM...A_ST 1f5f0 41545553 5f45494e 56414c00 040d415f ATUS_EINVAL...A_ 1f600 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE 1f610 53530005 0d415f53 54415455 535f454e SS...A_STATUS_EN 1f620 4f545355 50500006 0d415f53 54415455 OTSUPP...A_STATU 1f630 535f4542 55535900 070d415f 53544154 S_EBUSY...A_STAT 1f640 55535f45 32424947 00080d41 5f535441 US_E2BIG...A_STA 1f650 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI 1f660 4c00090d 415f5354 41545553 5f454e58 L...A_STATUS_ENX 1f670 494f000a 0d415f53 54415455 535f4546 IO...A_STATUS_EF 1f680 41554c54 000b0d41 5f535441 5455535f AULT...A_STATUS_ 1f690 45494f00 0c000961 5f737461 7475735f EIO....a_status_ 1f6a0 74000000 25840600 00267901 06000001 t...%....&y..... 1f6b0 12010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. 1f6c0 00002500 13040000 26de0d41 44465f4f ..%.....&..ADF_O 1f6d0 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. 1f6e0 000d4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO 1f6f0 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ 1f700 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& 1f710 a7060000 26790102 01096164 665f6f73 ....&y....adf_os 1f720 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. 1f730 00168803 000026fc 04000201 02010600 ......&......... 1f740 00269701 06000025 00010201 02010600 .&.....%........ 1f750 00269701 06000025 00010600 00269701 .&.....%.....&.. 1f760 06000025 00010600 00269701 02010201 ...%.....&...... 1f770 06000016 6b010600 0016e101 02010201 ....k........... 1f780 0600001b 98010600 001ae501 0600001a ................ 1f790 e5010961 64665f6f 735f7367 6c697374 ...adf_os_sglist 1f7a0 5f740000 00172003 00002775 04000201 _t.... ...'u.... 1f7b0 02010201 06000016 e1010961 64665f6e ...........adf_n 1f7c0 6275665f 71756575 655f7400 00002559 buf_queue_t...%Y 1f7d0 03000027 9d040002 01030000 25410400 ...'........%A.. 1f7e0 02010201 02010600 00269701 06000025 .........&.....% 1f7f0 00010600 00166b01 06000016 6b010600 ......k.....k... 1f800 001ae501 0600001a e5010600 00200101 ............. .. 1f810 06000016 6b010961 64665f6e 6275665f ....k..adf_nbuf_ 1f820 72785f63 6b73756d 5f740000 00246f03 rx_cksum_t...$o. 1f830 000027f9 04000201 02010961 64665f6e ..'........adf_n 1f840 6275665f 74736f5f 74000000 24910300 buf_tso_t...$... 1f850 00281d04 00020102 01096164 665f6e65 .(........adf_ne 1f860 745f6861 6e646c65 5f740000 00040709 t_handle_t...... 1f870 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ 1f880 74000000 1e0d0300 00285204 00060000 t........(R..... 1f890 26790106 00002679 01020102 01075f48 &y....&y......_H 1f8a0 49465f43 4f4e4649 47000400 0028a108 IF_CONFIG....(.. 1f8b0 64756d6d 79000000 01120223 00000201 dummy......#.... 1f8c0 03000028 a1040002 01030000 28aa0400 ...(........(... 1f8d0 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. 1f8e0 000028ff 0873656e 645f6275 665f646f ..(..send_buf_do 1f8f0 6e650000 0028a302 23000872 6563765f ne...(..#..recv_ 1f900 62756600 000028ac 02230408 636f6e74 buf...(..#..cont 1f910 65787400 00000407 02230800 09686966 ext......#...hif 1f920 5f68616e 646c655f 74000000 04070948 _handle_t......H 1f930 49465f43 4f4e4649 47000000 28800300 IF_CONFIG...(... 1f940 00291104 00060000 28ff0103 00002928 .)......(.....)( 1f950 04000201 03000029 35040009 4849465f .......)5...HIF_ 1f960 43414c4c 4241434b 00000028 b3030000 CALLBACK...(.... 1f970 293e0400 02010300 00295704 00060000 )>.......)W..... 1f980 01120103 00002960 04000201 03000029 ......)`.......) 1f990 6d040006 00000112 01030000 29760400 m...........)v.. 1f9a0 02010300 00298304 00060000 01120103 .....).......... 1f9b0 0000298c 04000201 03000029 99040007 ..)........).... 1f9c0 6869665f 61706900 3800002a f2085f69 hif_api.8..*.._i 1f9d0 6e697400 0000292e 02230008 5f736875 nit...)..#.._shu 1f9e0 74646f77 6e000000 29370223 04085f72 tdown...)7.#.._r 1f9f0 65676973 7465725f 63616c6c 6261636b egister_callback 1fa00 00000029 59022308 085f6765 745f746f ...)Y.#.._get_to 1fa10 74616c5f 63726564 69745f63 6f756e74 tal_credit_count 1fa20 00000029 6602230c 085f7374 61727400 ...)f.#.._start. 1fa30 00002937 02231008 5f636f6e 6669675f ..)7.#.._config_ 1fa40 70697065 00000029 6f022314 085f7365 pipe...)o.#.._se 1fa50 6e645f62 75666665 72000000 297c0223 nd_buffer...)|.# 1fa60 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b 1fa70 75660000 00298502 231c085f 69735f70 uf...)..#.._is_p 1fa80 6970655f 73757070 6f727465 64000000 ipe_supported... 1fa90 29920223 20085f67 65745f6d 61785f6d )..# ._get_max_m 1faa0 73675f6c 656e0000 00299202 2324085f sg_len...)..#$._ 1fab0 6765745f 72657365 72766564 5f686561 get_reserved_hea 1fac0 64726f6f 6d000000 29660223 28085f69 droom...)f.#(._i 1fad0 73725f68 616e646c 65720000 00293702 sr_handler...)7. 1fae0 232c085f 6765745f 64656661 756c745f #,._get_default_ 1faf0 70697065 00000029 9b022330 08705265 pipe...)..#0.pRe 1fb00 73657276 65640000 00040702 2334000c served......#4.. 1fb10 646d615f 656e6769 6e650004 00002b7b dma_engine....+{ 1fb20 0d444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. 1fb30 000d444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 1fb40 00010d44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 1fb50 3200020d 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R 1fb60 58330003 0d444d41 5f454e47 494e455f X3...DMA_ENGINE_ 1fb70 54583000 040d444d 415f454e 47494e45 TX0...DMA_ENGINE 1fb80 5f545831 00050d44 4d415f45 4e47494e _TX1...DMA_ENGIN 1fb90 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng 1fba0 696e655f 74000000 2af20c64 6d615f69 ine_t...*..dma_i 1fbb0 66747970 65000400 002bc80d 444d415f ftype....+..DMA_ 1fbc0 49465f47 4d414300 000d444d 415f4946 IF_GMAC...DMA_IF 1fbd0 5f504349 00010d44 4d415f49 465f5043 _PCI...DMA_IF_PC 1fbe0 49450002 0009646d 615f6966 74797065 IE....dma_iftype 1fbf0 5f740000 002b8d06 00001340 01030000 _t...+.....@.... 1fc00 2bda0400 02010300 002be704 00020103 +........+...... 1fc10 00002bf0 04000600 00097201 0300002b ..+.......r....+ 1fc20 f9040006 00001340 01030000 2c060400 .......@....,... 1fc30 06000013 40010300 002c1304 00060000 ....@....,...... 1fc40 148b0103 00002c20 04000201 0300002c ......, ......., 1fc50 2d040007 646d615f 6c69625f 61706900 -...dma_lib_api. 1fc60 3400002d 34087478 5f696e69 74000000 4..-4.tx_init... 1fc70 2be00223 00087478 5f737461 72740000 +..#..tx_start.. 1fc80 002be902 23040872 785f696e 69740000 .+..#..rx_init.. 1fc90 002be002 23080872 785f636f 6e666967 .+..#..rx_config 1fca0 0000002b f202230c 0872785f 73746172 ...+..#..rx_star 1fcb0 74000000 2be90223 1008696e 74725f73 t...+..#..intr_s 1fcc0 74617475 73000000 2bff0223 14086861 tatus...+..#..ha 1fcd0 72645f78 6d697400 00002c0c 02231808 rd_xmit...,..#.. 1fce0 666c7573 685f786d 69740000 002be902 flush_xmit...+.. 1fcf0 231c0878 6d69745f 646f6e65 0000002c #..xmit_done..., 1fd00 19022320 08726561 705f786d 69747465 ..# .reap_xmitte 1fd10 64000000 2c260223 24087265 61705f72 d...,&.#$.reap_r 1fd20 65637600 00002c26 02232808 72657475 ecv...,&.#(.retu 1fd30 726e5f72 65637600 00002c2f 02232c08 rn_recv...,/.#,. 1fd40 72656376 5f706b74 0000002c 19022330 recv_pkt...,..#0 1fd50 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... 1fd60 002d5208 73770000 00293e02 23000009 .-R.sw...)>.#... 1fd70 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... 1fd80 2d340300 002d5204 00020103 00002d6c -4...-R.......-l 1fd90 04000600 00132c01 0300002d 7504000c ......,....-u... 1fda0 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. 1fdb0 0400002d d50d4849 465f5043 495f5049 ...-..HIF_PCI_PI 1fdc0 50455f54 58300000 0d484946 5f504349 PE_TX0...HIF_PCI 1fdd0 5f504950 455f5458 3100010d 4849465f _PIPE_TX1...HIF_ 1fde0 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. 1fdf0 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ 1fe00 74785f74 0000002d 82060000 2b7b0103 tx_t...-....+{.. 1fe10 00002dec 04000c68 69665f70 63695f70 ..-....hif_pci_p 1fe20 6970655f 72780004 00002e72 0d484946 ipe_rx.....r.HIF 1fe30 5f504349 5f504950 455f5258 3000000d _PCI_PIPE_RX0... 1fe40 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 1fe50 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 1fe60 52583200 020d4849 465f5043 495f5049 RX2...HIF_PCI_PI 1fe70 50455f52 58330003 0d484946 5f504349 PE_RX3...HIF_PCI 1fe80 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... 1fe90 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ 1fea0 74000000 2df90600 002b7b01 0300002e t...-....+{..... 1feb0 89040007 6869665f 7063695f 61706900 ....hif_pci_api. 1fec0 2400002f 67087063 695f626f 6f745f69 $../g.pci_boot_i 1fed0 6e697400 0000010b 02230008 7063695f nit......#..pci_ 1fee0 696e6974 00000029 2e022304 08706369 init...)..#..pci 1fef0 5f726573 65740000 00010b02 23080870 _reset......#..p 1ff00 63695f65 6e61626c 65000000 010b0223 ci_enable......# 1ff10 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt 1ff20 65640000 002d6e02 23100870 63695f72 ed...-n.#..pci_r 1ff30 6561705f 72656376 0000002d 6e022314 eap_recv...-n.#. 1ff40 08706369 5f676574 5f706970 65000000 .pci_get_pipe... 1ff50 2d7b0223 18087063 695f6765 745f7478 -{.#..pci_get_tx 1ff60 5f656e67 0000002d f202231c 08706369 _eng...-..#..pci 1ff70 5f676574 5f72785f 656e6700 00002e8f _get_rx_eng..... 1ff80 02232000 07676d61 635f6170 69000400 .# ..gmac_api... 1ff90 002f8e08 676d6163 5f626f6f 745f696e ./..gmac_boot_in 1ffa0 69740000 00010b02 2300000e 0000031f it......#....... 1ffb0 0600002f 9b0f0500 075f5f65 74686864 .../.....__ethhd 1ffc0 72000e00 002fd108 64737400 00002f8e r..../..dst.../. 1ffd0 02230008 73726300 00002f8e 02230608 .#..src.../..#.. 1ffe0 65747970 65000000 13400223 0c00075f etype....@.#..._ 1fff0 5f617468 68647200 04000030 1f147265 _athhdr....0..re 20000 73000000 132c0100 02022300 1470726f s....,....#..pro 20010 746f0000 00132c01 02060223 00087265 to....,....#..re 20020 735f6c6f 00000013 2c022301 08726573 s_lo....,.#..res 20030 5f686900 00001340 02230200 075f5f67 _hi....@.#...__g 20040 6d61635f 68647200 14000030 5b086574 mac_hdr....0[.et 20050 68000000 2f9b0223 00086174 68000000 h.../..#..ath... 20060 2fd10223 0e08616c 69676e5f 70616400 /..#..align_pad. 20070 00001340 02231200 095f5f67 6d61635f ...@.#...__gmac_ 20080 6864725f 74000000 301f075f 5f676d61 hdr_t...0..__gma 20090 635f736f 66746300 24000030 a5086864 c_softc.$..0..hd 200a0 72000000 305b0223 00086772 616e0000 r...0[.#..gran.. 200b0 00134002 23140873 77000000 293e0223 ..@.#..sw...)>.# 200c0 1800075f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage 200d0 5f636865 636b0008 000030de 08766572 _check....0..ver 200e0 73696f6e 00000001 12022300 08746162 sion......#..tab 200f0 6c650000 00011202 23040003 000030a5 le......#.....0. 20100 04000600 00011201 03000030 e5040003 ...........0.... 20110 0000040a 0400165f 415f636d 6e6f735f ......._A_cmnos_ 20120 696e6469 72656374 696f6e5f 7461626c indirection_tabl 20130 650001b8 00003235 0868616c 5f6c696e e.....25.hal_lin 20140 6b616765 5f636865 636b0000 0030eb02 kage_check...0.. 20150 23000873 74617274 5f627373 00000030 #..start_bss...0 20160 f2022304 08617070 5f737461 72740000 ..#..app_start.. 20170 00010b02 2308086d 656d0000 00044a02 ....#..mem....J. 20180 230c086d 69736300 000005bd 02232008 #..misc......# . 20190 7072696e 74660000 00013f02 23440875 printf....?.#D.u 201a0 61727400 00000208 02234c08 676d6163 art......#L.gmac 201b0 0000002f 6702236c 08757362 0000000f .../g.#l.usb.... 201c0 ec022370 08636c6f 636b0000 000b3503 ..#p.clock....5. 201d0 23e00108 74696d65 72000000 07d50323 #...timer......# 201e0 84020869 6e747200 00000c85 03239802 ...intr......#.. 201f0 08616c6c 6f637261 6d000000 098d0323 .allocram......# 20200 c4020872 6f6d7000 0000087f 0323d002 ...romp......#.. 20210 08776474 5f74696d 65720000 000e6203 .wdt_timer....b. 20220 23e00208 65657000 00000f90 0323fc02 #...eep......#.. 20230 08737472 696e6700 000006e1 03238c03 .string......#.. 20240 08746173 6b6c6574 0000000a 8a0323a4 .tasklet......#. 20250 0300075f 5553425f 4649464f 5f434f4e ..._USB_FIFO_CON 20260 46494700 10000032 a8086765 745f636f FIG....2..get_co 20270 6d6d616e 645f6275 66000000 14980223 mmand_buf......# 20280 00087265 63765f63 6f6d6d61 6e640000 ..recv_command.. 20290 0014ae02 23040867 65745f65 76656e74 ....#..get_event 202a0 5f627566 00000014 98022308 0873656e _buf......#..sen 202b0 645f6576 656e745f 646f6e65 00000014 d_event_done.... 202c0 ae02230c 00095553 425f4649 464f5f43 ..#...USB_FIFO_C 202d0 4f4e4649 47000000 32350300 0032a804 ONFIG...25...2.. 202e0 00020103 000032c4 04000775 73626669 ......2....usbfi 202f0 666f5f61 7069000c 0000331a 085f696e fo_api....3.._in 20300 69740000 0032c602 2300085f 656e6162 it...2..#.._enab 20310 6c655f65 76656e74 5f697372 00000001 le_event_isr.... 20320 0b022304 08705265 73657276 65640000 ..#..pReserved.. 20330 00040702 2308000e 000016d2 02000033 ....#..........3 20340 270f0100 075f4854 435f4652 414d455f '...._HTC_FRAME_ 20350 48445200 08000033 9908456e 64706f69 HDR....3..Endpoi 20360 6e744944 00000016 d2022300 08466c61 ntID......#..Fla 20370 67730000 0016d202 23010850 61796c6f gs......#..Paylo 20380 61644c65 6e000000 1cfa0223 0208436f adLen......#..Co 20390 6e74726f 6c427974 65730000 00331a02 ntrolBytes...3.. 203a0 23040848 6f737453 65714e75 6d000000 #..HostSeqNum... 203b0 1cfa0223 06001102 000033b2 084d6573 ...#......3..Mes 203c0 73616765 49440000 001cfa02 23000011 sageID......#... 203d0 08000034 15084d65 73736167 65494400 ...4..MessageID. 203e0 00001cfa 02230008 43726564 6974436f .....#..CreditCo 203f0 756e7400 00001cfa 02230208 43726564 unt......#..Cred 20400 69745369 7a650000 001cfa02 2304084d itSize......#..M 20410 6178456e 64706f69 6e747300 000016d2 axEndpoints..... 20420 02230608 5f506164 31000000 16d20223 .#.._Pad1......# 20430 0700110a 000034ac 084d6573 73616765 ......4..Message 20440 49440000 001cfa02 23000853 65727669 ID......#..Servi 20450 63654944 0000001c fa022302 08436f6e ceID......#..Con 20460 6e656374 696f6e46 6c616773 0000001c nectionFlags.... 20470 fa022304 08446f77 6e4c696e 6b506970 ..#..DownLinkPip 20480 65494400 000016d2 02230608 55704c69 eID......#..UpLi 20490 6e6b5069 70654944 00000016 d2022307 nkPipeID......#. 204a0 08536572 76696365 4d657461 4c656e67 .ServiceMetaLeng 204b0 74680000 0016d202 2308085f 50616431 th......#.._Pad1 204c0 00000016 d2022309 00110a00 00353408 ......#......54. 204d0 4d657373 61676549 44000000 1cfa0223 MessageID......# 204e0 00085365 72766963 65494400 00001cfa ..ServiceID..... 204f0 02230208 53746174 75730000 0016d202 .#..Status...... 20500 23040845 6e64706f 696e7449 44000000 #..EndpointID... 20510 16d20223 05084d61 784d7367 53697a65 ...#..MaxMsgSize 20520 0000001c fa022306 08536572 76696365 ......#..Service 20530 4d657461 4c656e67 74680000 0016d202 MetaLength...... 20540 2308085f 50616431 00000016 d2022309 #.._Pad1......#. 20550 00110200 00354d08 4d657373 61676549 .....5M.MessageI 20560 44000000 1cfa0223 00001104 00003589 D......#......5. 20570 084d6573 73616765 49440000 001cfa02 .MessageID...... 20580 23000850 69706549 44000000 16d20223 #..PipeID......# 20590 02084372 65646974 436f756e 74000000 ..CreditCount... 205a0 16d20223 03001104 000035c0 084d6573 ...#......5..Mes 205b0 73616765 49440000 001cfa02 23000850 sageID......#..P 205c0 69706549 44000000 16d20223 02085374 ipeID......#..St 205d0 61747573 00000016 d2022303 00110200 atus......#..... 205e0 0035e708 5265636f 72644944 00000016 .5..RecordID.... 205f0 d2022300 084c656e 67746800 000016d2 ..#..Length..... 20600 02230100 11020000 36110845 6e64706f .#......6..Endpo 20610 696e7449 44000000 16d20223 00084372 intID......#..Cr 20620 65646974 73000000 16d20223 01001104 edits......#.... 20630 00003652 08456e64 706f696e 74494400 ..6R.EndpointID. 20640 000016d2 02230008 43726564 69747300 .....#..Credits. 20650 000016d2 02230108 54677443 72656469 .....#..TgtCredi 20660 74536571 4e6f0000 001cfa02 2302000e tSeqNo......#... 20670 000016d2 04000036 5f0f0300 11060000 .......6_....... 20680 369b0850 72655661 6c696400 000016d2 6..PreValid..... 20690 02230008 4c6f6f6b 41686561 64000000 .#..LookAhead... 206a0 36520223 0108506f 73745661 6c696400 6R.#..PostValid. 206b0 000016d2 02230500 09706f6f 6c5f6861 .....#...pool_ha 206c0 6e646c65 5f740000 00040706 0000369b ndle_t........6. 206d0 01030000 36ae0400 02010300 0036bb04 ....6........6.. 206e0 00130400 0037390d 504f4f4c 5f49445f .....79.POOL_ID_ 206f0 4854435f 434f4e54 524f4c00 000d504f HTC_CONTROL...PO 20700 4f4c5f49 445f574d 495f5356 435f434d OL_ID_WMI_SVC_CM 20710 445f5245 504c5900 010d504f 4f4c5f49 D_REPLY...POOL_I 20720 445f574d 495f5356 435f4556 454e5400 D_WMI_SVC_EVENT. 20730 020d504f 4f4c5f49 445f574c 414e5f52 ..POOL_ID_WLAN_R 20740 585f4255 4600030d 504f4f4c 5f49445f X_BUF...POOL_ID_ 20750 4d415800 0a000942 55465f50 4f4f4c5f MAX....BUF_POOL_ 20760 49440000 0036c402 01030000 374a0400 ID...6......7J.. 20770 06000026 97010300 00375304 00060000 ...&.....7S..... 20780 26970103 00003760 04000201 03000037 &.....7`.......7 20790 6d040007 6275665f 706f6f6c 5f617069 m...buf_pool_api 207a0 001c0000 380f085f 696e6974 00000036 ....8.._init...6 207b0 b4022300 085f7368 7574646f 776e0000 ..#.._shutdown.. 207c0 0036bd02 2304085f 63726561 74655f70 .6..#.._create_p 207d0 6f6f6c00 0000374c 02230808 5f616c6c ool...7L.#.._all 207e0 6f635f62 75660000 00375902 230c085f oc_buf...7Y.#.._ 207f0 616c6c6f 635f6275 665f616c 69676e00 alloc_buf_align. 20800 00003766 02231008 5f667265 655f6275 ..7f.#.._free_bu 20810 66000000 376f0223 14087052 65736572 f...7o.#..pReser 20820 76656400 00000407 02231800 075f4854 ved......#..._HT 20830 435f5345 52564943 45001c00 0038ee08 C_SERVICE....8.. 20840 704e6578 74000000 38ee0223 00085072 pNext...8..#..Pr 20850 6f636573 73526563 764d7367 00000039 ocessRecvMsg...9 20860 a3022304 0850726f 63657373 53656e64 ..#..ProcessSend 20870 42756666 6572436f 6d706c65 74650000 BufferComplete.. 20880 0039ac02 23080850 726f6365 7373436f .9..#..ProcessCo 20890 6e6e6563 74000000 39c00223 0c085365 nnect...9..#..Se 208a0 72766963 65494400 00001340 02231008 rviceID....@.#.. 208b0 53657276 69636546 6c616773 00000013 ServiceFlags.... 208c0 40022312 084d6178 5376634d 73675369 @.#..MaxSvcMsgSi 208d0 7a650000 00134002 23140854 7261696c ze....@.#..Trail 208e0 65725370 63436865 636b4c69 6d697400 erSpcCheckLimit. 208f0 00001340 02231608 53657276 69636543 ...@.#..ServiceC 20900 74780000 00040702 23180003 0000380f tx......#.....8. 20910 04001304 0000398c 18454e44 504f494e ......9..ENDPOIN 20920 545f554e 55534544 00ffffff ff0d454e T_UNUSED......EN 20930 44504f49 4e543000 000d454e 44504f49 DPOINT0...ENDPOI 20940 4e543100 010d454e 44504f49 4e543200 NT1...ENDPOINT2. 20950 020d454e 44504f49 4e543300 030d454e ..ENDPOINT3...EN 20960 44504f49 4e543400 040d454e 44504f49 DPOINT4...ENDPOI 20970 4e543500 050d454e 44504f49 4e543600 NT5...ENDPOINT6. 20980 060d454e 44504f49 4e543700 070d454e ..ENDPOINT7...EN 20990 44504f49 4e543800 080d454e 44504f49 DPOINT8...ENDPOI 209a0 4e545f4d 41580016 00094854 435f454e NT_MAX....HTC_EN 209b0 44504f49 4e545f49 44000000 38f50201 DPOINT_ID...8... 209c0 03000039 a1040002 01030000 39aa0400 ...9........9... 209d0 03000001 12040006 0000132c 01030000 ...........,.... 209e0 39ba0400 03000038 0f040007 5f485443 9......8...._HTC 209f0 5f434f4e 46494700 1400003a 3f084372 _CONFIG....:?.Cr 20a00 65646974 53697a65 00000001 12022300 editSize......#. 20a10 08437265 6469744e 756d6265 72000000 .CreditNumber... 20a20 01120223 04084f53 48616e64 6c650000 ...#..OSHandle.. 20a30 001a9602 23080848 49464861 6e646c65 ....#..HIFHandle 20a40 00000028 ff02230c 08506f6f 6c48616e ...(..#..PoolHan 20a50 646c6500 0000369b 02231000 075f4854 dle...6..#..._HT 20a60 435f4255 465f434f 4e544558 54000200 C_BUF_CONTEXT... 20a70 003a7b08 656e645f 706f696e 74000000 .:{.end_point... 20a80 132c0223 00086874 635f666c 61677300 .,.#..htc_flags. 20a90 0000132c 02230100 09687463 5f68616e ...,.#...htc_han 20aa0 646c655f 74000000 04070948 54435f53 dle_t......HTC_S 20ab0 45545550 5f434f4d 504c4554 455f4342 ETUP_COMPLETE_CB 20ac0 00000001 0b094854 435f434f 4e464947 ......HTC_CONFIG 20ad0 00000039 ce030000 3aa80400 0600003a ...9....:......: 20ae0 7b010300 003abf04 00020103 00003acc {....:........:. 20af0 04000948 54435f53 45525649 43450000 ...HTC_SERVICE.. 20b00 00380f03 00003ad5 04000201 0300003a .8....:........: 20b10 ed040002 01030000 3af60400 02010300 ........:....... 20b20 003aff04 00060000 01120103 00003b08 .:............;. 20b30 04000768 74635f61 70697300 3400003c ...htc_apis.4..< 20b40 85085f48 54435f49 6e697400 00003ac5 .._HTC_Init...:. 20b50 02230008 5f485443 5f536875 74646f77 .#.._HTC_Shutdow 20b60 6e000000 3ace0223 04085f48 54435f52 n...:..#.._HTC_R 20b70 65676973 74657253 65727669 63650000 egisterService.. 20b80 003aef02 2308085f 4854435f 52656164 .:..#.._HTC_Read 20b90 79000000 3ace0223 0c085f48 54435f52 y...:..#.._HTC_R 20ba0 65747572 6e427566 66657273 0000003a eturnBuffers...: 20bb0 f8022310 085f4854 435f5265 7475726e ..#.._HTC_Return 20bc0 42756666 6572734c 69737400 00003b01 BuffersList...;. 20bd0 02231408 5f485443 5f53656e 644d7367 .#.._HTC_SendMsg 20be0 0000003a f8022318 085f4854 435f4765 ...:..#.._HTC_Ge 20bf0 74526573 65727665 64486561 64726f6f tReservedHeadroo 20c00 6d000000 3b0e0223 1c085f48 54435f4d m...;..#.._HTC_M 20c10 73675265 63764861 6e646c65 72000000 sgRecvHandler... 20c20 28ac0223 20085f48 54435f53 656e6444 (..# ._HTC_SendD 20c30 6f6e6548 616e646c 65720000 0028a302 oneHandler...(.. 20c40 2324085f 4854435f 436f6e74 726f6c53 #$._HTC_ControlS 20c50 76635072 6f636573 734d7367 00000039 vcProcessMsg...9 20c60 a3022328 085f4854 435f436f 6e74726f ..#(._HTC_Contro 20c70 6c537663 50726f63 65737353 656e6443 lSvcProcessSendC 20c80 6f6d706c 65746500 000039ac 02232c08 omplete...9..#,. 20c90 70526573 65727665 64000000 04070223 pReserved......# 20ca0 30000768 6f73745f 6170705f 61726561 0..host_app_area 20cb0 5f730004 00003cb5 08776d69 5f70726f _s....<..wmi_pro 20cc0 746f636f 6c5f7665 72000000 166b0223 tocol_ver....k.# 20cd0 0000110e 00003cec 08647374 4d616300 ......<..dstMac. 20ce0 00001cb7 02230008 7372634d 61630000 .....#..srcMac.. 20cf0 001cb702 23060874 7970654f 724c656e ....#..typeOrLen 20d00 0000001c fa02230c 000e0000 16d20300 ......#......... 20d10 003cf90f 02001108 00003d49 08647361 .<........=I.dsa 20d20 70000000 16d20223 00087373 61700000 p......#..ssap.. 20d30 0016d202 23010863 6e746c00 000016d2 ....#..cntl..... 20d40 02230208 6f726743 6f646500 00003cec .#..orgCode...<. 20d50 02230308 65746865 72547970 65000000 .#..etherType... 20d60 1cfa0223 06001102 00003d6a 08727373 ...#......=j.rss 20d70 69000000 1c500223 0008696e 666f0000 i....P.#..info.. 20d80 0016d202 23010011 0400003d 9108636f ....#......=..co 20d90 6d6d616e 64496400 00001cfa 02230008 mmandId......#.. 20da0 7365714e 6f000000 1cfa0223 02000e00 seqNo......#.... 20db0 0016d201 00003d9e 0f000011 0200003d ......=........= 20dc0 c5086d73 6753697a 65000000 16d20223 ..msgSize......# 20dd0 00086d73 67446174 61000000 3d910223 ..msgData...=..# 20de0 01001108 00003e0c 08616464 72657373 ......>..address 20df0 4c000000 1cfa0223 00086164 64726573 L......#..addres 20e00 73480000 001cfa02 23020876 616c7565 sH......#..value 20e10 4c000000 1cfa0223 04087661 6c756548 L......#..valueH 20e20 0000001c fa022306 0009574d 495f4156 ......#...WMI_AV 20e30 54000000 3dc50e00 003e0c08 00003e26 T...=....>....>& 20e40 0f000011 0c00003e 5d087475 706c654e .......>].tupleN 20e50 756d4c00 00001cfa 02230008 7475706c umL......#..tupl 20e60 654e756d 48000000 1cfa0223 02086176 eNumH......#..av 20e70 74000000 3e190223 04001101 00003e7f t...>..#......>. 20e80 08626561 636f6e50 656e6469 6e67436f .beaconPendingCo 20e90 756e7400 000016d2 02230000 075f574d unt......#..._WM 20ea0 495f5356 435f434f 4e464947 00100000 I_SVC_CONFIG.... 20eb0 3ee80848 74634861 6e646c65 0000003a >..HtcHandle...: 20ec0 7b022300 08506f6f 6c48616e 646c6500 {.#..PoolHandle. 20ed0 0000369b 02230408 4d617843 6d645265 ..6..#..MaxCmdRe 20ee0 706c7945 76747300 00000112 02230808 plyEvts......#.. 20ef0 4d617845 76656e74 45767473 00000001 MaxEventEvts.... 20f00 1202230c 00020103 00003ee8 04000957 ..#.......>....W 20f10 4d495f43 4d445f48 414e444c 45520000 MI_CMD_HANDLER.. 20f20 003eea07 5f574d49 5f444953 50415443 .>.._WMI_DISPATC 20f30 485f454e 54525900 0800003f 51087043 H_ENTRY....?Q.pC 20f40 6d644861 6e646c65 72000000 3ef10223 mdHandler...>..# 20f50 0008436d 64494400 00001340 02230408 ..CmdID....@.#.. 20f60 466c6167 73000000 13400223 0600075f Flags....@.#..._ 20f70 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB 20f80 4c450010 00003fb2 08704e65 78740000 LE....?..pNext.. 20f90 003fb202 23000870 436f6e74 65787400 .?..#..pContext. 20fa0 00000407 02230408 4e756d62 65724f66 .....#..NumberOf 20fb0 456e7472 69657300 00000112 02230808 Entries......#.. 20fc0 70546162 6c650000 003fd102 230c0003 pTable...?..#... 20fd0 00003f51 04000957 4d495f44 49535041 ..?Q...WMI_DISPA 20fe0 5443485f 454e5452 59000000 3f060300 TCH_ENTRY...?... 20ff0 003fb904 00030000 3f510400 09485443 .?......?Q...HTC 21000 5f425546 5f434f4e 54455854 0000003a _BUF_CONTEXT...: 21010 3f0c574d 495f4556 545f434c 41535300 ?.WMI_EVT_CLASS. 21020 04000040 6918574d 495f4556 545f434c ...@i.WMI_EVT_CL 21030 4153535f 4e4f4e45 00ffffff ff0d574d ASS_NONE......WM 21040 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ 21050 4556454e 5400000d 574d495f 4556545f EVENT...WMI_EVT_ 21060 434c4153 535f434d 445f5245 504c5900 CLASS_CMD_REPLY. 21070 010d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 21080 4d415800 02000957 4d495f45 56545f43 MAX....WMI_EVT_C 21090 4c415353 0000003f f4075f57 4d495f42 LASS...?.._WMI_B 210a0 55465f43 4f4e5445 5854000c 000040c7 UF_CONTEXT....@. 210b0 08487463 42756643 74780000 003fdf02 .HtcBufCtx...?.. 210c0 23000845 76656e74 436c6173 73000000 #..EventClass... 210d0 40690223 0408466c 61677300 00001340 @i.#..Flags....@ 210e0 02230800 09776d69 5f68616e 646c655f .#...wmi_handle_ 210f0 74000000 04070957 4d495f53 56435f43 t......WMI_SVC_C 21100 4f4e4649 47000000 3e7f0300 0040d904 ONFIG...>....@.. 21110 00060000 40c70103 000040f4 04000957 ....@.....@....W 21120 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 21130 45000000 3f510300 00410104 00020103 E...?Q...A...... 21140 00004120 04000600 00269701 03000041 ..A .....&.....A 21150 29040002 01030000 41360400 06000001 ).......A6...... 21160 12010300 00413f04 00020103 0000414c .....A?.......AL 21170 04000600 00132c01 03000041 55040007 ......,....AU... 21180 5f776d69 5f737663 5f617069 73002c00 _wmi_svc_apis.,. 21190 00429d08 5f574d49 5f496e69 74000000 .B.._WMI_Init... 211a0 40fa0223 00085f57 4d495f52 65676973 @..#.._WMI_Regis 211b0 74657244 69737061 74636854 61626c65 terDispatchTable 211c0 00000041 22022304 085f574d 495f416c ...A".#.._WMI_Al 211d0 6c6f6345 76656e74 00000041 2f022308 locEvent...A/.#. 211e0 085f574d 495f5365 6e644576 656e7400 ._WMI_SendEvent. 211f0 00004138 02230c08 5f574d49 5f476574 ..A8.#.._WMI_Get 21200 50656e64 696e6745 76656e74 73436f75 PendingEventsCou 21210 6e740000 00414502 2310085f 574d495f nt...AE.#.._WMI_ 21220 53656e64 436f6d70 6c657465 48616e64 SendCompleteHand 21230 6c657200 000039ac 02231408 5f574d49 ler...9..#.._WMI 21240 5f476574 436f6e74 726f6c45 70000000 _GetControlEp... 21250 41450223 18085f57 4d495f53 68757464 AE.#.._WMI_Shutd 21260 6f776e00 0000414e 02231c08 5f574d49 own...AN.#.._WMI 21270 5f526563 764d6573 73616765 48616e64 _RecvMessageHand 21280 6c657200 000039a3 02232008 5f574d49 ler...9..# ._WMI 21290 5f536572 76696365 436f6e6e 65637400 _ServiceConnect. 212a0 0000415b 02232408 70526573 65727665 ..A[.#$.pReserve 212b0 64000000 04070223 2800077a 73446d61 d......#(..zsDma 212c0 44657363 00140000 431f0863 74726c00 Desc....C..ctrl. 212d0 00000176 02230008 73746174 75730000 ...v.#..status.. 212e0 00017602 23020874 6f74616c 4c656e00 ..v.#..totalLen. 212f0 00000176 02230408 64617461 53697a65 ...v.#..dataSize 21300 00000001 76022306 086c6173 74416464 ....v.#..lastAdd 21310 72000000 431f0223 08086461 74614164 r...C..#..dataAd 21320 64720000 00019a02 230c086e 65787441 dr......#..nextA 21330 64647200 0000431f 02231000 03000042 ddr...C..#.....B 21340 9d040003 0000429d 0400077a 73446d61 ......B....zsDma 21350 51756575 65000800 00435f08 68656164 Queue....C_.head 21360 00000043 26022300 08746572 6d696e61 ...C&.#..termina 21370 746f7200 00004326 02230400 077a7354 tor...C&.#...zsT 21380 78446d61 51756575 65001000 0043c308 xDmaQueue....C.. 21390 68656164 00000043 26022300 08746572 head...C&.#..ter 213a0 6d696e61 746f7200 00004326 02230408 minator...C&.#.. 213b0 786d6974 65645f62 75665f68 65616400 xmited_buf_head. 213c0 0000148b 02230808 786d6974 65645f62 .....#..xmited_b 213d0 75665f74 61696c00 0000148b 02230c00 uf_tail......#.. 213e0 02010300 0043c304 00030000 432d0400 .....C......C-.. 213f0 02010300 0043d304 00030000 435f0400 .....C......C_.. 21400 02010300 0043e304 00020103 000043ec .....C........C. 21410 04000201 03000043 f5040006 0000148b .......C........ 21420 01030000 43fe0400 02010300 00440b04 ....C........D.. 21430 00060000 148b0103 00004414 04000201 ..........D..... 21440 03000044 21040006 00000112 01030000 ...D!........... 21450 442a0400 06000043 26010300 00443704 D*.....C&....D7. 21460 00020103 00004444 04000764 6d615f65 ......DD...dma_e 21470 6e67696e 655f6170 69004000 0045ba08 ngine_api.@..E.. 21480 5f696e69 74000000 43c50223 00085f69 _init...C..#.._i 21490 6e69745f 72785f71 75657565 00000043 nit_rx_queue...C 214a0 d5022304 085f696e 69745f74 785f7175 ..#.._init_tx_qu 214b0 65756500 000043e5 02230808 5f636f6e eue...C..#.._con 214c0 6669675f 72785f71 75657565 00000043 fig_rx_queue...C 214d0 ee02230c 085f786d 69745f62 75660000 ..#.._xmit_buf.. 214e0 0043f702 2310085f 666c7573 685f786d .C..#.._flush_xm 214f0 69740000 0043d502 2314085f 72656170 it...C..#.._reap 21500 5f726563 765f6275 66000000 44040223 _recv_buf...D..# 21510 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b 21520 75660000 00440d02 231c085f 72656170 uf...D..#.._reap 21530 5f786d69 7465645f 62756600 0000441a _xmited_buf...D. 21540 02232008 5f737761 705f6461 74610000 .# ._swap_data.. 21550 00442302 2324085f 6861735f 636f6d70 .D#.#$._has_comp 21560 6c5f7061 636b6574 73000000 44300223 l_packets...D0.# 21570 28085f64 6573635f 64756d70 00000043 (._desc_dump...C 21580 d502232c 085f6765 745f7061 636b6574 ..#,._get_packet 21590 00000044 3d022330 085f7265 636c6169 ...D=.#0._reclai 215a0 6d5f7061 636b6574 00000044 46022334 m_packet...DF.#4 215b0 085f7075 745f7061 636b6574 00000044 ._put_packet...D 215c0 46022338 08705265 73657276 65640000 F.#8.pReserved.. 215d0 00040702 233c0009 5f415f63 6d6e6f73 ....#<.._A_cmnos 215e0 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 215f0 6c655f74 00000030 f909574d 495f5356 le_t...0..WMI_SV 21600 435f4150 49530000 00416216 5f415f6d C_APIS...Ab._A_m 21610 61677069 655f696e 64697265 6374696f agpie_indirectio 21620 6e5f7461 626c6500 034c0000 46e80863 n_table..L..F..c 21630 6d6e6f73 00000045 ba022300 08646267 mnos...E..#..dbg 21640 00000003 d40323b8 03086869 66000000 ......#...hif... 21650 29a20323 c0030868 74630000 003b1503 )..#...htc...;.. 21660 23f80308 776d695f 7376635f 61706900 #...wmi_svc_api. 21670 000045dc 0323ac04 08757362 6669666f ..E..#...usbfifo 21680 5f617069 00000032 cd0323d8 04086275 _api...2..#...bu 21690 665f706f 6f6c0000 00377603 23e40408 f_pool...7v.#... 216a0 76627566 00000014 b5032380 05087664 vbuf......#...vd 216b0 65736300 00001397 03239405 08616c6c esc......#...all 216c0 6f637261 6d000000 098d0323 a8050864 ocram......#...d 216d0 6d615f65 6e67696e 65000000 444d0323 ma_engine...DM.# 216e0 b4050864 6d615f6c 69620000 002c3603 ...dma_lib...,6. 216f0 23f40508 6869665f 70636900 00002e96 #...hif_pci..... 21700 0323a806 00095f41 5f6d6167 7069655f .#...._A_magpie_ 21710 696e6469 72656374 696f6e5f 7461626c indirection_tabl 21720 655f7400 000045ee 11100000 473e0861 e_t...E.....G>.a 21730 30000000 01af0223 00086131 00000001 0......#..a1.... 21740 af022304 08613200 000001af 02230808 ..#..a2......#.. 21750 61330000 0001af02 230c000e 0000470b a3......#.....G. 21760 50000047 4b0f0400 07585465 6e73615f P..GK....XTensa_ 21770 65786365 7074696f 6e5f6672 616d655f exception_frame_ 21780 73008000 00482f08 78745f70 63000000 s....H/.xt_pc... 21790 01af0223 00087874 5f707300 000001af ...#..xt_ps..... 217a0 02230408 78745f73 61720000 0001af02 .#..xt_sar...... 217b0 23080878 745f7670 72690000 0001af02 #..xt_vpri...... 217c0 230c0878 745f6132 00000001 af022310 #..xt_a2......#. 217d0 0878745f 61330000 0001af02 23140878 .xt_a3......#..x 217e0 745f6134 00000001 af022318 0878745f t_a4......#..xt_ 217f0 61350000 0001af02 231c0878 745f6578 a5......#..xt_ex 21800 63636175 73650000 0001af02 23200878 ccause......# .x 21810 745f6c63 6f756e74 00000001 af022324 t_lcount......#$ 21820 0878745f 6c626567 00000001 af022328 .xt_lbeg......#( 21830 0878745f 6c656e64 00000001 af02232c .xt_lend......#, 21840 08776200 0000473e 02233000 09435055 .wb...G>.#0..CPU 21850 5f657863 65707469 6f6e5f66 72616d65 _exception_frame 21860 5f740000 00474b03 000001af 04001900 _t...GK......... 21870 0001121a 6173736c 6f6f7000 00004851 ....assloop...HQ 21880 05030050 06f8011a 61737370 72696e74 ...P....assprint 21890 00000001 12050300 5006fc01 0e000001 ........P....... 218a0 19370000 488c0f36 00030000 487f0400 .7..H..6....H... 218b0 1a637572 72656e74 5f64756d 70000000 .current_dump... 218c0 05130503 00500a28 010e0000 01193400 .....P.(......4. 218d0 0048b90f 33000300 0048ac04 000e0000 .H..3....H...... 218e0 01191600 0048cd0f 15000300 0048c004 .....H.......H.. 218f0 000e0000 01190c00 0048e10f 0b000300 .........H...... 21900 0048d404 000e0000 01190200 0048f50f .H...........H.. 21910 01000300 0048e804 000e0000 01190800 .....H.......... 21920 0049090f 07000300 0048fc04 00190000 .I.......H...... 21930 019a1900 00019a03 00004915 04001b00 ..........I..... 21940 00018c01 0000492d 1c000300 0005bd04 ......I-........ 21950 0002011d 0115636d 6e6f735f 73797374 ......cmnos_syst 21960 656d5f72 65736574 00010103 92012002 em_reset...... . 21970 9000008e 2340008e 23511d01 2c636d6e ....#@..#Q..,cmn 21980 6f735f6d 61635f72 65736574 00010103 os_mac_reset.... 21990 92012002 9000008e 2354008e 23591e01 .. .....#T..#Y.. 219a0 3a636d6e 6f735f6d 6973616c 69676e65 :cmnos_misaligne 219b0 645f6c6f 61645f68 616e646c 65720001 d_load_handler.. 219c0 01039201 20029000 008e235c 008e23ad .... .....#\..#. 219d0 000049c6 1f013a64 756d7000 00000513 ..I...:dump..... 219e0 0152001e 015a636d 6e6f735f 61737366 .R...Zcmnos_assf 219f0 61696c00 01010392 01200290 00008e23 ail...... .....# 21a00 b0008e24 1c00004a 111f015a 64756d70 ...$...J...Zdump 21a10 00000005 13015220 74617267 65745f69 ......R target_i 21a20 64000000 09722069 00000004 0a001e01 d....r i........ 21a30 86636d6e 6f735f72 65706f72 745f6661 .cmnos_report_fa 21a40 696c7572 655f746f 5f686f73 74000101 ilure_to_host... 21a50 03920120 02900000 8e241c00 8e242100 ... .....$...$!. 21a60 004a621f 01866475 6d700000 00051301 .Jb...dump...... 21a70 521f0186 6c656e00 00000112 01530021 R...len......S.! 21a80 018f636d 6e6f735f 74617267 65745f69 ..cmnos_target_i 21a90 645f6765 74000000 01120101 03920120 d_get.......... 21aa0 02900000 8e242400 8e242922 0198636d .....$$..$)"..cm 21ab0 6e6f735f 6765745f 6b626869 74000000 nos_get_kbhit... 21ac0 03300101 03920130 02900000 8e242c00 .0.....0.....$,. 21ad0 8e245900 004ae91f 01986465 6c617900 .$Y..J....delay. 21ae0 00000330 0152206c 6173745f 63636f75 ...0.R last_ccou 21af0 6e740000 0001af23 6b626869 74000000 nt.....#kbhit... 21b00 03300291 50002201 b0636d6e 6f735f69 .0..P."..cmnos_i 21b10 735f686f 73745f70 72657365 6e740000 s_host_present.. 21b20 00058801 01039201 20029000 008e245c ........ .....$\ 21b30 008e247b 00004b31 206d4869 66000000 ..${..K1 mHif... 21b40 0588206d 44617461 00000001 af002101 .. mData......!. 21b50 e0636d6e 6f735f72 6f6d5f76 65727369 .cmnos_rom_versi 21b60 6f6e5f67 65740000 00018c01 01039201 on_get.......... 21b70 20029000 008e247c 008e2487 2401eb63 .....$|..$.$..c 21b80 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module 21b90 5f696e73 74616c6c 00010103 92012002 _install...... . 21ba0 9000008e 2488008e 24b51f01 eb74626c ....$...$....tbl 21bb0 00000049 2d015200 00000000 4a630002 ...I-.R.....Jc.. 21bc0 00000d2d 04012f72 6f6f742f 576f726b ...-../root/Work 21bd0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 21be0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 21bf0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 21c00 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 21c10 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 21c20 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 21c30 2f737263 2f636d6e 6f735f70 72696e74 /src/cmnos_print 21c40 662e6300 2f726f6f 742f576f 726b7370 f.c./root/Worksp 21c50 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 21c60 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 21c70 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri 21c80 6e746600 78742d78 63632066 6f722037 ntf.xt-xcc for 7 21c90 2e312e30 202d4f50 543a616c 69676e5f .1.0 -OPT:align_ 21ca0 696e7374 72756374 696f6e73 3d333220 instructions=32 21cb0 2d4f3220 2d673320 2d4f5054 3a737061 -O2 -g3 -OPT:spa 21cc0 63650001 0000007c 2e020103 0000010f ce.....|........ 21cd0 04000469 6e740005 04046368 61720007 ...int....char.. 21ce0 01050000 011f0500 00011f03 0000012c ..............., 21cf0 04000600 00011801 03000001 38040007 ............8... 21d00 7072696e 74665f61 70690008 0000017c printf_api.....| 21d10 085f7072 696e7466 5f696e69 74000000 ._printf_init... 21d20 01110223 00085f70 72696e74 66000000 ...#.._printf... 21d30 013e0223 04000473 686f7274 20756e73 .>.#...short uns 21d40 69676e65 6420696e 74000702 0975696e igned int....uin 21d50 7431365f 74000000 017c046c 6f6e6720 t16_t....|.long 21d60 756e7369 676e6564 20696e74 00070409 unsigned int.... 21d70 75696e74 33325f74 00000001 a0077561 uint32_t......ua 21d80 72745f66 69666f00 08000002 0e087374 rt_fifo.......st 21d90 6172745f 696e6465 78000000 01920223 art_index......# 21da0 0008656e 645f696e 64657800 00000192 ..end_index..... 21db0 02230208 6f766572 72756e5f 65727200 .#..overrun_err. 21dc0 000001b5 02230400 07756172 745f6170 .....#...uart_ap 21dd0 69002000 0002c708 5f756172 745f696e i. ....._uart_in 21de0 69740000 00031e02 2300085f 75617274 it......#.._uart 21df0 5f636861 725f7075 74000000 03450223 _char_put....E.# 21e00 04085f75 6172745f 63686172 5f676574 .._uart_char_get 21e10 00000003 59022308 085f7561 72745f73 ....Y.#.._uart_s 21e20 74725f6f 75740000 00036202 230c085f tr_out....b.#.._ 21e30 75617274 5f746173 6b000000 01110223 uart_task......# 21e40 10085f75 6172745f 73746174 75730000 .._uart_status.. 21e50 00031e02 2314085f 75617274 5f636f6e ....#.._uart_con 21e60 66696700 0000036b 02231808 5f756172 fig....k.#.._uar 21e70 745f6877 696e6974 00000003 7402231c t_hwinit....t.#. 21e80 00030000 020e0400 07756172 745f626c .........uart_bl 21e90 6b001000 00031808 64656275 675f6d6f k.......debug_mo 21ea0 64650000 00019202 23000862 61756400 de......#..baud. 21eb0 00000192 02230208 5f756172 74000000 .....#.._uart... 21ec0 02c70223 04085f74 78000000 01c30223 ...#.._tx......# 21ed0 08000600 0001b501 03000003 18040004 ................ 21ee0 756e7369 676e6564 20636861 72000701 unsigned char... 21ef0 0975696e 74385f74 00000003 25020103 .uint8_t....%... 21f00 00000343 04000300 00033604 00060000 ...C......6..... 21f10 01920103 00000353 04000201 03000003 .......S........ 21f20 60040002 01030000 03690400 02010300 `........i...... 21f30 00037204 00030000 011f0400 06000001 ..r............. 21f40 18010300 00038204 00074442 5f434f4d ..........DB_COM 21f50 4d414e44 5f535452 55435400 0c000003 MAND_STRUCT..... 21f60 da08636d 645f7374 72000000 037b0223 ..cmd_str....{.# 21f70 00086865 6c705f73 74720000 00037b02 ..help_str....{. 21f80 23040863 6d645f66 756e6300 00000388 #..cmd_func..... 21f90 02230800 07646267 5f617069 00080000 .#...dbg_api.... 21fa0 040d085f 6462675f 696e6974 00000001 ..._dbg_init.... 21fb0 11022300 085f6462 675f7461 736b0000 ..#.._dbg_task.. 21fc0 00011102 2304000a 04000475 6e736967 ....#......unsig 21fd0 6e656420 696e7400 07040600 00040d01 ned int......... 21fe0 03000004 2004000b 0b030000 042e0400 .... ........... 21ff0 06000004 0d010300 00043604 00060000 ..........6..... 22000 01180103 00000443 0400076d 656d5f61 .......C...mem_a 22010 70690014 000004b2 085f6d65 6d5f696e pi......._mem_in 22020 69740000 00011102 2300085f 6d656d73 it......#.._mems 22030 65740000 00042602 2304085f 6d656d63 et....&.#.._memc 22040 70790000 00043c02 2308085f 6d656d6d py....<.#.._memm 22050 6f766500 0000043c 02230c08 5f6d656d ove....<.#.._mem 22060 636d7000 00000449 02231000 0c726567 cmp....I.#...reg 22070 69737465 725f6475 6d705f73 00000103 ister_dump_s.... 22080 000004b2 04000201 03000004 cc040002 ................ 22090 01030000 04d50400 06000001 18010300 ................ 220a0 0004de04 000d686f 73746966 5f730004 ......hostif_s.. 220b0 0000053a 0e484946 5f555342 00000e48 ...:.HIF_USB...H 220c0 49465f50 43494500 010e4849 465f474d IF_PCIE...HIF_GM 220d0 41430002 0e484946 5f504349 00030e48 AC...HIF_PCI...H 220e0 49465f4e 554d0004 0e484946 5f4e4f4e IF_NUM...HIF_NON 220f0 45000500 09415f48 4f535449 46000000 E....A_HOSTIF... 22100 04eb0600 00053a01 03000005 48040006 ......:.....H... 22110 00000336 01030000 05550400 06000001 ...6.....U...... 22120 92010300 00056204 00076d69 73635f61 ......b...misc_a 22130 70690024 00000652 085f7379 7374656d pi.$...R._system 22140 5f726573 65740000 00011102 2300085f _reset......#.._ 22150 6d61635f 72657365 74000000 01110223 mac_reset......# 22160 04085f61 73736661 696c0000 0004ce02 .._assfail...... 22170 2308085f 6d697361 6c69676e 65645f6c #.._misaligned_l 22180 6f61645f 68616e64 6c657200 000004ce oad_handler..... 22190 02230c08 5f726570 6f72745f 6661696c .#.._report_fail 221a0 7572655f 746f5f68 6f737400 000004d7 ure_to_host..... 221b0 02231008 5f746172 6765745f 69645f67 .#.._target_id_g 221c0 65740000 0004e402 2314085f 69735f68 et......#.._is_h 221d0 6f73745f 70726573 656e7400 0000054e ost_present....N 221e0 02231808 5f6b6268 69740000 00055b02 .#.._kbhit....[. 221f0 231c085f 726f6d5f 76657273 696f6e5f #.._rom_version_ 22200 67657400 00000568 02232000 06000003 get....h.# ..... 22210 7b010300 00065204 00060000 037b0103 {.....R......{.. 22220 0000065f 04000600 00011801 03000006 ..._............ 22230 6c040006 00000118 01030000 06790400 l............y.. 22240 06000001 18010300 00068604 00077374 ..............st 22250 72696e67 5f617069 00180000 070c085f ring_api......._ 22260 73747269 6e675f69 6e697400 00000111 string_init..... 22270 02230008 5f737472 63707900 00000658 .#.._strcpy....X 22280 02230408 5f737472 6e637079 00000006 .#.._strncpy.... 22290 65022308 085f7374 726c656e 00000006 e.#.._strlen.... 222a0 7202230c 085f7374 72636d70 00000006 r.#.._strcmp.... 222b0 7f022310 085f7374 726e636d 70000000 ..#.._strncmp... 222c0 068c0223 14000f00 00041014 00000719 ...#............ 222d0 10040009 5f415f54 494d4552 5f535041 ...._A_TIMER_SPA 222e0 43450000 00070c09 415f7469 6d65725f CE......A_timer_ 222f0 74000000 07190300 00072d04 00020103 t.........-..... 22300 00000743 04000201 03000007 4c040009 ...C........L... 22310 415f4841 4e444c45 00000004 10020109 A_HANDLE........ 22320 415f5449 4d45525f 46554e43 00000007 A_TIMER_FUNC.... 22330 63030000 07650400 02010300 00077e04 c....e........~. 22340 00077469 6d65725f 61706900 14000007 ..timer_api..... 22350 fd085f74 696d6572 5f696e69 74000000 .._timer_init... 22360 01110223 00085f74 696d6572 5f61726d ...#.._timer_arm 22370 00000007 45022304 085f7469 6d65725f ....E.#.._timer_ 22380 64697361 726d0000 00074e02 2308085f disarm....N.#.._ 22390 74696d65 725f7365 74666e00 00000780 timer_setfn..... 223a0 02230c08 5f74696d 65725f72 756e0000 .#.._timer_run.. 223b0 00011102 23100009 424f4f4c 45414e00 ....#...BOOLEAN. 223c0 00000192 06000007 fd010300 00080a04 ................ 223d0 00060000 07fd0103 00000817 04000600 ................ 223e0 0007fd01 03000008 24040007 726f6d70 ........$...romp 223f0 5f617069 00100000 0896085f 726f6d70 _api......._romp 22400 5f696e69 74000000 01110223 00085f72 _init......#.._r 22410 6f6d705f 646f776e 6c6f6164 00000008 omp_download.... 22420 10022304 085f726f 6d705f69 6e737461 ..#.._romp_insta 22430 6c6c0000 00081d02 2308085f 726f6d70 ll......#.._romp 22440 5f646563 6f646500 0000082a 02230c00 _decode....*.#.. 22450 07726f6d 5f706174 63685f73 74001000 .rom_patch_st... 22460 0008f208 63726331 36000000 01920223 ....crc16......# 22470 00086c65 6e000000 01920223 02086c64 ..len......#..ld 22480 5f616464 72000000 01b50223 04086675 _addr......#..fu 22490 6e5f6164 64720000 0001b502 23080870 n_addr......#..p 224a0 66756e00 0000034c 02230c00 07656570 fun....L.#...eep 224b0 5f726564 69725f61 64647200 04000009 _redir_addr..... 224c0 24086f66 66736574 00000001 92022300 $.offset......#. 224d0 0873697a 65000000 01920223 02000941 .size......#...A 224e0 5f55494e 54333200 00000410 06000004 _UINT32......... 224f0 0d010300 00093204 0007616c 6c6f6372 ......2...allocr 22500 616d5f61 7069000c 000009a3 08636d6e am_api.......cmn 22510 6f735f61 6c6c6f63 72616d5f 696e6974 os_allocram_init 22520 00000009 38022300 08636d6e 6f735f61 ....8.#..cmnos_a 22530 6c6c6f63 72616d00 00000938 02230408 llocram....8.#.. 22540 636d6e6f 735f616c 6c6f6372 616d5f64 cmnos_allocram_d 22550 65627567 00000001 11022308 00020103 ebug......#..... 22560 000009a3 04000941 5f544153 4b4c4554 .......A_TASKLET 22570 5f46554e 43000000 09a5075f 7461736b _FUNC......_task 22580 6c657400 1000000a 04086675 6e630000 let.......func.. 22590 0009ac02 23000861 72670000 00040d02 ....#..arg...... 225a0 23040873 74617465 00000001 18022308 #..state......#. 225b0 086e6578 74000000 0a040223 0c000300 .next......#.... 225c0 0009c004 00030000 09c00400 09415f74 .............A_t 225d0 61736b6c 65745f74 00000009 c0030000 asklet_t........ 225e0 0a120400 02010300 000a2a04 00020103 ..........*..... 225f0 00000a33 04000774 61736b6c 65745f61 ...3...tasklet_a 22600 70690014 00000ac8 085f7461 736b6c65 pi......._taskle 22610 745f696e 69740000 00011102 2300085f t_init......#.._ 22620 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas 22630 6b000000 0a2c0223 04085f74 61736b6c k....,.#.._taskl 22640 65745f64 69736162 6c650000 000a3502 et_disable....5. 22650 2308085f 7461736b 6c65745f 73636865 #.._tasklet_sche 22660 64756c65 0000000a 3502230c 085f7461 dule....5.#.._ta 22670 736b6c65 745f7275 6e000000 01110223 sklet_run......# 22680 10000201 0300000a c8040006 00000924 ...............$ 22690 01030000 0ad10400 02010300 000ade04 ................ 226a0 0007636c 6f636b5f 61706900 2400000b ..clock_api.$... 226b0 c0085f63 6c6f636b 5f696e69 74000000 .._clock_init... 226c0 0aca0223 00085f63 6c6f636b 72656773 ...#.._clockregs 226d0 5f696e69 74000000 01110223 04085f75 _init......#.._u 226e0 6172745f 66726571 75656e63 79000000 art_frequency... 226f0 0ad70223 08085f64 656c6179 5f757300 ...#.._delay_us. 22700 00000ae0 02230c08 5f776c61 6e5f6261 .....#.._wlan_ba 22710 6e645f73 65740000 000ae002 2310085f nd_set......#.._ 22720 72656663 6c6b5f73 70656564 5f676574 refclk_speed_get 22730 0000000a d7022314 085f6d69 6c6c6973 ......#.._millis 22740 65636f6e 64730000 000ad702 2318085f econds......#.._ 22750 73797363 6c6b5f63 68616e67 65000000 sysclk_change... 22760 01110223 1c085f63 6c6f636b 5f746963 ...#.._clock_tic 22770 6b000000 01110223 20000600 0001b501 k......# ....... 22780 0300000b c0040009 415f6f6c 645f696e ........A_old_in 22790 74725f74 00000001 b5060000 0bcd0103 tr_t............ 227a0 00000bdf 04000201 0300000b ec040002 ................ 227b0 01030000 0bf50400 06000001 b5010300 ................ 227c0 000bfe04 0009415f 6973725f 74000000 ......A_isr_t... 227d0 0c040201 0300000c 18040006 00000410 ................ 227e0 01030000 0c210400 02010300 000c2e04 .....!.......... 227f0 0007696e 74725f61 7069002c 00000d50 ..intr_api.,...P 22800 085f696e 74725f69 6e697400 00000111 ._intr_init..... 22810 02230008 5f696e74 725f696e 766f6b65 .#.._intr_invoke 22820 5f697372 0000000b c6022304 085f696e _isr......#.._in 22830 74725f64 69736162 6c650000 000be502 tr_disable...... 22840 2308085f 696e7472 5f726573 746f7265 #.._intr_restore 22850 0000000b ee02230c 085f696e 74725f6d ......#.._intr_m 22860 61736b5f 696e756d 0000000b f7022310 ask_inum......#. 22870 085f696e 74725f75 6e6d6173 6b5f696e ._intr_unmask_in 22880 756d0000 000bf702 2314085f 696e7472 um......#.._intr 22890 5f617474 6163685f 69737200 00000c1a _attach_isr..... 228a0 02231808 5f676574 5f696e74 72656e61 .#.._get_intrena 228b0 626c6500 00000c27 02231c08 5f736574 ble....'.#.._set 228c0 5f696e74 72656e61 626c6500 00000c30 _intrenable....0 228d0 02232008 5f676574 5f696e74 7270656e .# ._get_intrpen 228e0 64696e67 0000000c 27022324 085f756e ding....'.#$._un 228f0 626c6f63 6b5f616c 6c5f696e 74726c76 block_all_intrlv 22900 6c000000 01110223 28001104 00000d76 l......#(......v 22910 0874696d 656f7574 00000001 b5022300 .timeout......#. 22920 08616374 696f6e00 000001b5 02230000 .action......#.. 22930 12080000 0d910863 6d640000 0001b502 .......cmd...... 22940 23001300 000d5002 23040009 545f5744 #.....P.#...T_WD 22950 545f434d 44000000 0d760201 0300000d T_CMD....v...... 22960 a0040014 0400000d f60e454e 554d5f57 ..........ENUM_W 22970 44545f42 4f4f5400 010e454e 554d5f43 DT_BOOT...ENUM_C 22980 4f4c445f 424f4f54 00020e45 4e554d5f OLD_BOOT...ENUM_ 22990 53555350 5f424f4f 5400030e 454e554d SUSP_BOOT...ENUM 229a0 5f554e4b 4e4f574e 5f424f4f 54000400 _UNKNOWN_BOOT... 229b0 09545f42 4f4f545f 54595045 0000000d .T_BOOT_TYPE.... 229c0 a9060000 0df60103 00000e07 04000777 ...............w 229d0 64745f61 7069001c 00000eab 085f7764 dt_api......._wd 229e0 745f696e 69740000 00011102 2300085f t_init......#.._ 229f0 7764745f 656e6162 6c650000 00011102 wdt_enable...... 22a00 2304085f 7764745f 64697361 626c6500 #.._wdt_disable. 22a10 00000111 02230808 5f776474 5f736574 .....#.._wdt_set 22a20 0000000d a202230c 085f7764 745f7461 ......#.._wdt_ta 22a30 736b0000 00011102 2310085f 7764745f sk......#.._wdt_ 22a40 72657365 74000000 01110223 14085f77 reset......#.._w 22a50 64745f6c 6173745f 626f6f74 0000000e dt_last_boot.... 22a60 0d022318 00140400 000f120e 5245545f ..#.........RET_ 22a70 53554343 45535300 000e5245 545f4e4f SUCCESS...RET_NO 22a80 545f494e 49540001 0e524554 5f4e4f54 T_INIT...RET_NOT 22a90 5f455849 53540002 0e524554 5f454550 _EXIST...RET_EEP 22aa0 5f434f52 52555054 00030e52 45545f45 _CORRUPT...RET_E 22ab0 45505f4f 56455246 4c4f5700 040e5245 EP_OVERFLOW...RE 22ac0 545f554e 4b4e4f57 4e000500 09545f45 T_UNKNOWN....T_E 22ad0 45505f52 45540000 000eab03 00000192 EP_RET.......... 22ae0 04000600 000f1201 0300000f 28040006 ............(... 22af0 00000f12 01030000 0f350400 07656570 .........5...eep 22b00 5f617069 00100000 0f9e085f 6565705f _api......._eep_ 22b10 696e6974 00000001 11022300 085f6565 init......#.._ee 22b20 705f7265 61640000 000f2e02 2304085f p_read......#.._ 22b30 6565705f 77726974 65000000 0f2e0223 eep_write......# 22b40 08085f65 65705f69 735f6578 69737400 .._eep_is_exist. 22b50 00000f3b 02230c00 07757362 5f617069 ...;.#...usb_api 22b60 00700000 124b085f 7573625f 696e6974 .p...K._usb_init 22b70 00000001 11022300 085f7573 625f726f ......#.._usb_ro 22b80 6d5f7461 736b0000 00011102 2304085f m_task......#.._ 22b90 7573625f 66775f74 61736b00 00000111 usb_fw_task..... 22ba0 02230808 5f757362 5f696e69 745f7068 .#.._usb_init_ph 22bb0 79000000 01110223 0c085f75 73625f65 y......#.._usb_e 22bc0 70305f73 65747570 00000001 11022310 p0_setup......#. 22bd0 085f7573 625f6570 305f7478 00000001 ._usb_ep0_tx.... 22be0 11022314 085f7573 625f6570 305f7278 ..#.._usb_ep0_rx 22bf0 00000001 11022318 085f7573 625f6765 ......#.._usb_ge 22c00 745f696e 74657266 61636500 0000081d t_interface..... 22c10 02231c08 5f757362 5f736574 5f696e74 .#.._usb_set_int 22c20 65726661 63650000 00081d02 2320085f erface......# ._ 22c30 7573625f 6765745f 636f6e66 69677572 usb_get_configur 22c40 6174696f 6e000000 081d0223 24085f75 ation......#$._u 22c50 73625f73 65745f63 6f6e6669 67757261 sb_set_configura 22c60 74696f6e 00000008 1d022328 085f7573 tion......#(._us 22c70 625f7374 616e6461 72645f63 6d640000 b_standard_cmd.. 22c80 00081d02 232c085f 7573625f 76656e64 ....#,._usb_vend 22c90 6f725f63 6d640000 00011102 2330085f or_cmd......#0._ 22ca0 7573625f 706f7765 725f6f66 66000000 usb_power_off... 22cb0 01110223 34085f75 73625f72 65736574 ...#4._usb_reset 22cc0 5f666966 6f000000 01110223 38085f75 _fifo......#8._u 22cd0 73625f67 656e5f77 64740000 00011102 sb_gen_wdt...... 22ce0 233c085f 7573625f 6a756d70 5f626f6f #<._usb_jump_boo 22cf0 74000000 01110223 40085f75 73625f63 t......#@._usb_c 22d00 6c725f66 65617475 72650000 00081d02 lr_feature...... 22d10 2344085f 7573625f 7365745f 66656174 #D._usb_set_feat 22d20 75726500 0000081d 02234808 5f757362 ure......#H._usb 22d30 5f736574 5f616464 72657373 00000008 _set_address.... 22d40 1d02234c 085f7573 625f6765 745f6465 ..#L._usb_get_de 22d50 73637269 70746f72 00000008 1d022350 scriptor......#P 22d60 085f7573 625f6765 745f7374 61747573 ._usb_get_status 22d70 00000008 1d022354 085f7573 625f7365 ......#T._usb_se 22d80 7475705f 64657363 00000001 11022358 tup_desc......#X 22d90 085f7573 625f7265 675f6f75 74000000 ._usb_reg_out... 22da0 01110223 5c085f75 73625f73 74617475 ...#\._usb_statu 22db0 735f696e 00000001 11022360 085f7573 s_in......#`._us 22dc0 625f6570 305f7478 5f646174 61000000 b_ep0_tx_data... 22dd0 01110223 64085f75 73625f65 70305f72 ...#d._usb_ep0_r 22de0 785f6461 74610000 00011102 2368085f x_data......#h._ 22df0 7573625f 636c6b5f 696e6974 00000001 usb_clk_init.... 22e00 1102236c 00075f56 44455343 00240000 ..#l.._VDESC.$.. 22e10 12d7086e 6578745f 64657363 00000012 ...next_desc.... 22e20 d7022300 08627566 5f616464 72000000 ..#..buf_addr... 22e30 12eb0223 04086275 665f7369 7a650000 ...#..buf_size.. 22e40 0012f202 23080864 6174615f 6f666673 ....#..data_offs 22e50 65740000 0012f202 230a0864 6174615f et......#..data_ 22e60 73697a65 00000012 f202230c 08636f6e size......#..con 22e70 74726f6c 00000012 f202230e 0868775f trol......#..hw_ 22e80 64657363 5f627566 00000013 00022310 desc_buf......#. 22e90 00030000 124b0400 09415f55 494e5438 .....K...A_UINT8 22ea0 00000003 25030000 12de0400 09415f55 ....%........A_U 22eb0 494e5431 36000000 017c0f00 0012de14 INT16....|...... 22ec0 0000130d 10130003 0000124b 04000956 ...........K...V 22ed0 44455343 00000012 4b030000 13140400 DESC....K....... 22ee0 06000013 1f010300 00132604 00060000 ..........&..... 22ef0 12eb0103 00001333 04000201 03000013 .......3........ 22f00 40040007 76646573 635f6170 69001400 @...vdesc_api... 22f10 0013b808 5f696e69 74000000 0ae00223 ...._init......# 22f20 00085f61 6c6c6f63 5f766465 73630000 .._alloc_vdesc.. 22f30 00132c02 2304085f 6765745f 68775f64 ..,.#.._get_hw_d 22f40 65736300 00001339 02230808 5f737761 esc....9.#.._swa 22f50 705f7664 65736300 00001342 02230c08 p_vdesc....B.#.. 22f60 70526573 65727665 64000000 040d0223 pReserved......# 22f70 1000075f 56425546 00200000 14180864 ..._VBUF. .....d 22f80 6573635f 6c697374 00000013 1f022300 esc_list......#. 22f90 086e6578 745f6275 66000000 14180223 .next_buf......# 22fa0 04086275 665f6c65 6e677468 00000012 ..buf_length.... 22fb0 f2022308 08726573 65727665 64000000 ..#..reserved... 22fc0 141f0223 0a086374 78000000 13000223 ...#..ctx......# 22fd0 0c000300 0013b804 000f0000 12de0200 ................ 22fe0 00142c10 01000300 0013b804 00095642 ..,...........VB 22ff0 55460000 0013b803 00001433 04000600 UF.........3.... 23000 00143d01 03000014 44040006 0000143d ..=.....D......= 23010 01030000 14510400 02010300 00145e04 .....Q........^. 23020 00077662 75665f61 70690014 000014dc ..vbuf_api...... 23030 085f696e 69740000 000ae002 2300085f ._init......#.._ 23040 616c6c6f 635f7662 75660000 00144a02 alloc_vbuf....J. 23050 2304085f 616c6c6f 635f7662 75665f77 #.._alloc_vbuf_w 23060 6974685f 73697a65 00000014 57022308 ith_size....W.#. 23070 085f6672 65655f76 62756600 00001460 ._free_vbuf....` 23080 02230c08 70526573 65727665 64000000 .#..pReserved... 23090 040d0223 1000075f 5f616466 5f646576 ...#...__adf_dev 230a0 69636500 04000014 fe086475 6d6d7900 ice.......dummy. 230b0 00000118 02230000 03000009 24040007 .....#......$... 230c0 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... 230d0 00154508 62756600 0000143d 02230008 ..E.buf....=.#.. 230e0 64735f61 64647200 000014fe 02230408 ds_addr......#.. 230f0 64735f6c 656e0000 0012f202 23080012 ds_len......#... 23100 0c000015 7f085f5f 76615f73 746b0000 ......__va_stk.. 23110 00037b02 2300085f 5f76615f 72656700 ..{.#..__va_reg. 23120 0000037b 02230408 5f5f7661 5f6e6478 ...{.#..__va_ndx 23130 00000001 18022308 00095f5f 6164665f ......#...__adf_ 23140 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 23150 09240961 64665f6f 735f646d 615f6164 .$.adf_os_dma_ad 23160 64725f74 00000015 7f095f5f 6164665f dr_t......__adf_ 23170 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 23180 09240961 64665f6f 735f646d 615f7369 .$.adf_os_dma_si 23190 7a655f74 00000015 af075f5f 646d615f ze_t......__dma_ 231a0 73656773 00080000 160b0870 61646472 segs.......paddr 231b0 00000015 98022300 086c656e 00000015 ......#..len.... 231c0 c8022304 00095f5f 615f7569 6e743332 ..#...__a_uint32 231d0 5f740000 00092409 615f7569 6e743332 _t....$.a_uint32 231e0 5f740000 00160b0f 000015df 08000016 _t.............. 231f0 3a100000 07616466 5f6f735f 646d616d :....adf_os_dmam 23200 61705f69 6e666f00 0c000016 73086e73 ap_info.....s.ns 23210 65677300 0000161d 02230008 646d615f egs......#..dma_ 23220 73656773 00000016 2d022304 00095f5f segs....-.#...__ 23230 615f7569 6e74385f 74000000 12de0961 a_uint8_t......a 23240 5f75696e 74385f74 00000016 73030000 _uint8_t....s... 23250 16840400 075f5f73 675f7365 67730008 .....__sg_segs.. 23260 000016c5 08766164 64720000 00169302 .....vaddr...... 23270 2300086c 656e0000 00161d02 2304000f #..len......#... 23280 0000169a 20000016 d2100300 07616466 .... ........adf 23290 5f6f735f 73676c69 73740024 00001705 _os_sglist.$.... 232a0 086e7365 67730000 00161d02 23000873 .nsegs......#..s 232b0 675f7365 67730000 0016c502 23040012 g_segs......#... 232c0 10000017 4e087665 6e646f72 00000016 ....N.vendor.... 232d0 1d022300 08646576 69636500 0000161d ..#..device..... 232e0 02230408 73756276 656e646f 72000000 .#..subvendor... 232f0 161d0223 08087375 62646576 69636500 ...#..subdevice. 23300 0000161d 02230c00 046c6f6e 67206c6f .....#...long lo 23310 6e672075 6e736967 6e656420 696e7400 ng unsigned int. 23320 07080941 5f55494e 54363400 0000174e ...A_UINT64....N 23330 095f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... 23340 17680961 5f75696e 7436345f 74000000 .h.a_uint64_t... 23350 17761404 000017d4 0e414446 5f4f535f .v.......ADF_OS_ 23360 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME 23370 4d00000e 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU 23380 5243455f 54595045 5f494f00 01000961 RCE_TYPE_IO....a 23390 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 233a0 7970655f 74000000 17981218 0000181e ype_t........... 233b0 08737461 72740000 00178802 23000865 .start......#..e 233c0 6e640000 00178802 23080874 79706500 nd......#..type. 233d0 000017d4 02231000 09616466 5f6f735f .....#...adf_os_ 233e0 7063695f 6465765f 69645f74 00000017 pci_dev_id_t.... 233f0 05030000 181e0400 11040000 185d0870 .............].p 23400 63690000 00183702 23000872 61770000 ci....7.#..raw.. 23410 00040d02 23000011 10000018 7c087063 ....#.......|.pc 23420 69000000 181e0223 00087261 77000000 i......#..raw... 23430 040d0223 00000961 64665f64 72765f68 ...#...adf_drv_h 23440 616e646c 655f7400 0000040d 09616466 andle_t......adf 23450 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. 23460 0017f003 00001892 04000961 64665f6f ...........adf_o 23470 735f6174 74616368 5f646174 615f7400 s_attach_data_t. 23480 0000185d 03000018 b0040003 000014dc ...]............ 23490 0400095f 5f616466 5f6f735f 64657669 ...__adf_os_devi 234a0 63655f74 00000018 d1096164 665f6f73 ce_t......adf_os 234b0 5f646576 6963655f 74000000 18d80600 _device_t....... 234c0 00187c01 03000019 04040002 01030000 ..|............. 234d0 19110400 09616466 5f6f735f 706d5f74 .....adf_os_pm_t 234e0 00000004 0d020103 0000192b 04001404 ...........+.... 234f0 0000196b 0e414446 5f4f535f 4255535f ...k.ADF_OS_BUS_ 23500 54595045 5f504349 00010e41 44465f4f TYPE_PCI...ADF_O 23510 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER 23520 49430002 00096164 665f6f73 5f627573 IC....adf_os_bus 23530 5f747970 655f7400 00001934 09616466 _type_t....4.adf 23540 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data 23550 5f740000 00183e03 00000325 0400075f _t....>....%..._ 23560 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. 23570 1a480864 72765f61 74746163 68000000 .H.drv_attach... 23580 190a0223 00086472 765f6465 74616368 ...#..drv_detach 23590 00000019 13022304 08647276 5f737573 ......#..drv_sus 235a0 70656e64 00000019 2d022308 08647276 pend....-.#..drv 235b0 5f726573 756d6500 00001913 02230c08 _resume......#.. 235c0 6275735f 74797065 00000019 6b022310 bus_type....k.#. 235d0 08627573 5f646174 61000000 19820223 .bus_data......# 235e0 14086d6f 645f6e61 6d650000 00199d02 ..mod_name...... 235f0 23180869 666e616d 65000000 199d0223 #..ifname......# 23600 1c000961 64665f6f 735f6861 6e646c65 ...adf_os_handle 23610 5f740000 00040d03 00001673 04000201 _t.........s.... 23620 0201095f 5f616466 5f6f735f 73697a65 ...__adf_os_size 23630 5f740000 00041014 0400001a 970e415f _t............A_ 23640 46414c53 4500000e 415f5452 55450001 FALSE...A_TRUE.. 23650 0009615f 626f6f6c 5f740000 001a7d03 ..a_bool_t....}. 23660 00001505 0400095f 5f616466 5f6f735f .......__adf_os_ 23670 646d615f 6d61705f 74000000 1aa50201 dma_map_t....... 23680 0d616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy 23690 6e630004 00001b2f 0e414446 5f53594e nc...../.ADF_SYN 236a0 435f5052 45524541 4400000e 4144465f C_PREREAD...ADF_ 236b0 53594e43 5f505245 57524954 4500020e SYNC_PREWRITE... 236c0 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA 236d0 4400010e 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS 236e0 54575249 54450003 00096164 665f6f73 TWRITE....adf_os 236f0 5f636163 68655f73 796e635f 74000000 _cache_sync_t... 23700 1ac60201 09616466 5f6f735f 73697a65 .....adf_os_size 23710 5f740000 001a6806 00001b4a 01096164 _t....h....J..ad 23720 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 23730 001aac03 00001b63 04000600 00040d01 .......c........ 23740 0300001a ac040006 0000040d 01020106 ................ 23750 00001598 01020104 73686f72 7420696e ........short in 23760 74000502 09415f49 4e543136 0000001b t....A_INT16.... 23770 9d095f5f 615f696e 7431365f 74000000 ..__a_int16_t... 23780 1baa0961 5f696e74 31365f74 0000001b ...a_int16_t.... 23790 b7047369 676e6564 20636861 72000501 ..signed char... 237a0 09415f49 4e543800 00001bd7 095f5f61 .A_INT8......__a 237b0 5f696e74 385f7400 00001be6 09615f69 _int8_t......a_i 237c0 6e74385f 74000000 1bf2120c 00001c69 nt8_t..........i 237d0 08737570 706f7274 65640000 00161d02 .supported...... 237e0 23000861 64766572 74697a65 64000000 #..advertized... 237f0 161d0223 04087370 65656400 00001bc8 ...#..speed..... 23800 02230808 6475706c 65780000 001c0202 .#..duplex...... 23810 230a0861 75746f6e 65670000 00168402 #..autoneg...... 23820 230b000f 00001684 0600001c 76100500 #...........v... 23830 07616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr 23840 00060000 1c9a0861 64647200 00001c69 .......addr....i 23850 02230000 095f5f61 5f75696e 7431365f .#...__a_uint16_ 23860 74000000 12f20961 5f75696e 7431365f t......a_uint16_ 23870 74000000 1c9a120e 00001cfe 08657468 t............eth 23880 65725f64 686f7374 0000001c 69022300 er_dhost....i.#. 23890 08657468 65725f73 686f7374 0000001c .ether_shost.... 238a0 69022306 08657468 65725f74 79706500 i.#..ether_type. 238b0 00001cac 02230c00 12140000 1dbf1569 .....#.........i 238c0 705f7665 7273696f 6e000000 16840100 p_version....... 238d0 04022300 1569705f 686c0000 00168401 ..#..ip_hl...... 238e0 04040223 00086970 5f746f73 00000016 ...#..ip_tos.... 238f0 84022301 0869705f 6c656e00 00001cac ..#..ip_len..... 23900 02230208 69705f69 64000000 1cac0223 .#..ip_id......# 23910 04086970 5f667261 675f6f66 66000000 ..ip_frag_off... 23920 1cac0223 06086970 5f74746c 00000016 ...#..ip_ttl.... 23930 84022308 0869705f 70726f74 6f000000 ..#..ip_proto... 23940 16840223 09086970 5f636865 636b0000 ...#..ip_check.. 23950 001cac02 230a0869 705f7361 64647200 ....#..ip_saddr. 23960 0000161d 02230c08 69705f64 61646472 .....#..ip_daddr 23970 00000016 1d022310 00076164 665f6e65 ......#...adf_ne 23980 745f766c 616e6864 72000400 001e1108 t_vlanhdr....... 23990 74706964 0000001c ac022300 15707269 tpid......#..pri 239a0 6f000000 16840100 03022302 15636669 o.........#..cfi 239b0 00000016 84010301 02230215 76696400 .........#..vid. 239c0 00001cac 02040c02 23020007 6164665f ........#...adf_ 239d0 6e65745f 76696400 0200001e 42157265 net_vid.....B.re 239e0 73000000 16840100 04022300 1576616c s.........#..val 239f0 0000001c ac02040c 02230000 120c0000 .........#...... 23a00 1e7e0872 785f6275 6673697a 65000000 .~.rx_bufsize... 23a10 161d0223 00087278 5f6e6465 73630000 ...#..rx_ndesc.. 23a20 00161d02 23040874 785f6e64 65736300 ....#..tx_ndesc. 23a30 0000161d 02230800 12080000 1ea40870 .....#.........p 23a40 6f6c6c65 64000000 1a970223 0008706f olled......#..po 23a50 6c6c5f77 74000000 161d0223 04000f00 ll_wt......#.... 23a60 00168440 00001eb1 103f0012 4600001e ...@.....?..F... 23a70 d9086966 5f6e616d 65000000 1ea40223 ..if_name......# 23a80 00086465 765f6164 64720000 001c6902 ..dev_addr....i. 23a90 23400014 0400001f 100e4144 465f4f53 #@........ADF_OS 23aa0 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. 23ab0 000e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS 23ac0 4b5f3634 42495400 01000961 64665f6f K_64BIT....adf_o 23ad0 735f646d 615f6d61 736b5f74 0000001e s_dma_mask_t.... 23ae0 d9076164 665f646d 615f696e 666f0008 ..adf_dma_info.. 23af0 00001f5d 08646d61 5f6d6173 6b000000 ...].dma_mask... 23b00 1f100223 00087367 5f6e7365 67730000 ...#..sg_nsegs.. 23b10 00161d02 23040014 0400001f b30e4144 ....#.........AD 23b20 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE 23b30 00000e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 23b40 5f544350 5f554450 5f495076 3400010e _TCP_UDP_IPv4... 23b50 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC 23b60 505f5544 505f4950 76360002 00096164 P_UDP_IPv6....ad 23b70 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type 23b80 5f740000 001f5d12 0800001f f6087478 _t....].......tx 23b90 5f636b73 756d0000 001fb302 23000872 _cksum......#..r 23ba0 785f636b 73756d00 00001fb3 02230400 x_cksum......#.. 23bb0 09616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i 23bc0 6e666f5f 74000000 1fcd1404 0000204f nfo_t......... O 23bd0 0e414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON 23be0 4500000e 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ 23bf0 49505634 00010e41 44465f4e 45545f54 IPV4...ADF_NET_T 23c00 534f5f41 4c4c0002 00096164 665f6e65 SO_ALL....adf_ne 23c10 745f7473 6f5f7479 70655f74 00000020 t_tso_type_t... 23c20 10121000 0020a308 636b7375 6d5f6361 ..... ..cksum_ca 23c30 70000000 1ff60223 00087473 6f000000 p......#..tso... 23c40 204f0223 0808766c 616e5f73 7570706f O.#..vlan_suppo 23c50 72746564 00000016 8402230c 00122000 rted......#... . 23c60 00213c08 74785f70 61636b65 74730000 .!<.tx_packets.. 23c70 00161d02 23000872 785f7061 636b6574 ....#..rx_packet 23c80 73000000 161d0223 04087478 5f627974 s......#..tx_byt 23c90 65730000 00161d02 23080872 785f6279 es......#..rx_by 23ca0 74657300 0000161d 02230c08 74785f64 tes......#..tx_d 23cb0 726f7070 65640000 00161d02 23100872 ropped......#..r 23cc0 785f6472 6f707065 64000000 161d0223 x_dropped......# 23cd0 14087278 5f657272 6f727300 0000161d ..rx_errors..... 23ce0 02231808 74785f65 72726f72 73000000 .#..tx_errors... 23cf0 161d0223 1c000961 64665f6e 65745f65 ...#...adf_net_e 23d00 74686164 64725f74 0000001c 76160000 thaddr_t....v... 23d10 213c0300 00002161 107f0017 6164665f !<....!a....adf_ 23d20 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. 23d30 04000021 98086e65 6c656d00 0000161d ...!..nelem..... 23d40 02230008 6d636173 74000000 21530223 .#..mcast...!S.# 23d50 04000961 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l 23d60 696e6b5f 696e666f 5f740000 001c1009 ink_info_t...... 23d70 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll 23d80 5f696e66 6f5f7400 00001e7e 09616466 _info_t....~.adf 23d90 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i 23da0 6e666f5f 74000000 1ff60961 64665f6e nfo_t......adf_n 23db0 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info 23dc0 5f740000 001e4209 6164665f 6e65745f _t....B.adf_net_ 23dd0 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. 23de0 001f2709 6164665f 6e65745f 636d645f ..'.adf_net_cmd_ 23df0 7669645f 74000000 1cac0961 64665f6e vid_t......adf_n 23e00 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c 23e10 61705f74 00000020 67096164 665f6e65 ap_t... g.adf_ne 23e20 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... 23e30 20a30961 64665f6e 65745f63 6d645f6d ..adf_net_cmd_m 23e40 63616464 725f7400 00002161 0d616466 caddr_t...!a.adf 23e50 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 23e60 61700004 000022da 0e414446 5f4e4554 ap...."..ADF_NET 23e70 5f4d4341 53545f53 55500000 0e414446 _MCAST_SUP...ADF 23e80 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU 23e90 50000100 09616466 5f6e6574 5f636d64 P....adf_net_cmd 23ea0 5f6d6361 73745f63 61705f74 00000022 _mcast_cap_t..." 23eb0 92180304 000023ac 086c696e 6b5f696e ......#..link_in 23ec0 666f0000 00219802 23000870 6f6c6c5f fo...!..#..poll_ 23ed0 696e666f 00000021 b5022300 08636b73 info...!..#..cks 23ee0 756d5f69 6e666f00 000021d2 02230008 um_info...!..#.. 23ef0 72696e67 5f696e66 6f000000 21f00223 ring_info...!..# 23f00 0008646d 615f696e 666f0000 00220d02 ..dma_info...".. 23f10 23000876 69640000 00222902 2300086f #..vid...").#..o 23f20 66666c6f 61645f63 61700000 00224002 ffload_cap..."@. 23f30 23000873 74617473 00000022 5f022300 #..stats..."_.#. 23f40 086d6361 73745f69 6e666f00 00002278 .mcast_info..."x 23f50 02230008 6d636173 745f6361 70000000 .#..mcast_cap... 23f60 22da0223 00001404 00002403 0e414446 "..#......$..ADF 23f70 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N 23f80 4f4e4500 000e4144 465f4e42 55465f52 ONE...ADF_NBUF_R 23f90 585f434b 53554d5f 48570001 0e414446 X_CKSUM_HW...ADF 23fa0 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U 23fb0 4e4e4543 45535341 52590002 00096164 NNECESSARY....ad 23fc0 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 23fd0 74797065 5f740000 0023ac12 08000024 type_t...#.....$ 23fe0 43087265 73756c74 00000024 03022300 C.result...$..#. 23ff0 0876616c 00000016 1d022304 00120800 .val......#..... 24000 00247308 74797065 00000020 4f022300 .$s.type... O.#. 24010 086d7373 0000001c ac022304 08686472 .mss......#..hdr 24020 5f6f6666 00000016 84022306 00075f5f _off......#...__ 24030 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. 24040 000024b2 08686561 64000000 143d0223 ..$..head....=.# 24050 00087461 696c0000 00143d02 23040871 ..tail....=.#..q 24060 6c656e00 0000161d 02230800 095f5f61 len......#...__a 24070 64665f6e 6275665f 74000000 143d0300 df_nbuf_t....=.. 24080 00169304 00030000 161d0400 02010600 ................ 24090 00131f01 06000016 1d010600 00169301 ................ 240a0 06000016 93010300 00130004 00095f5f ..............__ 240b0 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t 240c0 00000024 73095f5f 6164665f 6e627566 ...$s.__adf_nbuf 240d0 5f717565 75655f74 00000024 f3030000 _queue_t...$.... 240e0 250b0400 06000024 b2010600 0024b201 %......$.....$.. 240f0 14040000 262b0e41 5f535441 5455535f ....&+.A_STATUS_ 24100 4f4b0000 0e415f53 54415455 535f4641 OK...A_STATUS_FA 24110 494c4544 00010e41 5f535441 5455535f ILED...A_STATUS_ 24120 454e4f45 4e540002 0e415f53 54415455 ENOENT...A_STATU 24130 535f454e 4f4d454d 00030e41 5f535441 S_ENOMEM...A_STA 24140 5455535f 45494e56 414c0004 0e415f53 TUS_EINVAL...A_S 24150 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES 24160 5300050e 415f5354 41545553 5f454e4f S...A_STATUS_ENO 24170 54535550 5000060e 415f5354 41545553 TSUPP...A_STATUS 24180 5f454255 53590007 0e415f53 54415455 _EBUSY...A_STATU 24190 535f4532 42494700 080e415f 53544154 S_E2BIG...A_STAT 241a0 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL 241b0 00090e41 5f535441 5455535f 454e5849 ...A_STATUS_ENXI 241c0 4f000a0e 415f5354 41545553 5f454641 O...A_STATUS_EFA 241d0 554c5400 0b0e415f 53544154 55535f45 ULT...A_STATUS_E 241e0 494f000c 0009615f 73746174 75735f74 IO....a_status_t 241f0 00000025 36060000 262b0106 00000118 ...%6...&+...... 24200 01020109 6164665f 6e627566 5f740000 ....adf_nbuf_t.. 24210 0024b214 04000026 900e4144 465f4f53 .$.....&..ADF_OS 24220 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. 24230 0e414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM 24240 5f444556 49434500 01000961 64665f6f _DEVICE....adf_o 24250 735f646d 615f6469 725f7400 00002659 s_dma_dir_t...&Y 24260 06000026 2b010201 09616466 5f6f735f ...&+....adf_os_ 24270 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... 24280 163a0300 0026ae04 00020102 01060000 .:...&.......... 24290 26490106 000024b2 01020102 01060000 &I....$......... 242a0 26490106 000024b2 01060000 26490106 &I....$.....&I.. 242b0 000024b2 01060000 26490102 01020106 ..$.....&I...... 242c0 0000161d 01060000 16930102 01020106 ................ 242d0 00001b4a 01060000 1a970106 00001a97 ...J............ 242e0 01096164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ 242f0 74000000 16d20300 00272704 00020102 t........''..... 24300 01020106 00001693 01096164 665f6e62 ..........adf_nb 24310 75665f71 75657565 5f740000 00250b03 uf_queue_t...%.. 24320 0000274f 04000201 03000024 f3040002 ..'O.......$.... 24330 01020102 01060000 26490106 000024b2 ........&I....$. 24340 01060000 161d0106 0000161d 01060000 ................ 24350 1a970106 00001a97 01060000 1fb30106 ................ 24360 0000161d 01096164 665f6e62 75665f72 ......adf_nbuf_r 24370 785f636b 73756d5f 74000000 24210300 x_cksum_t...$!.. 24380 0027ab04 00020102 01096164 665f6e62 .'........adf_nb 24390 75665f74 736f5f74 00000024 43030000 uf_tso_t...$C... 243a0 27cf0400 02010201 09616466 5f6e6574 '........adf_net 243b0 5f68616e 646c655f 74000000 040d0961 _handle_t......a 243c0 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t 243d0 0000001d bf030000 28040400 06000026 ........(......& 243e0 2b010600 00262b01 02010201 075f4849 +....&+......_HI 243f0 465f434f 4e464947 00040000 28530864 F_CONFIG....(S.d 24400 756d6d79 00000001 18022300 00020103 ummy......#..... 24410 00002853 04000201 03000028 5c040007 ..(S.......(\... 24420 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... 24430 0028b108 73656e64 5f627566 5f646f6e .(..send_buf_don 24440 65000000 28550223 00087265 63765f62 e...(U.#..recv_b 24450 75660000 00285e02 23040863 6f6e7465 uf...(^.#..conte 24460 78740000 00040d02 23080009 6869665f xt......#...hif_ 24470 68616e64 6c655f74 00000004 0d094849 handle_t......HI 24480 465f434f 4e464947 00000028 32030000 F_CONFIG...(2... 24490 28c30400 06000028 b1010300 0028da04 (......(.....(.. 244a0 00020103 000028e7 04000948 49465f43 ......(....HIF_C 244b0 414c4c42 41434b00 00002865 03000028 ALLBACK...(e...( 244c0 f0040002 01030000 29090400 06000001 ........)....... 244d0 18010300 00291204 00020103 0000291f .....)........). 244e0 04000600 00011801 03000029 28040002 ...........)(... 244f0 01030000 29350400 06000001 18010300 ....)5.......... 24500 00293e04 00020103 0000294b 04000768 .)>.......)K...h 24510 69665f61 70690038 00002aa4 085f696e if_api.8..*.._in 24520 69740000 0028e002 2300085f 73687574 it...(..#.._shut 24530 646f776e 00000028 e9022304 085f7265 down...(..#.._re 24540 67697374 65725f63 616c6c62 61636b00 gister_callback. 24550 0000290b 02230808 5f676574 5f746f74 ..)..#.._get_tot 24560 616c5f63 72656469 745f636f 756e7400 al_credit_count. 24570 00002918 02230c08 5f737461 72740000 ..)..#.._start.. 24580 0028e902 2310085f 636f6e66 69675f70 .(..#.._config_p 24590 69706500 00002921 02231408 5f73656e ipe...)!.#.._sen 245a0 645f6275 66666572 00000029 2e022318 d_buffer...)..#. 245b0 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu 245c0 66000000 29370223 1c085f69 735f7069 f...)7.#.._is_pi 245d0 70655f73 7570706f 72746564 00000029 pe_supported...) 245e0 44022320 085f6765 745f6d61 785f6d73 D.# ._get_max_ms 245f0 675f6c65 6e000000 29440223 24085f67 g_len...)D.#$._g 24600 65745f72 65736572 7665645f 68656164 et_reserved_head 24610 726f6f6d 00000029 18022328 085f6973 room...)..#(._is 24620 725f6861 6e646c65 72000000 28e90223 r_handler...(..# 24630 2c085f67 65745f64 65666175 6c745f70 ,._get_default_p 24640 69706500 0000294d 02233008 70526573 ipe...)M.#0.pRes 24650 65727665 64000000 040d0223 34000d64 erved......#4..d 24660 6d615f65 6e67696e 65000400 002b2d0e ma_engine....+-. 24670 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. 24680 0e444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. 24690 010e444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 246a0 00020e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 246b0 3300030e 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T 246c0 58300004 0e444d41 5f454e47 494e455f X0...DMA_ENGINE_ 246d0 54583100 050e444d 415f454e 47494e45 TX1...DMA_ENGINE 246e0 5f4d4158 00060009 646d615f 656e6769 _MAX....dma_engi 246f0 6e655f74 0000002a a40d646d 615f6966 ne_t...*..dma_if 24700 74797065 00040000 2b7a0e44 4d415f49 type....+z.DMA_I 24710 465f474d 41430000 0e444d41 5f49465f F_GMAC...DMA_IF_ 24720 50434900 010e444d 415f4946 5f504349 PCI...DMA_IF_PCI 24730 45000200 09646d61 5f696674 7970655f E....dma_iftype_ 24740 74000000 2b3f0600 0012f201 0300002b t...+?.........+ 24750 8c040002 01030000 2b990400 02010300 ........+....... 24760 002ba204 00060000 09240103 00002bab .+.......$....+. 24770 04000600 0012f201 0300002b b8040006 ...........+.... 24780 000012f2 01030000 2bc50400 06000014 ........+....... 24790 3d010300 002bd204 00020103 00002bdf =....+........+. 247a0 04000764 6d615f6c 69625f61 70690034 ...dma_lib_api.4 247b0 00002ce6 0874785f 696e6974 0000002b ..,..tx_init...+ 247c0 92022300 0874785f 73746172 74000000 ..#..tx_start... 247d0 2b9b0223 04087278 5f696e69 74000000 +..#..rx_init... 247e0 2b920223 08087278 5f636f6e 66696700 +..#..rx_config. 247f0 00002ba4 02230c08 72785f73 74617274 ..+..#..rx_start 24800 0000002b 9b022310 08696e74 725f7374 ...+..#..intr_st 24810 61747573 0000002b b1022314 08686172 atus...+..#..har 24820 645f786d 69740000 002bbe02 23180866 d_xmit...+..#..f 24830 6c757368 5f786d69 74000000 2b9b0223 lush_xmit...+..# 24840 1c08786d 69745f64 6f6e6500 00002bcb ..xmit_done...+. 24850 02232008 72656170 5f786d69 74746564 .# .reap_xmitted 24860 0000002b d8022324 08726561 705f7265 ...+..#$.reap_re 24870 63760000 002bd802 23280872 65747572 cv...+..#(.retur 24880 6e5f7265 63760000 002be102 232c0872 n_recv...+..#,.r 24890 6563765f 706b7400 00002bcb 02233000 ecv_pkt...+..#0. 248a0 075f5f70 63695f73 6f667463 000c0000 .__pci_softc.... 248b0 2d040873 77000000 28f00223 0000095f -..sw...(..#..._ 248c0 5f706369 5f736f66 74635f74 0000002c _pci_softc_t..., 248d0 e6030000 2d040400 02010300 002d1e04 ....-........-.. 248e0 00060000 12de0103 00002d27 04000d68 ..........-'...h 248f0 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. 24900 00002d87 0e484946 5f504349 5f504950 ..-..HIF_PCI_PIP 24910 455f5458 3000000e 4849465f 5043495f E_TX0...HIF_PCI_ 24920 50495045 5f545831 00010e48 49465f50 PIPE_TX1...HIF_P 24930 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. 24940 00096869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t 24950 785f7400 00002d34 0600002b 2d010300 x_t...-4...+-... 24960 002d9e04 000d6869 665f7063 695f7069 .-....hif_pci_pi 24970 70655f72 78000400 002e240e 4849465f pe_rx.....$.HIF_ 24980 5043495f 50495045 5f525830 00000e48 PCI_PIPE_RX0...H 24990 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. 249a0 010e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 249b0 58320002 0e484946 5f504349 5f504950 X2...HIF_PCI_PIP 249c0 455f5258 3300030e 4849465f 5043495f E_RX3...HIF_PCI_ 249d0 50495045 5f52585f 4d415800 04000968 PIPE_RX_MAX....h 249e0 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t 249f0 0000002d ab060000 2b2d0103 00002e3b ...-....+-.....; 24a00 04000768 69665f70 63695f61 70690024 ...hif_pci_api.$ 24a10 00002f19 08706369 5f626f6f 745f696e ../..pci_boot_in 24a20 69740000 00011102 23000870 63695f69 it......#..pci_i 24a30 6e697400 000028e0 02230408 7063695f nit...(..#..pci_ 24a40 72657365 74000000 01110223 08087063 reset......#..pc 24a50 695f656e 61626c65 00000001 1102230c i_enable......#. 24a60 08706369 5f726561 705f786d 69747465 .pci_reap_xmitte 24a70 64000000 2d200223 10087063 695f7265 d...- .#..pci_re 24a80 61705f72 65637600 00002d20 02231408 ap_recv...- .#.. 24a90 7063695f 6765745f 70697065 0000002d pci_get_pipe...- 24aa0 2d022318 08706369 5f676574 5f74785f -.#..pci_get_tx_ 24ab0 656e6700 00002da4 02231c08 7063695f eng...-..#..pci_ 24ac0 6765745f 72785f65 6e670000 002e4102 get_rx_eng....A. 24ad0 23200007 676d6163 5f617069 00040000 # ..gmac_api.... 24ae0 2f400867 6d61635f 626f6f74 5f696e69 /@.gmac_boot_ini 24af0 74000000 01110223 00000f00 00032506 t......#......%. 24b00 00002f4d 10050007 5f5f6574 68686472 ../M....__ethhdr 24b10 000e0000 2f830864 73740000 002f4002 ..../..dst.../@. 24b20 23000873 72630000 002f4002 23060865 #..src.../@.#..e 24b30 74797065 00000012 f202230c 00075f5f type......#...__ 24b40 61746868 64720004 00002fd1 15726573 athhdr..../..res 24b50 00000012 de010002 02230015 70726f74 .........#..prot 24b60 6f000000 12de0102 06022300 08726573 o.........#..res 24b70 5f6c6f00 000012de 02230108 7265735f _lo......#..res_ 24b80 68690000 0012f202 23020007 5f5f676d hi......#...__gm 24b90 61635f68 64720014 0000300d 08657468 ac_hdr....0..eth 24ba0 0000002f 4d022300 08617468 0000002f .../M.#..ath.../ 24bb0 8302230e 08616c69 676e5f70 61640000 ..#..align_pad.. 24bc0 0012f202 23120009 5f5f676d 61635f68 ....#...__gmac_h 24bd0 64725f74 0000002f d1075f5f 676d6163 dr_t.../..__gmac 24be0 5f736f66 74630024 00003057 08686472 _softc.$..0W.hdr 24bf0 00000030 0d022300 08677261 6e000000 ...0..#..gran... 24c00 12f20223 14087377 00000028 f0022318 ...#..sw...(..#. 24c10 00075f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ 24c20 63686563 6b000800 00309008 76657273 check....0..vers 24c30 696f6e00 00000118 02230008 7461626c ion......#..tabl 24c40 65000000 01180223 04000300 00305704 e......#.....0W. 24c50 00060000 01180103 00003097 04000300 ..........0..... 24c60 00041004 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i 24c70 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 24c80 0001b800 0031e708 68616c5f 6c696e6b .....1..hal_link 24c90 6167655f 63686563 6b000000 309d0223 age_check...0..# 24ca0 00087374 6172745f 62737300 000030a4 ..start_bss...0. 24cb0 02230408 6170705f 73746172 74000000 .#..app_start... 24cc0 01110223 08086d65 6d000000 04500223 ...#..mem....P.# 24cd0 0c086d69 73630000 00056f02 23200870 ..misc....o.# .p 24ce0 72696e74 66000000 01450223 44087561 rintf....E.#D.ua 24cf0 72740000 00020e02 234c0867 6d616300 rt......#L.gmac. 24d00 00002f19 02236c08 75736200 00000f9e ../..#l.usb..... 24d10 02237008 636c6f63 6b000000 0ae70323 .#p.clock......# 24d20 e0010874 696d6572 00000007 87032384 ...timer......#. 24d30 0208696e 74720000 000c3703 23980208 ..intr....7.#... 24d40 616c6c6f 6372616d 00000009 3f0323c4 allocram....?.#. 24d50 0208726f 6d700000 00083103 23d00208 ..romp....1.#... 24d60 7764745f 74696d65 72000000 0e140323 wdt_timer......# 24d70 e0020865 65700000 000f4203 23fc0208 ...eep....B.#... 24d80 73747269 6e670000 00069303 238c0308 string......#... 24d90 7461736b 6c657400 00000a3c 0323a403 tasklet....<.#.. 24da0 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF 24db0 49470010 0000325a 08676574 5f636f6d IG....2Z.get_com 24dc0 6d616e64 5f627566 00000014 4a022300 mand_buf....J.#. 24dd0 08726563 765f636f 6d6d616e 64000000 .recv_command... 24de0 14600223 04086765 745f6576 656e745f .`.#..get_event_ 24df0 62756600 0000144a 02230808 73656e64 buf....J.#..send 24e00 5f657665 6e745f64 6f6e6500 00001460 _event_done....` 24e10 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO 24e20 4e464947 00000031 e7030000 325a0400 NFIG...1....2Z.. 24e30 02010300 00327604 00077573 62666966 .....2v...usbfif 24e40 6f5f6170 69000c00 0032cc08 5f696e69 o_api....2.._ini 24e50 74000000 32780223 00085f65 6e61626c t...2x.#.._enabl 24e60 655f6576 656e745f 69737200 00000111 e_event_isr..... 24e70 02230408 70526573 65727665 64000000 .#..pReserved... 24e80 040d0223 08000f00 00168402 000032d9 ...#..........2. 24e90 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H 24ea0 44520008 0000334b 08456e64 706f696e DR....3K.Endpoin 24eb0 74494400 00001684 02230008 466c6167 tID......#..Flag 24ec0 73000000 16840223 01085061 796c6f61 s......#..Payloa 24ed0 644c656e 0000001c ac022302 08436f6e dLen......#..Con 24ee0 74726f6c 42797465 73000000 32cc0223 trolBytes...2..# 24ef0 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... 24f00 ac022306 00120200 00336408 4d657373 ..#......3d.Mess 24f10 61676549 44000000 1cac0223 00001208 ageID......#.... 24f20 000033c7 084d6573 73616765 49440000 ..3..MessageID.. 24f30 001cac02 23000843 72656469 74436f75 ....#..CreditCou 24f40 6e740000 001cac02 23020843 72656469 nt......#..Credi 24f50 7453697a 65000000 1cac0223 04084d61 tSize......#..Ma 24f60 78456e64 706f696e 74730000 00168402 xEndpoints...... 24f70 2306085f 50616431 00000016 84022307 #.._Pad1......#. 24f80 00120a00 00345e08 4d657373 61676549 .....4^.MessageI 24f90 44000000 1cac0223 00085365 72766963 D......#..Servic 24fa0 65494400 00001cac 02230208 436f6e6e eID......#..Conn 24fb0 65637469 6f6e466c 61677300 00001cac ectionFlags..... 24fc0 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe 24fd0 49440000 00168402 23060855 704c696e ID......#..UpLin 24fe0 6b506970 65494400 00001684 02230708 kPipeID......#.. 24ff0 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 25000 68000000 16840223 08085f50 61643100 h......#.._Pad1. 25010 00001684 02230900 120a0000 34e6084d .....#......4..M 25020 65737361 67654944 0000001c ac022300 essageID......#. 25030 08536572 76696365 49440000 001cac02 .ServiceID...... 25040 23020853 74617475 73000000 16840223 #..Status......# 25050 0408456e 64706f69 6e744944 00000016 ..EndpointID.... 25060 84022305 084d6178 4d736753 697a6500 ..#..MaxMsgSize. 25070 00001cac 02230608 53657276 6963654d .....#..ServiceM 25080 6574614c 656e6774 68000000 16840223 etaLength......# 25090 08085f50 61643100 00001684 02230900 .._Pad1......#.. 250a0 12020000 34ff084d 65737361 67654944 ....4..MessageID 250b0 0000001c ac022300 00120400 00353b08 ......#......5;. 250c0 4d657373 61676549 44000000 1cac0223 MessageID......# 250d0 00085069 70654944 00000016 84022302 ..PipeID......#. 250e0 08437265 64697443 6f756e74 00000016 .CreditCount.... 250f0 84022303 00120400 00357208 4d657373 ..#......5r.Mess 25100 61676549 44000000 1cac0223 00085069 ageID......#..Pi 25110 70654944 00000016 84022302 08537461 peID......#..Sta 25120 74757300 00001684 02230300 12020000 tus......#...... 25130 35990852 65636f72 64494400 00001684 5..RecordID..... 25140 02230008 4c656e67 74680000 00168402 .#..Length...... 25150 23010012 02000035 c308456e 64706f69 #......5..Endpoi 25160 6e744944 00000016 84022300 08437265 ntID......#..Cre 25170 64697473 00000016 84022301 00120400 dits......#..... 25180 00360408 456e6470 6f696e74 49440000 .6..EndpointID.. 25190 00168402 23000843 72656469 74730000 ....#..Credits.. 251a0 00168402 23010854 67744372 65646974 ....#..TgtCredit 251b0 5365714e 6f000000 1cac0223 02000f00 SeqNo......#.... 251c0 00168404 00003611 10030012 06000036 ......6........6 251d0 4d085072 6556616c 69640000 00168402 M.PreValid...... 251e0 2300084c 6f6f6b41 68656164 00000036 #..LookAhead...6 251f0 04022301 08506f73 7456616c 69640000 ..#..PostValid.. 25200 00168402 23050009 706f6f6c 5f68616e ....#...pool_han 25210 646c655f 74000000 040d0600 00364d01 dle_t........6M. 25220 03000036 60040002 01030000 366d0400 ...6`.......6m.. 25230 14040000 36eb0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H 25240 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO 25250 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD 25260 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID 25270 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. 25280 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX 25290 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M 252a0 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I 252b0 44000000 36760201 03000036 fc040006 D...6v.....6.... 252c0 00002649 01030000 37050400 06000026 ..&I....7......& 252d0 49010300 00371204 00020103 0000371f I....7........7. 252e0 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. 252f0 1c000037 c1085f69 6e697400 00003666 ...7.._init...6f 25300 02230008 5f736875 74646f77 6e000000 .#.._shutdown... 25310 366f0223 04085f63 72656174 655f706f 6o.#.._create_po 25320 6f6c0000 0036fe02 2308085f 616c6c6f ol...6..#.._allo 25330 635f6275 66000000 370b0223 0c085f61 c_buf...7..#.._a 25340 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. 25350 00371802 2310085f 66726565 5f627566 .7..#.._free_buf 25360 00000037 21022314 08705265 73657276 ...7!.#..pReserv 25370 65640000 00040d02 23180007 5f485443 ed......#..._HTC 25380 5f534552 56494345 001c0000 38a00870 _SERVICE....8..p 25390 4e657874 00000038 a0022300 0850726f Next...8..#..Pro 253a0 63657373 52656376 4d736700 00003955 cessRecvMsg...9U 253b0 02230408 50726f63 65737353 656e6442 .#..ProcessSendB 253c0 75666665 72436f6d 706c6574 65000000 ufferComplete... 253d0 395e0223 08085072 6f636573 73436f6e 9^.#..ProcessCon 253e0 6e656374 00000039 7202230c 08536572 nect...9r.#..Ser 253f0 76696365 49440000 0012f202 23100853 viceID......#..S 25400 65727669 6365466c 61677300 000012f2 erviceFlags..... 25410 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz 25420 65000000 12f20223 14085472 61696c65 e......#..Traile 25430 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. 25440 0012f202 23160853 65727669 63654374 ....#..ServiceCt 25450 78000000 040d0223 18000300 0037c104 x......#.....7.. 25460 00140400 00393e19 454e4450 4f494e54 .....9>.ENDPOINT 25470 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END 25480 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN 25490 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. 254a0 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END 254b0 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN 254c0 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. 254d0 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END 254e0 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN 254f0 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END 25500 504f494e 545f4944 00000038 a7020103 POINT_ID...8.... 25510 00003953 04000201 03000039 5c040003 ..9S.......9\... 25520 00000118 04000600 0012de01 03000039 ...............9 25530 6c040003 000037c1 0400075f 4854435f l.....7...._HTC_ 25540 434f4e46 49470014 000039f1 08437265 CONFIG....9..Cre 25550 64697453 697a6500 00000118 02230008 ditSize......#.. 25560 43726564 69744e75 6d626572 00000001 CreditNumber.... 25570 18022304 084f5348 616e646c 65000000 ..#..OSHandle... 25580 1a480223 08084849 4648616e 646c6500 .H.#..HIFHandle. 25590 000028b1 02230c08 506f6f6c 48616e64 ..(..#..PoolHand 255a0 6c650000 00364d02 23100007 5f485443 le...6M.#..._HTC 255b0 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... 255c0 3a2d0865 6e645f70 6f696e74 00000012 :-.end_point.... 255d0 de022300 08687463 5f666c61 67730000 ..#..htc_flags.. 255e0 0012de02 23010009 6874635f 68616e64 ....#...htc_hand 255f0 6c655f74 00000004 0d094854 435f5345 le_t......HTC_SE 25600 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. 25610 00000111 09485443 5f434f4e 46494700 .....HTC_CONFIG. 25620 00003980 0300003a 5a040006 00003a2d ..9....:Z.....:- 25630 01030000 3a710400 02010300 003a7e04 ....:q.......:~. 25640 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... 25650 37c10300 003a8704 00020103 00003a9f 7....:........:. 25660 04000201 0300003a a8040002 01030000 .......:........ 25670 3ab10400 06000001 18010300 003aba04 :............:.. 25680 00076874 635f6170 69730034 00003c37 ..htc_apis.4..<7 25690 085f4854 435f496e 69740000 003a7702 ._HTC_Init...:w. 256a0 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown 256b0 0000003a 80022304 085f4854 435f5265 ...:..#.._HTC_Re 256c0 67697374 65725365 72766963 65000000 gisterService... 256d0 3aa10223 08085f48 54435f52 65616479 :..#.._HTC_Ready 256e0 0000003a 8002230c 085f4854 435f5265 ...:..#.._HTC_Re 256f0 7475726e 42756666 65727300 00003aaa turnBuffers...:. 25700 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 25710 75666665 72734c69 73740000 003ab302 uffersList...:.. 25720 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. 25730 00003aaa 02231808 5f485443 5f476574 ..:..#.._HTC_Get 25740 52657365 72766564 48656164 726f6f6d ReservedHeadroom 25750 0000003a c002231c 085f4854 435f4d73 ...:..#.._HTC_Ms 25760 67526563 7648616e 646c6572 00000028 gRecvHandler...( 25770 5e022320 085f4854 435f5365 6e64446f ^.# ._HTC_SendDo 25780 6e654861 6e646c65 72000000 28550223 neHandler...(U.# 25790 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv 257a0 6350726f 63657373 4d736700 00003955 cProcessMsg...9U 257b0 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control 257c0 53766350 726f6365 73735365 6e64436f SvcProcessSendCo 257d0 6d706c65 74650000 00395e02 232c0870 mplete...9^.#,.p 257e0 52657365 72766564 00000004 0d022330 Reserved......#0 257f0 0007686f 73745f61 70705f61 7265615f ..host_app_area_ 25800 73000400 003c6708 776d695f 70726f74 s......tupleNu 259a0 6d4c0000 001cac02 23000874 75706c65 mL......#..tuple 259b0 4e756d48 0000001c ac022302 08617674 NumH......#..avt 259c0 0000003d cb022304 00120100 003e3108 ...=..#......>1. 259d0 62656163 6f6e5065 6e64696e 67436f75 beaconPendingCou 259e0 6e740000 00168402 23000007 5f574d49 nt......#..._WMI 259f0 5f535643 5f434f4e 46494700 1000003e _SVC_CONFIG....> 25a00 9a084874 6348616e 646c6500 00003a2d ..HtcHandle...:- 25a10 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 25a20 00364d02 2304084d 6178436d 64526570 .6M.#..MaxCmdRep 25a30 6c794576 74730000 00011802 2308084d lyEvts......#..M 25a40 61784576 656e7445 76747300 00000118 axEventEvts..... 25a50 02230c00 02010300 003e9a04 0009574d .#.......>....WM 25a60 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... 25a70 3e9c075f 574d495f 44495350 41544348 >.._WMI_DISPATCH 25a80 5f454e54 52590008 00003f03 0870436d _ENTRY....?..pCm 25a90 6448616e 646c6572 0000003e a3022300 dHandler...>..#. 25aa0 08436d64 49440000 0012f202 23040846 .CmdID......#..F 25ab0 6c616773 00000012 f2022306 00075f57 lags......#..._W 25ac0 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 25ad0 45001000 003f6408 704e6578 74000000 E....?d.pNext... 25ae0 3f640223 00087043 6f6e7465 78740000 ?d.#..pContext.. 25af0 00040d02 2304084e 756d6265 724f6645 ....#..NumberOfE 25b00 6e747269 65730000 00011802 23080870 ntries......#..p 25b10 5461626c 65000000 3f830223 0c000300 Table...?..#.... 25b20 003f0304 0009574d 495f4449 53504154 .?....WMI_DISPAT 25b30 43485f45 4e545259 0000003e b8030000 CH_ENTRY...>.... 25b40 3f6b0400 0300003f 03040009 4854435f ?k.....?....HTC_ 25b50 4255465f 434f4e54 45585400 000039f1 BUF_CONTEXT...9. 25b60 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. 25b70 0000401b 19574d49 5f455654 5f434c41 ..@..WMI_EVT_CLA 25b80 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI 25b90 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E 25ba0 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C 25bb0 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. 25bc0 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M 25bd0 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL 25be0 41535300 00003fa6 075f574d 495f4255 ASS...?.._WMI_BU 25bf0 465f434f 4e544558 54000c00 00407908 F_CONTEXT....@y. 25c00 48746342 75664374 78000000 3f910223 HtcBufCtx...?..# 25c10 00084576 656e7443 6c617373 00000040 ..EventClass...@ 25c20 1b022304 08466c61 67730000 0012f202 ..#..Flags...... 25c30 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t 25c40 00000004 0d09574d 495f5356 435f434f ......WMI_SVC_CO 25c50 4e464947 0000003e 31030000 408b0400 NFIG...>1...@... 25c60 06000040 79010300 0040a604 0009574d ...@y....@....WM 25c70 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 25c80 0000003f 03030000 40b30400 02010300 ...?....@....... 25c90 0040d204 00060000 26490103 000040db .@......&I....@. 25ca0 04000201 03000040 e8040006 00000118 .......@........ 25cb0 01030000 40f10400 02010300 0040fe04 ....@........@.. 25cc0 00060000 12de0103 00004107 0400075f ..........A...._ 25cd0 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. 25ce0 424f085f 574d495f 496e6974 00000040 BO._WMI_Init...@ 25cf0 ac022300 085f574d 495f5265 67697374 ..#.._WMI_Regist 25d00 65724469 73706174 63685461 626c6500 erDispatchTable. 25d10 000040d4 02230408 5f574d49 5f416c6c ..@..#.._WMI_All 25d20 6f634576 656e7400 000040e1 02230808 ocEvent...@..#.. 25d30 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. 25d40 0040ea02 230c085f 574d495f 47657450 .@..#.._WMI_GetP 25d50 656e6469 6e674576 656e7473 436f756e endingEventsCoun 25d60 74000000 40f70223 10085f57 4d495f53 t...@..#.._WMI_S 25d70 656e6443 6f6d706c 65746548 616e646c endCompleteHandl 25d80 65720000 00395e02 2314085f 574d495f er...9^.#.._WMI_ 25d90 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ 25da0 f7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo 25db0 776e0000 00410002 231c085f 574d495f wn...A..#.._WMI_ 25dc0 52656376 4d657373 61676548 616e646c RecvMessageHandl 25dd0 65720000 00395502 2320085f 574d495f er...9U.# ._WMI_ 25de0 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. 25df0 00410d02 23240870 52657365 72766564 .A..#$.pReserved 25e00 00000004 0d022328 00077a73 446d6144 ......#(..zsDmaD 25e10 65736300 14000042 d1086374 726c0000 esc....B..ctrl.. 25e20 00017c02 23000873 74617475 73000000 ..|.#..status... 25e30 017c0223 0208746f 74616c4c 656e0000 .|.#..totalLen.. 25e40 00017c02 23040864 61746153 697a6500 ..|.#..dataSize. 25e50 0000017c 02230608 6c617374 41646472 ...|.#..lastAddr 25e60 00000042 d1022308 08646174 61416464 ...B..#..dataAdd 25e70 72000000 01a00223 0c086e65 78744164 r......#..nextAd 25e80 64720000 0042d102 23100003 0000424f dr...B..#.....BO 25e90 04000300 00424f04 00077a73 446d6151 .....BO...zsDmaQ 25ea0 75657565 00080000 43110868 65616400 ueue....C..head. 25eb0 000042d8 02230008 7465726d 696e6174 ..B..#..terminat 25ec0 6f720000 0042d802 23040007 7a735478 or...B..#...zsTx 25ed0 446d6151 75657565 00100000 43750868 DmaQueue....Cu.h 25ee0 65616400 000042d8 02230008 7465726d ead...B..#..term 25ef0 696e6174 6f720000 0042d802 23040878 inator...B..#..x 25f00 6d697465 645f6275 665f6865 61640000 mited_buf_head.. 25f10 00143d02 23080878 6d697465 645f6275 ..=.#..xmited_bu 25f20 665f7461 696c0000 00143d02 230c0002 f_tail....=.#... 25f30 01030000 43750400 03000042 df040002 ....Cu.....B.... 25f40 01030000 43850400 03000043 11040002 ....C......C.... 25f50 01030000 43950400 02010300 00439e04 ....C........C.. 25f60 00020103 000043a7 04000600 00143d01 ......C.......=. 25f70 03000043 b0040002 01030000 43bd0400 ...C........C... 25f80 06000014 3d010300 0043c604 00020103 ....=....C...... 25f90 000043d3 04000600 00011801 03000043 ..C............C 25fa0 dc040006 000042d8 01030000 43e90400 ......B.....C... 25fb0 02010300 0043f604 0007646d 615f656e .....C....dma_en 25fc0 67696e65 5f617069 00400000 456c085f gine_api.@..El._ 25fd0 696e6974 00000043 77022300 085f696e init...Cw.#.._in 25fe0 69745f72 785f7175 65756500 00004387 it_rx_queue...C. 25ff0 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que 26000 75650000 00439702 2308085f 636f6e66 ue...C..#.._conf 26010 69675f72 785f7175 65756500 000043a0 ig_rx_queue...C. 26020 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... 26030 43a90223 10085f66 6c757368 5f786d69 C..#.._flush_xmi 26040 74000000 43870223 14085f72 6561705f t...C..#.._reap_ 26050 72656376 5f627566 00000043 b6022318 recv_buf...C..#. 26060 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu 26070 66000000 43bf0223 1c085f72 6561705f f...C..#.._reap_ 26080 786d6974 65645f62 75660000 0043cc02 xmited_buf...C.. 26090 2320085f 73776170 5f646174 61000000 # ._swap_data... 260a0 43d50223 24085f68 61735f63 6f6d706c C..#$._has_compl 260b0 5f706163 6b657473 00000043 e2022328 _packets...C..#( 260c0 085f6465 73635f64 756d7000 00004387 ._desc_dump...C. 260d0 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. 260e0 000043ef 02233008 5f726563 6c61696d ..C..#0._reclaim 260f0 5f706163 6b657400 000043f8 02233408 _packet...C..#4. 26100 5f707574 5f706163 6b657400 000043f8 _put_packet...C. 26110 02233808 70526573 65727665 64000000 .#8.pReserved... 26120 040d0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ 26130 696e6469 72656374 696f6e5f 7461626c indirection_tabl 26140 655f7400 000030ab 09574d49 5f535643 e_t...0..WMI_SVC 26150 5f415049 53000000 4114175f 415f6d61 _APIS...A.._A_ma 26160 67706965 5f696e64 69726563 74696f6e gpie_indirection 26170 5f746162 6c650003 4c000046 9a08636d _table..L..F..cm 26180 6e6f7300 0000456c 02230008 64626700 nos...El.#..dbg. 26190 000003da 0323b803 08686966 00000029 .....#...hif...) 261a0 540323c0 03086874 63000000 3ac70323 T.#...htc...:..# 261b0 f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. 261c0 00458e03 23ac0408 75736266 69666f5f .E..#...usbfifo_ 261d0 61706900 0000327f 0323d804 08627566 api...2..#...buf 261e0 5f706f6f 6c000000 37280323 e4040876 _pool...7(.#...v 261f0 62756600 00001467 03238005 08766465 buf....g.#...vde 26200 73630000 00134903 23940508 616c6c6f sc....I.#...allo 26210 6372616d 00000009 3f0323a8 0508646d cram....?.#...dm 26220 615f656e 67696e65 00000043 ff0323b4 a_engine...C..#. 26230 0508646d 615f6c69 62000000 2be80323 ..dma_lib...+..# 26240 f4050868 69665f70 63690000 002e4803 ...hif_pci....H. 26250 23a80600 095f415f 6d616770 69655f69 #...._A_magpie_i 26260 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 26270 5f740000 0045a002 01030000 46bd0400 _t...E......F... 26280 1a5f7075 74630000 0046bf05 03005007 ._putc...F....P. 26290 0001046c 6f6e6720 696e7400 05040600 ...long int..... 262a0 00011801 0f000001 1f500000 46f7104f .........P..F..O 262b0 00095f5f 676e7563 5f76615f 6c697374 ..__gnuc_va_list 262c0 00000015 45060000 0118010f 0000011f ....E........... 262d0 20000047 1e101f00 03000046 d804000f ..G.......F.... 262e0 0000011f 0b000047 32100a00 03000047 .......G2......G 262f0 2504000f 0000011f 11000047 46101000 %..........GF... 26300 03000047 39040003 0000037b 04000f00 ...G9......{.... 26310 00011f07 00004761 10060003 00004754 ......Ga......GT 26320 04000300 0046f704 00030000 01450400 .....F.......E.. 26330 02011b01 3b636d6e 6f735f77 72697465 ....;cmnos_write 26340 5f636861 72000101 03920120 02900000 _char...... .... 26350 8e24b800 8e24e200 0047ad1c 013b6300 .$...$...G...;c. 26360 0000011f 0152001d 01485f63 76740000 .....R...H_cvt.. 26370 00011801 049201f0 00029000 008e24e4 ..............$. 26380 008e2538 0000482a 1c014876 616c0000 ..%8..H*..Hval.. 26390 0001a001 521c0148 62756600 0000037b ....R..Hbuf....{ 263a0 01531c01 48726164 69780000 0046d801 .S..Hradix...F.. 263b0 541c0148 64696769 74730000 00037b01 T..Hdigits....{. 263c0 551e6370 00000003 7b1f7465 6d700000 U.cp....{.temp.. 263d0 0046ea03 91907f1e 6c656e67 74680000 .F......length.. 263e0 00011800 1d016063 6d6e6f73 5f767072 ......`cmnos_vpr 263f0 696e7466 00000001 18010492 01f00002 intf............ 26400 9000008e 2538008e 297d0000 49b31c01 ....%8..)}..I... 26410 60707574 63000000 46bf0152 1c016066 `putc...F..R..`f 26420 6d740000 00013101 531c0160 61700000 mt....1.S..`ap.. 26430 0046f701 541e6370 00000003 7b1f6275 .F..T.cp....{.bu 26440 66000000 47110391 907f1e76 616c0000 f...G......val.. 26450 0046d81e 72657300 00000118 1e6c656e .F..res......len 26460 67746800 00000118 1e630000 00011f1e gth......c...... 26470 69736c6f 6e676c6f 6e670000 0001181e islonglong...... 26480 69736c6f 6e670000 0001181e 7061645f islong......pad_ 26490 6f6e5f72 69676874 00000001 181e7269 on_right......ri 264a0 6768745f 70726563 00000001 181e6c65 ght_prec......le 264b0 66745f70 72656300 00000118 1e7a6572 ft_prec......zer 264c0 6f5f6669 6c6c0000 0001181e 7369676e o_fill......sign 264d0 00000001 1f1e6f72 69675f6e 64780000 ......orig_ndx.. 264e0 0001181e 5f5f6172 72617900 0000037b ....__array....{ 264f0 1e6f7269 675f6e64 78000000 01181e5f .orig_ndx......_ 26500 5f617272 61790000 00037b1e 6f726967 _array....{.orig 26510 5f6e6478 00000001 181e5f5f 61727261 _ndx......__arra 26520 79000000 037b1e6f 7269675f 6e647800 y....{.orig_ndx. 26530 00000118 1e5f5f61 72726179 00000003 .....__array.... 26540 7b1e6f72 69675f6e 64780000 0001181e {.orig_ndx...... 26550 5f5f6172 72617900 0000037b 1e690000 __array....{.i.. 26560 0001181e 70616400 00000118 00200101 ....pad...... .. 26570 24636d6e 6f735f70 72696e74 66000000 $cmnos_printf... 26580 01180101 049201d0 00029000 008e2980 ..............). 26590 008e29b3 000049fe 21010124 666d7400 ..)...I.!..$fmt. 265a0 00000131 01521e61 70000000 46f71e72 ...1.R.ap...F..r 265b0 65740000 00011800 22010138 636d6e6f et......"..8cmno 265c0 735f7072 696e7466 5f696e69 74000101 s_printf_init... 265d0 03920120 02900000 8e29b400 8e29b923 ... .....)...).# 265e0 01013c63 6d6e6f73 5f707269 6e74665f .....pri 26770 6e74665f 61706900 08000001 82085f70 ntf_api......._p 26780 72696e74 665f696e 69740000 00011702 rintf_init...... 26790 2300085f 7072696e 74660000 00014402 #.._printf....D. 267a0 23040004 73686f72 7420756e 7369676e #...short unsign 267b0 65642069 6e740007 02097569 6e743136 ed int....uint16 267c0 5f740000 00018204 6c6f6e67 20756e73 _t......long uns 267d0 69676e65 6420696e 74000704 0975696e igned int....uin 267e0 7433325f 74000000 01a60775 6172745f t32_t......uart_ 267f0 6669666f 00080000 02140873 74617274 fifo.......start 26800 5f696e64 65780000 00019802 23000865 _index......#..e 26810 6e645f69 6e646578 00000001 98022302 nd_index......#. 26820 086f7665 7272756e 5f657272 00000001 .overrun_err.... 26830 bb022304 00077561 72745f61 70690020 ..#...uart_api. 26840 000002cd 085f7561 72745f69 6e697400 ....._uart_init. 26850 00000324 02230008 5f756172 745f6368 ...$.#.._uart_ch 26860 61725f70 75740000 00034b02 2304085f ar_put....K.#.._ 26870 75617274 5f636861 725f6765 74000000 uart_char_get... 26880 035f0223 08085f75 6172745f 7374725f ._.#.._uart_str_ 26890 6f757400 00000368 02230c08 5f756172 out....h.#.._uar 268a0 745f7461 736b0000 00011702 2310085f t_task......#.._ 268b0 75617274 5f737461 74757300 00000324 uart_status....$ 268c0 02231408 5f756172 745f636f 6e666967 .#.._uart_config 268d0 00000003 71022318 085f7561 72745f68 ....q.#.._uart_h 268e0 77696e69 74000000 037a0223 1c000300 winit....z.#.... 268f0 00021404 00077561 72745f62 6c6b0010 ......uart_blk.. 26900 0000031e 08646562 75675f6d 6f646500 .....debug_mode. 26910 00000198 02230008 62617564 00000001 .....#..baud.... 26920 98022302 085f7561 72740000 0002cd02 ..#.._uart...... 26930 2304085f 74780000 0001c902 23080006 #.._tx......#... 26940 000001bb 01030000 031e0400 04756e73 .............uns 26950 69676e65 64206368 61720007 01097569 igned char....ui 26960 6e74385f 74000000 032b0201 03000003 nt8_t....+...... 26970 49040003 0000033c 04000600 00019801 I......<........ 26980 03000003 59040002 01030000 03660400 ....Y........f.. 26990 02010300 00036f04 00020103 00000378 ......o........x 269a0 04000300 00012504 00060000 011e0103 ......%......... 269b0 00000388 04000744 425f434f 4d4d414e .......DB_COMMAN 269c0 445f5354 52554354 000c0000 03e00863 D_STRUCT.......c 269d0 6d645f73 74720000 00038102 23000868 md_str......#..h 269e0 656c705f 73747200 00000381 02230408 elp_str......#.. 269f0 636d645f 66756e63 00000003 8e022308 cmd_func......#. 26a00 00076462 675f6170 69000800 00041308 ..dbg_api....... 26a10 5f646267 5f696e69 74000000 01170223 _dbg_init......# 26a20 00085f64 62675f74 61736b00 00000117 .._dbg_task..... 26a30 02230400 0a040004 756e7369 676e6564 .#......unsigned 26a40 20696e74 00070406 00000413 01030000 int............ 26a50 04260400 0b0b0300 00043404 00060000 .&........4..... 26a60 04130103 0000043c 04000600 00011e01 .......<........ 26a70 03000004 49040007 6d656d5f 61706900 ....I...mem_api. 26a80 14000004 b8085f6d 656d5f69 6e697400 ......_mem_init. 26a90 00000117 02230008 5f6d656d 73657400 .....#.._memset. 26aa0 0000042c 02230408 5f6d656d 63707900 ...,.#.._memcpy. 26ab0 00000442 02230808 5f6d656d 6d6f7665 ...B.#.._memmove 26ac0 00000004 4202230c 085f6d65 6d636d70 ....B.#.._memcmp 26ad0 00000004 4f022310 000c7265 67697374 ....O.#...regist 26ae0 65725f64 756d705f 73000001 03000004 er_dump_s....... 26af0 b8040002 01030000 04d20400 02010300 ................ 26b00 0004db04 00060000 011e0103 000004e4 ................ 26b10 04000d68 6f737469 665f7300 04000005 ...hostif_s..... 26b20 400e4849 465f5553 4200000e 4849465f @.HIF_USB...HIF_ 26b30 50434945 00010e48 49465f47 4d414300 PCIE...HIF_GMAC. 26b40 020e4849 465f5043 4900030e 4849465f ..HIF_PCI...HIF_ 26b50 4e554d00 040e4849 465f4e4f 4e450005 NUM...HIF_NONE.. 26b60 0009415f 484f5354 49460000 0004f106 ..A_HOSTIF...... 26b70 00000540 01030000 054e0400 06000003 ...@.....N...... 26b80 3c010300 00055b04 00060000 01980103 <.....[......... 26b90 00000568 0400076d 6973635f 61706900 ...h...misc_api. 26ba0 24000006 58085f73 79737465 6d5f7265 $...X._system_re 26bb0 73657400 00000117 02230008 5f6d6163 set......#.._mac 26bc0 5f726573 65740000 00011702 2304085f _reset......#.._ 26bd0 61737366 61696c00 000004d4 02230808 assfail......#.. 26be0 5f6d6973 616c6967 6e65645f 6c6f6164 _misaligned_load 26bf0 5f68616e 646c6572 00000004 d402230c _handler......#. 26c00 085f7265 706f7274 5f666169 6c757265 ._report_failure 26c10 5f746f5f 686f7374 00000004 dd022310 _to_host......#. 26c20 085f7461 72676574 5f69645f 67657400 ._target_id_get. 26c30 000004ea 02231408 5f69735f 686f7374 .....#.._is_host 26c40 5f707265 73656e74 00000005 54022318 _present....T.#. 26c50 085f6b62 68697400 00000561 02231c08 ._kbhit....a.#.. 26c60 5f726f6d 5f766572 73696f6e 5f676574 _rom_version_get 26c70 00000005 6e022320 00060000 03810103 ....n.# ........ 26c80 00000658 04000600 00038101 03000006 ...X............ 26c90 65040006 0000011e 01030000 06720400 e............r.. 26ca0 06000001 1e010300 00067f04 00060000 ................ 26cb0 011e0103 0000068c 04000773 7472696e ...........strin 26cc0 675f6170 69001800 00071208 5f737472 g_api......._str 26cd0 696e675f 696e6974 00000001 17022300 ing_init......#. 26ce0 085f7374 72637079 00000006 5e022304 ._strcpy....^.#. 26cf0 085f7374 726e6370 79000000 066b0223 ._strncpy....k.# 26d00 08085f73 74726c65 6e000000 06780223 .._strlen....x.# 26d10 0c085f73 7472636d 70000000 06850223 .._strcmp......# 26d20 10085f73 74726e63 6d700000 00069202 .._strncmp...... 26d30 2314000f 00000416 14000007 1f100400 #............... 26d40 095f415f 54494d45 525f5350 41434500 ._A_TIMER_SPACE. 26d50 00000712 09415f74 696d6572 5f740000 .....A_timer_t.. 26d60 00071f03 00000733 04000201 03000007 .......3........ 26d70 49040002 01030000 07520400 09415f48 I........R...A_H 26d80 414e444c 45000000 04160201 09415f54 ANDLE........A_T 26d90 494d4552 5f46554e 43000000 07690300 IMER_FUNC....i.. 26da0 00076b04 00020103 00000784 04000774 ..k............t 26db0 696d6572 5f617069 00140000 0803085f imer_api......._ 26dc0 74696d65 725f696e 69740000 00011702 timer_init...... 26dd0 2300085f 74696d65 725f6172 6d000000 #.._timer_arm... 26de0 074b0223 04085f74 696d6572 5f646973 .K.#.._timer_dis 26df0 61726d00 00000754 02230808 5f74696d arm....T.#.._tim 26e00 65725f73 6574666e 00000007 8602230c er_setfn......#. 26e10 085f7469 6d65725f 72756e00 00000117 ._timer_run..... 26e20 02231000 09424f4f 4c45414e 00000001 .#...BOOLEAN.... 26e30 98060000 08030103 00000810 04000600 ................ 26e40 00080301 03000008 1d040006 00000803 ................ 26e50 01030000 082a0400 07726f6d 705f6170 .....*...romp_ap 26e60 69001000 00089c08 5f726f6d 705f696e i......._romp_in 26e70 69740000 00011702 2300085f 726f6d70 it......#.._romp 26e80 5f646f77 6e6c6f61 64000000 08160223 _download......# 26e90 04085f72 6f6d705f 696e7374 616c6c00 .._romp_install. 26ea0 00000823 02230808 5f726f6d 705f6465 ...#.#.._romp_de 26eb0 636f6465 00000008 3002230c 0007726f code....0.#...ro 26ec0 6d5f7061 7463685f 73740010 000008f8 m_patch_st...... 26ed0 08637263 31360000 00019802 2300086c .crc16......#..l 26ee0 656e0000 00019802 2302086c 645f6164 en......#..ld_ad 26ef0 64720000 0001bb02 23040866 756e5f61 dr......#..fun_a 26f00 64647200 000001bb 02230808 7066756e ddr......#..pfun 26f10 00000003 5202230c 00076565 705f7265 ....R.#...eep_re 26f20 6469725f 61646472 00040000 092a086f dir_addr.....*.o 26f30 66667365 74000000 01980223 00087369 ffset......#..si 26f40 7a650000 00019802 23020009 415f5549 ze......#...A_UI 26f50 4e543332 00000004 16060000 04130103 NT32............ 26f60 00000938 04000761 6c6c6f63 72616d5f ...8...allocram_ 26f70 61706900 0c000009 a908636d 6e6f735f api.......cmnos_ 26f80 616c6c6f 6372616d 5f696e69 74000000 allocram_init... 26f90 093e0223 0008636d 6e6f735f 616c6c6f .>.#..cmnos_allo 26fa0 6372616d 00000009 3e022304 08636d6e cram....>.#..cmn 26fb0 6f735f61 6c6c6f63 72616d5f 64656275 os_allocram_debu 26fc0 67000000 01170223 08000201 03000009 g......#........ 26fd0 a9040009 415f5441 534b4c45 545f4655 ....A_TASKLET_FU 26fe0 4e430000 0009ab07 5f746173 6b6c6574 NC......_tasklet 26ff0 00100000 0a0a0866 756e6300 000009b2 .......func..... 27000 02230008 61726700 00000413 02230408 .#..arg......#.. 27010 73746174 65000000 011e0223 08086e65 state......#..ne 27020 78740000 000a0a02 230c0003 000009c6 xt......#....... 27030 04000300 0009c604 0009415f 7461736b ..........A_task 27040 6c65745f 74000000 09c60300 000a1804 let_t........... 27050 00020103 00000a30 04000201 0300000a .......0........ 27060 39040007 7461736b 6c65745f 61706900 9...tasklet_api. 27070 1400000a ce085f74 61736b6c 65745f69 ......_tasklet_i 27080 6e697400 00000117 02230008 5f746173 nit......#.._tas 27090 6b6c6574 5f696e69 745f7461 736b0000 klet_init_task.. 270a0 000a3202 2304085f 7461736b 6c65745f ..2.#.._tasklet_ 270b0 64697361 626c6500 00000a3b 02230808 disable....;.#.. 270c0 5f746173 6b6c6574 5f736368 6564756c _tasklet_schedul 270d0 65000000 0a3b0223 0c085f74 61736b6c e....;.#.._taskl 270e0 65745f72 756e0000 00011702 23100002 et_run......#... 270f0 01030000 0ace0400 06000009 2a010300 ............*... 27100 000ad704 00020103 00000ae4 04000763 ...............c 27110 6c6f636b 5f617069 00240000 0bc6085f lock_api.$....._ 27120 636c6f63 6b5f696e 69740000 000ad002 clock_init...... 27130 2300085f 636c6f63 6b726567 735f696e #.._clockregs_in 27140 69740000 00011702 2304085f 75617274 it......#.._uart 27150 5f667265 7175656e 63790000 000add02 _frequency...... 27160 2308085f 64656c61 795f7573 0000000a #.._delay_us.... 27170 e602230c 085f776c 616e5f62 616e645f ..#.._wlan_band_ 27180 73657400 00000ae6 02231008 5f726566 set......#.._ref 27190 636c6b5f 73706565 645f6765 74000000 clk_speed_get... 271a0 0add0223 14085f6d 696c6c69 7365636f ...#.._milliseco 271b0 6e647300 00000add 02231808 5f737973 nds......#.._sys 271c0 636c6b5f 6368616e 67650000 00011702 clk_change...... 271d0 231c085f 636c6f63 6b5f7469 636b0000 #.._clock_tick.. 271e0 00011702 23200006 000001bb 01030000 ....# .......... 271f0 0bc60400 09415f6f 6c645f69 6e74725f .....A_old_intr_ 27200 74000000 01bb0600 000bd301 0300000b t............... 27210 e5040002 01030000 0bf20400 02010300 ................ 27220 000bfb04 00060000 01bb0103 00000c04 ................ 27230 04000941 5f697372 5f740000 000c0a02 ...A_isr_t...... 27240 01030000 0c1e0400 06000004 16010300 ................ 27250 000c2704 00020103 00000c34 04000769 ..'........4...i 27260 6e74725f 61706900 2c00000d 56085f69 ntr_api.,...V._i 27270 6e74725f 696e6974 00000001 17022300 ntr_init......#. 27280 085f696e 74725f69 6e766f6b 655f6973 ._intr_invoke_is 27290 72000000 0bcc0223 04085f69 6e74725f r......#.._intr_ 272a0 64697361 626c6500 00000beb 02230808 disable......#.. 272b0 5f696e74 725f7265 73746f72 65000000 _intr_restore... 272c0 0bf40223 0c085f69 6e74725f 6d61736b ...#.._intr_mask 272d0 5f696e75 6d000000 0bfd0223 10085f69 _inum......#.._i 272e0 6e74725f 756e6d61 736b5f69 6e756d00 ntr_unmask_inum. 272f0 00000bfd 02231408 5f696e74 725f6174 .....#.._intr_at 27300 74616368 5f697372 0000000c 20022318 tach_isr.... .#. 27310 085f6765 745f696e 7472656e 61626c65 ._get_intrenable 27320 0000000c 2d02231c 085f7365 745f696e ....-.#.._set_in 27330 7472656e 61626c65 0000000c 36022320 trenable....6.# 27340 085f6765 745f696e 74727065 6e64696e ._get_intrpendin 27350 67000000 0c2d0223 24085f75 6e626c6f g....-.#$._unblo 27360 636b5f61 6c6c5f69 6e74726c 766c0000 ck_all_intrlvl.. 27370 00011702 23280011 0400000d 7c087469 ....#(......|.ti 27380 6d656f75 74000000 01bb0223 00086163 meout......#..ac 27390 74696f6e 00000001 bb022300 00120800 tion......#..... 273a0 000d9708 636d6400 000001bb 02230013 ....cmd......#.. 273b0 00000d56 02230400 09545f57 44545f43 ...V.#...T_WDT_C 273c0 4d440000 000d7c02 01030000 0da60400 MD....|......... 273d0 14040000 0dfc0e45 4e554d5f 5744545f .......ENUM_WDT_ 273e0 424f4f54 00010e45 4e554d5f 434f4c44 BOOT...ENUM_COLD 273f0 5f424f4f 5400020e 454e554d 5f535553 _BOOT...ENUM_SUS 27400 505f424f 4f540003 0e454e55 4d5f554e P_BOOT...ENUM_UN 27410 4b4e4f57 4e5f424f 4f540004 0009545f KNOWN_BOOT....T_ 27420 424f4f54 5f545950 45000000 0daf0600 BOOT_TYPE....... 27430 000dfc01 0300000e 0d040007 7764745f ............wdt_ 27440 61706900 1c00000e b1085f77 64745f69 api......._wdt_i 27450 6e697400 00000117 02230008 5f776474 nit......#.._wdt 27460 5f656e61 626c6500 00000117 02230408 _enable......#.. 27470 5f776474 5f646973 61626c65 00000001 _wdt_disable.... 27480 17022308 085f7764 745f7365 74000000 ..#.._wdt_set... 27490 0da80223 0c085f77 64745f74 61736b00 ...#.._wdt_task. 274a0 00000117 02231008 5f776474 5f726573 .....#.._wdt_res 274b0 65740000 00011702 2314085f 7764745f et......#.._wdt_ 274c0 6c617374 5f626f6f 74000000 0e130223 last_boot......# 274d0 18001404 00000f18 0e524554 5f535543 .........RET_SUC 274e0 43455353 00000e52 45545f4e 4f545f49 CESS...RET_NOT_I 274f0 4e495400 010e5245 545f4e4f 545f4558 NIT...RET_NOT_EX 27500 49535400 020e5245 545f4545 505f434f IST...RET_EEP_CO 27510 52525550 5400030e 5245545f 4545505f RRUPT...RET_EEP_ 27520 4f564552 464c4f57 00040e52 45545f55 OVERFLOW...RET_U 27530 4e4b4e4f 574e0005 0009545f 4545505f NKNOWN....T_EEP_ 27540 52455400 00000eb1 03000001 98040006 RET............. 27550 00000f18 01030000 0f2e0400 0600000f ................ 27560 18010300 000f3b04 00076565 705f6170 ......;...eep_ap 27570 69001000 000fa408 5f656570 5f696e69 i......._eep_ini 27580 74000000 01170223 00085f65 65705f72 t......#.._eep_r 27590 65616400 00000f34 02230408 5f656570 ead....4.#.._eep 275a0 5f777269 74650000 000f3402 2308085f _write....4.#.._ 275b0 6565705f 69735f65 78697374 0000000f eep_is_exist.... 275c0 4102230c 00077573 625f6170 69007000 A.#...usb_api.p. 275d0 00125108 5f757362 5f696e69 74000000 ..Q._usb_init... 275e0 01170223 00085f75 73625f72 6f6d5f74 ...#.._usb_rom_t 275f0 61736b00 00000117 02230408 5f757362 ask......#.._usb 27600 5f66775f 7461736b 00000001 17022308 _fw_task......#. 27610 085f7573 625f696e 69745f70 68790000 ._usb_init_phy.. 27620 00011702 230c085f 7573625f 6570305f ....#.._usb_ep0_ 27630 73657475 70000000 01170223 10085f75 setup......#.._u 27640 73625f65 70305f74 78000000 01170223 sb_ep0_tx......# 27650 14085f75 73625f65 70305f72 78000000 .._usb_ep0_rx... 27660 01170223 18085f75 73625f67 65745f69 ...#.._usb_get_i 27670 6e746572 66616365 00000008 2302231c nterface....#.#. 27680 085f7573 625f7365 745f696e 74657266 ._usb_set_interf 27690 61636500 00000823 02232008 5f757362 ace....#.# ._usb 276a0 5f676574 5f636f6e 66696775 72617469 _get_configurati 276b0 6f6e0000 00082302 2324085f 7573625f on....#.#$._usb_ 276c0 7365745f 636f6e66 69677572 6174696f set_configuratio 276d0 6e000000 08230223 28085f75 73625f73 n....#.#(._usb_s 276e0 74616e64 6172645f 636d6400 00000823 tandard_cmd....# 276f0 02232c08 5f757362 5f76656e 646f725f .#,._usb_vendor_ 27700 636d6400 00000117 02233008 5f757362 cmd......#0._usb 27710 5f706f77 65725f6f 66660000 00011702 _power_off...... 27720 2334085f 7573625f 72657365 745f6669 #4._usb_reset_fi 27730 666f0000 00011702 2338085f 7573625f fo......#8._usb_ 27740 67656e5f 77647400 00000117 02233c08 gen_wdt......#<. 27750 5f757362 5f6a756d 705f626f 6f740000 _usb_jump_boot.. 27760 00011702 2340085f 7573625f 636c725f ....#@._usb_clr_ 27770 66656174 75726500 00000823 02234408 feature....#.#D. 27780 5f757362 5f736574 5f666561 74757265 _usb_set_feature 27790 00000008 23022348 085f7573 625f7365 ....#.#H._usb_se 277a0 745f6164 64726573 73000000 08230223 t_address....#.# 277b0 4c085f75 73625f67 65745f64 65736372 L._usb_get_descr 277c0 6970746f 72000000 08230223 50085f75 iptor....#.#P._u 277d0 73625f67 65745f73 74617475 73000000 sb_get_status... 277e0 08230223 54085f75 73625f73 65747570 .#.#T._usb_setup 277f0 5f646573 63000000 01170223 58085f75 _desc......#X._u 27800 73625f72 65675f6f 75740000 00011702 sb_reg_out...... 27810 235c085f 7573625f 73746174 75735f69 #\._usb_status_i 27820 6e000000 01170223 60085f75 73625f65 n......#`._usb_e 27830 70305f74 785f6461 74610000 00011702 p0_tx_data...... 27840 2364085f 7573625f 6570305f 72785f64 #d._usb_ep0_rx_d 27850 61746100 00000117 02236808 5f757362 ata......#h._usb 27860 5f636c6b 5f696e69 74000000 01170223 _clk_init......# 27870 6c00075f 56444553 43002400 0012dd08 l.._VDESC.$..... 27880 6e657874 5f646573 63000000 12dd0223 next_desc......# 27890 00086275 665f6164 64720000 0012f102 ..buf_addr...... 278a0 23040862 75665f73 697a6500 000012f8 #..buf_size..... 278b0 02230808 64617461 5f6f6666 73657400 .#..data_offset. 278c0 000012f8 02230a08 64617461 5f73697a .....#..data_siz 278d0 65000000 12f80223 0c08636f 6e74726f e......#..contro 278e0 6c000000 12f80223 0e086877 5f646573 l......#..hw_des 278f0 635f6275 66000000 13060223 10000300 c_buf......#.... 27900 00125104 0009415f 55494e54 38000000 ..Q...A_UINT8... 27910 032b0300 0012e404 0009415f 55494e54 .+........A_UINT 27920 31360000 0001820f 000012e4 14000013 16.............. 27930 13101300 03000012 51040009 56444553 ........Q...VDES 27940 43000000 12510300 00131a04 00060000 C....Q.......... 27950 13250103 0000132c 04000600 0012f101 .%.....,........ 27960 03000013 39040002 01030000 13460400 ....9........F.. 27970 07766465 73635f61 70690014 000013be .vdesc_api...... 27980 085f696e 69740000 000ae602 2300085f ._init......#.._ 27990 616c6c6f 635f7664 65736300 00001332 alloc_vdesc....2 279a0 02230408 5f676574 5f68775f 64657363 .#.._get_hw_desc 279b0 00000013 3f022308 085f7377 61705f76 ....?.#.._swap_v 279c0 64657363 00000013 4802230c 08705265 desc....H.#..pRe 279d0 73657276 65640000 00041302 23100007 served......#... 279e0 5f564255 46002000 00141e08 64657363 _VBUF. .....desc 279f0 5f6c6973 74000000 13250223 00086e65 _list....%.#..ne 27a00 78745f62 75660000 00141e02 23040862 xt_buf......#..b 27a10 75665f6c 656e6774 68000000 12f80223 uf_length......# 27a20 08087265 73657276 65640000 00142502 ..reserved....%. 27a30 230a0863 74780000 00130602 230c0003 #..ctx......#... 27a40 000013be 04000f00 0012e402 00001432 ...............2 27a50 10010003 000013be 04000956 42554600 ...........VBUF. 27a60 000013be 03000014 39040006 00001443 ........9......C 27a70 01030000 144a0400 06000014 43010300 .....J......C... 27a80 00145704 00020103 00001464 04000776 ..W........d...v 27a90 6275665f 61706900 14000014 e2085f69 buf_api......._i 27aa0 6e697400 00000ae6 02230008 5f616c6c nit......#.._all 27ab0 6f635f76 62756600 00001450 02230408 oc_vbuf....P.#.. 27ac0 5f616c6c 6f635f76 6275665f 77697468 _alloc_vbuf_with 27ad0 5f73697a 65000000 145d0223 08085f66 _size....].#.._f 27ae0 7265655f 76627566 00000014 6602230c ree_vbuf....f.#. 27af0 08705265 73657276 65640000 00041302 .pReserved...... 27b00 23100007 5f5f6164 665f6465 76696365 #...__adf_device 27b10 00040000 15040864 756d6d79 00000001 .......dummy.... 27b20 1e022300 00030000 092a0400 075f5f61 ..#......*...__a 27b30 64665f64 6d615f6d 6170000c 0000154b df_dma_map.....K 27b40 08627566 00000014 43022300 0864735f .buf....C.#..ds_ 27b50 61646472 00000015 04022304 0864735f addr......#..ds_ 27b60 6c656e00 000012f8 02230800 120c0000 len......#...... 27b70 1585085f 5f76615f 73746b00 00000381 ...__va_stk..... 27b80 02230008 5f5f7661 5f726567 00000003 .#..__va_reg.... 27b90 81022304 085f5f76 615f6e64 78000000 ..#..__va_ndx... 27ba0 011e0223 0800095f 5f616466 5f6f735f ...#...__adf_os_ 27bb0 646d615f 61646472 5f740000 00092a09 dma_addr_t....*. 27bc0 6164665f 6f735f64 6d615f61 6464725f adf_os_dma_addr_ 27bd0 74000000 1585095f 5f616466 5f6f735f t......__adf_os_ 27be0 646d615f 73697a65 5f740000 00092a09 dma_size_t....*. 27bf0 6164665f 6f735f64 6d615f73 697a655f adf_os_dma_size_ 27c00 74000000 15b5075f 5f646d61 5f736567 t......__dma_seg 27c10 73000800 00161108 70616464 72000000 s.......paddr... 27c20 159e0223 00086c65 6e000000 15ce0223 ...#..len......# 27c30 0400095f 5f615f75 696e7433 325f7400 ...__a_uint32_t. 27c40 0000092a 09615f75 696e7433 325f7400 ...*.a_uint32_t. 27c50 00001611 0f000015 e5080000 16401000 .............@.. 27c60 00076164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ 27c70 696e666f 000c0000 1679086e 73656773 info.....y.nsegs 27c80 00000016 23022300 08646d61 5f736567 ....#.#..dma_seg 27c90 73000000 16330223 0400095f 5f615f75 s....3.#...__a_u 27ca0 696e7438 5f740000 0012e409 615f7569 int8_t......a_ui 27cb0 6e74385f 74000000 16790300 00168a04 nt8_t....y...... 27cc0 00075f5f 73675f73 65677300 08000016 ..__sg_segs..... 27cd0 cb087661 64647200 00001699 02230008 ..vaddr......#.. 27ce0 6c656e00 00001623 02230400 0f000016 len....#.#...... 27cf0 a0200000 16d81003 00076164 665f6f73 . ........adf_os 27d00 5f73676c 69737400 24000017 0b086e73 _sglist.$.....ns 27d10 65677300 00001623 02230008 73675f73 egs....#.#..sg_s 27d20 65677300 000016cb 02230400 12100000 egs......#...... 27d30 17540876 656e646f 72000000 16230223 .T.vendor....#.# 27d40 00086465 76696365 00000016 23022304 ..device....#.#. 27d50 08737562 76656e64 6f720000 00162302 .subvendor....#. 27d60 23080873 75626465 76696365 00000016 #..subdevice.... 27d70 2302230c 00046c6f 6e67206c 6f6e6720 #.#...long long 27d80 756e7369 676e6564 20696e74 00070809 unsigned int.... 27d90 415f5549 4e543634 00000017 54095f5f A_UINT64....T.__ 27da0 615f7569 6e743634 5f740000 00176e09 a_uint64_t....n. 27db0 615f7569 6e743634 5f740000 00177c14 a_uint64_t....|. 27dc0 04000017 da0e4144 465f4f53 5f524553 ......ADF_OS_RES 27dd0 4f555243 455f5459 50455f4d 454d0000 OURCE_TYPE_MEM.. 27de0 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 27df0 5f545950 455f494f 00010009 6164665f _TYPE_IO....adf_ 27e00 6f735f72 65736f75 7263655f 74797065 os_resource_type 27e10 5f740000 00179e12 18000018 24087374 _t..........$.st 27e20 61727400 0000178e 02230008 656e6400 art......#..end. 27e30 0000178e 02230808 74797065 00000017 .....#..type.... 27e40 da022310 00096164 665f6f73 5f706369 ..#...adf_os_pci 27e50 5f646576 5f69645f 74000000 170b0300 _dev_id_t....... 27e60 00182404 00110400 00186308 70636900 ..$.......c.pci. 27e70 0000183d 02230008 72617700 00000413 ...=.#..raw..... 27e80 02230000 11100000 18820870 63690000 .#.........pci.. 27e90 00182402 23000872 61770000 00041302 ..$.#..raw...... 27ea0 23000009 6164665f 6472765f 68616e64 #...adf_drv_hand 27eb0 6c655f74 00000004 13096164 665f6f73 le_t......adf_os 27ec0 5f726573 6f757263 655f7400 000017f6 _resource_t..... 27ed0 03000018 98040009 6164665f 6f735f61 ........adf_os_a 27ee0 74746163 685f6461 74615f74 00000018 ttach_data_t.... 27ef0 63030000 18b60400 03000014 e2040009 c............... 27f00 5f5f6164 665f6f73 5f646576 6963655f __adf_os_device_ 27f10 74000000 18d70961 64665f6f 735f6465 t......adf_os_de 27f20 76696365 5f740000 0018de06 00001882 vice_t.......... 27f30 01030000 190a0400 02010300 00191704 ................ 27f40 00096164 665f6f73 5f706d5f 74000000 ..adf_os_pm_t... 27f50 04130201 03000019 31040014 04000019 ........1....... 27f60 710e4144 465f4f53 5f425553 5f545950 q.ADF_OS_BUS_TYP 27f70 455f5043 4900010e 4144465f 4f535f42 E_PCI...ADF_OS_B 27f80 55535f54 5950455f 47454e45 52494300 US_TYPE_GENERIC. 27f90 02000961 64665f6f 735f6275 735f7479 ...adf_os_bus_ty 27fa0 70655f74 00000019 3a096164 665f6f73 pe_t....:.adf_os 27fb0 5f627573 5f726567 5f646174 615f7400 _bus_reg_data_t. 27fc0 00001844 03000003 2b040007 5f616466 ...D....+..._adf 27fd0 5f647276 5f696e66 6f002000 001a4e08 _drv_info. ...N. 27fe0 6472765f 61747461 63680000 00191002 drv_attach...... 27ff0 23000864 72765f64 65746163 68000000 #..drv_detach... 28000 19190223 04086472 765f7375 7370656e ...#..drv_suspen 28010 64000000 19330223 08086472 765f7265 d....3.#..drv_re 28020 73756d65 00000019 1902230c 08627573 sume......#..bus 28030 5f747970 65000000 19710223 10086275 _type....q.#..bu 28040 735f6461 74610000 00198802 2314086d s_data......#..m 28050 6f645f6e 616d6500 000019a3 02231808 od_name......#.. 28060 69666e61 6d650000 0019a302 231c0009 ifname......#... 28070 6164665f 6f735f68 616e646c 655f7400 adf_os_handle_t. 28080 00000413 03000016 79040002 01020109 ........y....... 28090 5f5f6164 665f6f73 5f73697a 655f7400 __adf_os_size_t. 280a0 00000416 14040000 1a9d0e41 5f46414c ...........A_FAL 280b0 53450000 0e415f54 52554500 01000961 SE...A_TRUE....a 280c0 5f626f6f 6c5f7400 00001a83 03000015 _bool_t......... 280d0 0b040009 5f5f6164 665f6f73 5f646d61 ....__adf_os_dma 280e0 5f6d6170 5f740000 001aab02 010d6164 _map_t........ad 280f0 665f6f73 5f636163 68655f73 796e6300 f_os_cache_sync. 28100 0400001b 350e4144 465f5359 4e435f50 ....5.ADF_SYNC_P 28110 52455245 41440000 0e414446 5f53594e REREAD...ADF_SYN 28120 435f5052 45575249 54450002 0e414446 C_PREWRITE...ADF 28130 5f53594e 435f504f 53545245 41440001 _SYNC_POSTREAD.. 28140 0e414446 5f53594e 435f504f 53545752 .ADF_SYNC_POSTWR 28150 49544500 03000961 64665f6f 735f6361 ITE....adf_os_ca 28160 6368655f 73796e63 5f740000 001acc02 che_sync_t...... 28170 01096164 665f6f73 5f73697a 655f7400 ..adf_os_size_t. 28180 00001a6e 0600001b 50010961 64665f6f ...n....P..adf_o 28190 735f646d 615f6d61 705f7400 00001ab2 s_dma_map_t..... 281a0 0300001b 69040006 00000413 01030000 ....i........... 281b0 1ab20400 06000004 13010201 06000015 ................ 281c0 9e010201 0473686f 72742069 6e740005 .....short int.. 281d0 0209415f 494e5431 36000000 1ba3095f ..A_INT16......_ 281e0 5f615f69 6e743136 5f740000 001bb009 _a_int16_t...... 281f0 615f696e 7431365f 74000000 1bbd0473 a_int16_t......s 28200 69676e65 64206368 61720005 0109415f igned char....A_ 28210 494e5438 0000001b dd095f5f 615f696e INT8......__a_in 28220 74385f74 0000001b ec09615f 696e7438 t8_t......a_int8 28230 5f740000 001bf812 0c00001c 6f087375 _t..........o.su 28240 70706f72 74656400 00001623 02230008 pported....#.#.. 28250 61647665 7274697a 65640000 00162302 advertized....#. 28260 23040873 70656564 0000001b ce022308 #..speed......#. 28270 08647570 6c657800 00001c08 02230a08 .duplex......#.. 28280 6175746f 6e656700 0000168a 02230b00 autoneg......#.. 28290 0f000016 8a060000 1c7c1005 00076164 .........|....ad 282a0 665f6e65 745f6574 68616464 72000600 f_net_ethaddr... 282b0 001ca008 61646472 0000001c 6f022300 ....addr....o.#. 282c0 00095f5f 615f7569 6e743136 5f740000 ..__a_uint16_t.. 282d0 0012f809 615f7569 6e743136 5f740000 ....a_uint16_t.. 282e0 001ca012 0e00001d 04086574 6865725f ..........ether_ 282f0 64686f73 74000000 1c6f0223 00086574 dhost....o.#..et 28300 6865725f 73686f73 74000000 1c6f0223 her_shost....o.# 28310 06086574 6865725f 74797065 0000001c ..ether_type.... 28320 b202230c 00121400 001dc515 69705f76 ..#.........ip_v 28330 65727369 6f6e0000 00168a01 00040223 ersion.........# 28340 00156970 5f686c00 0000168a 01040402 ..ip_hl......... 28350 23000869 705f746f 73000000 168a0223 #..ip_tos......# 28360 01086970 5f6c656e 0000001c b2022302 ..ip_len......#. 28370 0869705f 69640000 001cb202 23040869 .ip_id......#..i 28380 705f6672 61675f6f 66660000 001cb202 p_frag_off...... 28390 23060869 705f7474 6c000000 168a0223 #..ip_ttl......# 283a0 08086970 5f70726f 746f0000 00168a02 ..ip_proto...... 283b0 23090869 705f6368 65636b00 00001cb2 #..ip_check..... 283c0 02230a08 69705f73 61646472 00000016 .#..ip_saddr.... 283d0 2302230c 0869705f 64616464 72000000 #.#..ip_daddr... 283e0 16230223 10000761 64665f6e 65745f76 .#.#...adf_net_v 283f0 6c616e68 64720004 00001e17 08747069 lanhdr.......tpi 28400 64000000 1cb20223 00157072 696f0000 d......#..prio.. 28410 00168a01 00030223 02156366 69000000 .......#..cfi... 28420 168a0103 01022302 15766964 0000001c ......#..vid.... 28430 b202040c 02230200 07616466 5f6e6574 .....#...adf_net 28440 5f766964 00020000 1e481572 65730000 _vid.....H.res.. 28450 00168a01 00040223 00157661 6c000000 .......#..val... 28460 1cb20204 0c022300 00120c00 001e8408 ......#......... 28470 72785f62 75667369 7a650000 00162302 rx_bufsize....#. 28480 23000872 785f6e64 65736300 00001623 #..rx_ndesc....# 28490 02230408 74785f6e 64657363 00000016 .#..tx_ndesc.... 284a0 23022308 00120800 001eaa08 706f6c6c #.#.........poll 284b0 65640000 001a9d02 23000870 6f6c6c5f ed......#..poll_ 284c0 77740000 00162302 2304000f 0000168a wt....#.#....... 284d0 4000001e b7103f00 12460000 1edf0869 @.....?..F.....i 284e0 665f6e61 6d650000 001eaa02 23000864 f_name......#..d 284f0 65765f61 64647200 00001c6f 02234000 ev_addr....o.#@. 28500 14040000 1f160e41 44465f4f 535f444d .......ADF_OS_DM 28510 415f4d41 534b5f33 32424954 00000e41 A_MASK_32BIT...A 28520 44465f4f 535f444d 415f4d41 534b5f36 DF_OS_DMA_MASK_6 28530 34424954 00010009 6164665f 6f735f64 4BIT....adf_os_d 28540 6d615f6d 61736b5f 74000000 1edf0761 ma_mask_t......a 28550 64665f64 6d615f69 6e666f00 0800001f df_dma_info..... 28560 6308646d 615f6d61 736b0000 001f1602 c.dma_mask...... 28570 23000873 675f6e73 65677300 00001623 #..sg_nsegs....# 28580 02230400 14040000 1fb90e41 44465f4e .#.........ADF_N 28590 45545f43 4b53554d 5f4e4f4e 4500000e ET_CKSUM_NONE... 285a0 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC 285b0 505f5544 505f4950 76340001 0e414446 P_UDP_IPv4...ADF 285c0 5f4e4554 5f434b53 554d5f54 43505f55 _NET_CKSUM_TCP_U 285d0 44505f49 50763600 02000961 64665f6e DP_IPv6....adf_n 285e0 65745f63 6b73756d 5f747970 655f7400 et_cksum_type_t. 285f0 00001f63 12080000 1ffc0874 785f636b ...c.......tx_ck 28600 73756d00 00001fb9 02230008 72785f63 sum......#..rx_c 28610 6b73756d 0000001f b9022304 00096164 ksum......#...ad 28620 665f6e65 745f636b 73756d5f 696e666f f_net_cksum_info 28630 5f740000 001fd314 04000020 550e4144 _t......... U.AD 28640 465f4e45 545f5453 4f5f4e4f 4e450000 F_NET_TSO_NONE.. 28650 0e414446 5f4e4554 5f54534f 5f495056 .ADF_NET_TSO_IPV 28660 3400010e 4144465f 4e45545f 54534f5f 4...ADF_NET_TSO_ 28670 414c4c00 02000961 64665f6e 65745f74 ALL....adf_net_t 28680 736f5f74 7970655f 74000000 20161210 so_type_t... ... 28690 000020a9 08636b73 756d5f63 61700000 .. ..cksum_cap.. 286a0 001ffc02 23000874 736f0000 00205502 ....#..tso... U. 286b0 23080876 6c616e5f 73757070 6f727465 #..vlan_supporte 286c0 64000000 168a0223 0c001220 00002142 d......#... ..!B 286d0 0874785f 7061636b 65747300 00001623 .tx_packets....# 286e0 02230008 72785f70 61636b65 74730000 .#..rx_packets.. 286f0 00162302 23040874 785f6279 74657300 ..#.#..tx_bytes. 28700 00001623 02230808 72785f62 79746573 ...#.#..rx_bytes 28710 00000016 2302230c 0874785f 64726f70 ....#.#..tx_drop 28720 70656400 00001623 02231008 72785f64 ped....#.#..rx_d 28730 726f7070 65640000 00162302 23140872 ropped....#.#..r 28740 785f6572 726f7273 00000016 23022318 x_errors....#.#. 28750 0874785f 6572726f 72730000 00162302 .tx_errors....#. 28760 231c0009 6164665f 6e65745f 65746861 #...adf_net_etha 28770 6464725f 74000000 1c7c1600 00214203 ddr_t....|...!B. 28780 00000021 67107f00 17616466 5f6e6574 ...!g....adf_net 28790 5f636d64 5f6d6361 64647200 03040000 _cmd_mcaddr..... 287a0 219e086e 656c656d 00000016 23022300 !..nelem....#.#. 287b0 086d6361 73740000 00215902 23040009 .mcast...!Y.#... 287c0 6164665f 6e65745f 636d645f 6c696e6b adf_net_cmd_link 287d0 5f696e66 6f5f7400 00001c16 09616466 _info_t......adf 287e0 5f6e6574 5f636d64 5f706f6c 6c5f696e _net_cmd_poll_in 287f0 666f5f74 0000001e 84096164 665f6e65 fo_t......adf_ne 28800 745f636d 645f636b 73756d5f 696e666f t_cmd_cksum_info 28810 5f740000 001ffc09 6164665f 6e65745f _t......adf_net_ 28820 636d645f 72696e67 5f696e66 6f5f7400 cmd_ring_info_t. 28830 00001e48 09616466 5f6e6574 5f636d64 ...H.adf_net_cmd 28840 5f646d61 5f696e66 6f5f7400 00001f2d _dma_info_t....- 28850 09616466 5f6e6574 5f636d64 5f766964 .adf_net_cmd_vid 28860 5f740000 001cb209 6164665f 6e65745f _t......adf_net_ 28870 636d645f 6f66666c 6f61645f 6361705f cmd_offload_cap_ 28880 74000000 206d0961 64665f6e 65745f63 t... m.adf_net_c 28890 6d645f73 74617473 5f740000 0020a909 md_stats_t... .. 288a0 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad 288b0 64725f74 00000021 670d6164 665f6e65 dr_t...!g.adf_ne 288c0 745f636d 645f6d63 6173745f 63617000 t_cmd_mcast_cap. 288d0 04000022 e00e4144 465f4e45 545f4d43 ..."..ADF_NET_MC 288e0 4153545f 53555000 000e4144 465f4e45 AST_SUP...ADF_NE 288f0 545f4d43 4153545f 4e4f5453 55500001 T_MCAST_NOTSUP.. 28900 00096164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc 28910 6173745f 6361705f 74000000 22981803 ast_cap_t..."... 28920 04000023 b2086c69 6e6b5f69 6e666f00 ...#..link_info. 28930 0000219e 02230008 706f6c6c 5f696e66 ..!..#..poll_inf 28940 6f000000 21bb0223 0008636b 73756d5f o...!..#..cksum_ 28950 696e666f 00000021 d8022300 0872696e info...!..#..rin 28960 675f696e 666f0000 0021f602 23000864 g_info...!..#..d 28970 6d615f69 6e666f00 00002213 02230008 ma_info..."..#.. 28980 76696400 0000222f 02230008 6f66666c vid..."/.#..offl 28990 6f61645f 63617000 00002246 02230008 oad_cap..."F.#.. 289a0 73746174 73000000 22650223 00086d63 stats..."e.#..mc 289b0 6173745f 696e666f 00000022 7e022300 ast_info..."~.#. 289c0 086d6361 73745f63 61700000 0022e002 .mcast_cap...".. 289d0 23000014 04000024 090e4144 465f4e42 #......$..ADF_NB 289e0 55465f52 585f434b 53554d5f 4e4f4e45 UF_RX_CKSUM_NONE 289f0 00000e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C 28a00 4b53554d 5f485700 010e4144 465f4e42 KSUM_HW...ADF_NB 28a10 55465f52 585f434b 53554d5f 554e4e45 UF_RX_CKSUM_UNNE 28a20 43455353 41525900 02000961 64665f6e CESSARY....adf_n 28a30 6275665f 72785f63 6b73756d 5f747970 buf_rx_cksum_typ 28a40 655f7400 000023b2 12080000 24490872 e_t...#.....$I.r 28a50 6573756c 74000000 24090223 00087661 esult...$..#..va 28a60 6c000000 16230223 04001208 00002479 l....#.#......$y 28a70 08747970 65000000 20550223 00086d73 .type... U.#..ms 28a80 73000000 1cb20223 04086864 725f6f66 s......#..hdr_of 28a90 66000000 168a0223 0600075f 5f616466 f......#...__adf 28aa0 5f6e6275 665f7168 65616400 0c000024 _nbuf_qhead....$ 28ab0 b8086865 61640000 00144302 23000874 ..head....C.#..t 28ac0 61696c00 00001443 02230408 716c656e ail....C.#..qlen 28ad0 00000016 23022308 00095f5f 6164665f ....#.#...__adf_ 28ae0 6e627566 5f740000 00144303 00001699 nbuf_t....C..... 28af0 04000300 00162304 00020106 00001325 ......#........% 28b00 01060000 16230106 00001699 01060000 .....#.......... 28b10 16990103 00001306 0400095f 5f616466 ...........__adf 28b20 5f6e6275 665f7168 6561645f 74000000 _nbuf_qhead_t... 28b30 2479095f 5f616466 5f6e6275 665f7175 $y.__adf_nbuf_qu 28b40 6575655f 74000000 24f90300 00251104 eue_t...$....%.. 28b50 00060000 24b80106 000024b8 01140400 ....$.....$..... 28b60 0026310e 415f5354 41545553 5f4f4b00 .&1.A_STATUS_OK. 28b70 000e415f 53544154 55535f46 41494c45 ..A_STATUS_FAILE 28b80 4400010e 415f5354 41545553 5f454e4f D...A_STATUS_ENO 28b90 454e5400 020e415f 53544154 55535f45 ENT...A_STATUS_E 28ba0 4e4f4d45 4d00030e 415f5354 41545553 NOMEM...A_STATUS 28bb0 5f45494e 56414c00 040e415f 53544154 _EINVAL...A_STAT 28bc0 55535f45 494e5052 4f475245 53530005 US_EINPROGRESS.. 28bd0 0e415f53 54415455 535f454e 4f545355 .A_STATUS_ENOTSU 28be0 50500006 0e415f53 54415455 535f4542 PP...A_STATUS_EB 28bf0 55535900 070e415f 53544154 55535f45 USY...A_STATUS_E 28c00 32424947 00080e41 5f535441 5455535f 2BIG...A_STATUS_ 28c10 45414444 524e4f54 41564149 4c00090e EADDRNOTAVAIL... 28c20 415f5354 41545553 5f454e58 494f000a A_STATUS_ENXIO.. 28c30 0e415f53 54415455 535f4546 41554c54 .A_STATUS_EFAULT 28c40 000b0e41 5f535441 5455535f 45494f00 ...A_STATUS_EIO. 28c50 0c000961 5f737461 7475735f 74000000 ...a_status_t... 28c60 253c0600 00263101 06000001 1e010201 %<...&1......... 28c70 09616466 5f6e6275 665f7400 000024b8 .adf_nbuf_t...$. 28c80 14040000 26960e41 44465f4f 535f444d ....&..ADF_OS_DM 28c90 415f544f 5f444556 49434500 000e4144 A_TO_DEVICE...AD 28ca0 465f4f53 5f444d41 5f46524f 4d5f4445 F_OS_DMA_FROM_DE 28cb0 56494345 00010009 6164665f 6f735f64 VICE....adf_os_d 28cc0 6d615f64 69725f74 00000026 5f060000 ma_dir_t...&_... 28cd0 26310102 01096164 665f6f73 5f646d61 &1....adf_os_dma 28ce0 6d61705f 696e666f 5f740000 00164003 map_info_t....@. 28cf0 000026b4 04000201 02010600 00264f01 ..&..........&O. 28d00 06000024 b8010201 02010600 00264f01 ...$.........&O. 28d10 06000024 b8010600 00264f01 06000024 ...$.....&O....$ 28d20 b8010600 00264f01 02010201 06000016 .....&O......... 28d30 23010600 00169901 02010201 0600001b #............... 28d40 50010600 001a9d01 0600001a 9d010961 P..............a 28d50 64665f6f 735f7367 6c697374 5f740000 df_os_sglist_t.. 28d60 0016d803 0000272d 04000201 02010201 ......'-........ 28d70 06000016 99010961 64665f6e 6275665f .......adf_nbuf_ 28d80 71756575 655f7400 00002511 03000027 queue_t...%....' 28d90 55040002 01030000 24f90400 02010201 U.......$....... 28da0 02010600 00264f01 06000024 b8010600 .....&O....$.... 28db0 00162301 06000016 23010600 001a9d01 ..#.....#....... 28dc0 0600001a 9d010600 001fb901 06000016 ................ 28dd0 23010961 64665f6e 6275665f 72785f63 #..adf_nbuf_rx_c 28de0 6b73756d 5f740000 00242703 000027b1 ksum_t...$'...'. 28df0 04000201 02010961 64665f6e 6275665f .......adf_nbuf_ 28e00 74736f5f 74000000 24490300 0027d504 tso_t...$I...'.. 28e10 00020102 01096164 665f6e65 745f6861 ......adf_net_ha 28e20 6e646c65 5f740000 00041309 6164665f ndle_t......adf_ 28e30 6e65745f 766c616e 6864725f 74000000 net_vlanhdr_t... 28e40 1dc50300 00280a04 00060000 26310106 .....(......&1.. 28e50 00002631 01020102 01075f48 49465f43 ..&1......_HIF_C 28e60 4f4e4649 47000400 00285908 64756d6d ONFIG....(Y.dumm 28e70 79000000 011e0223 00000201 03000028 y......#.......( 28e80 59040002 01030000 28620400 075f4849 Y.......(b..._HI 28e90 465f4341 4c4c4241 434b000c 000028b7 F_CALLBACK....(. 28ea0 0873656e 645f6275 665f646f 6e650000 .send_buf_done.. 28eb0 00285b02 23000872 6563765f 62756600 .([.#..recv_buf. 28ec0 00002864 02230408 636f6e74 65787400 ..(d.#..context. 28ed0 00000413 02230800 09686966 5f68616e .....#...hif_han 28ee0 646c655f 74000000 04130948 49465f43 dle_t......HIF_C 28ef0 4f4e4649 47000000 28380300 0028c904 ONFIG...(8...(.. 28f00 00060000 28b70103 000028e0 04000201 ....(.....(..... 28f10 03000028 ed040009 4849465f 43414c4c ...(....HIF_CALL 28f20 4241434b 00000028 6b030000 28f60400 BACK...(k...(... 28f30 02010300 00290f04 00060000 011e0103 .....).......... 28f40 00002918 04000201 03000029 25040006 ..)........)%... 28f50 0000011e 01030000 292e0400 02010300 ........)....... 28f60 00293b04 00060000 011e0103 00002944 .);...........)D 28f70 04000201 03000029 51040007 6869665f .......)Q...hif_ 28f80 61706900 3800002a aa085f69 6e697400 api.8..*.._init. 28f90 000028e6 02230008 5f736875 74646f77 ..(..#.._shutdow 28fa0 6e000000 28ef0223 04085f72 65676973 n...(..#.._regis 28fb0 7465725f 63616c6c 6261636b 00000029 ter_callback...) 28fc0 11022308 085f6765 745f746f 74616c5f ..#.._get_total_ 28fd0 63726564 69745f63 6f756e74 00000029 credit_count...) 28fe0 1e02230c 085f7374 61727400 000028ef ..#.._start...(. 28ff0 02231008 5f636f6e 6669675f 70697065 .#.._config_pipe 29000 00000029 27022314 085f7365 6e645f62 ...)'.#.._send_b 29010 75666665 72000000 29340223 18085f72 uffer...)4.#.._r 29020 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. 29030 00293d02 231c085f 69735f70 6970655f .)=.#.._is_pipe_ 29040 73757070 6f727465 64000000 294a0223 supported...)J.# 29050 20085f67 65745f6d 61785f6d 73675f6c ._get_max_msg_l 29060 656e0000 00294a02 2324085f 6765745f en...)J.#$._get_ 29070 72657365 72766564 5f686561 64726f6f reserved_headroo 29080 6d000000 291e0223 28085f69 73725f68 m...)..#(._isr_h 29090 616e646c 65720000 0028ef02 232c085f andler...(..#,._ 290a0 6765745f 64656661 756c745f 70697065 get_default_pipe 290b0 00000029 53022330 08705265 73657276 ...)S.#0.pReserv 290c0 65640000 00041302 2334000d 646d615f ed......#4..dma_ 290d0 656e6769 6e650004 00002b33 0e444d41 engine....+3.DMA 290e0 5f454e47 494e455f 52583000 000e444d _ENGINE_RX0...DM 290f0 415f454e 47494e45 5f525831 00010e44 A_ENGINE_RX1...D 29100 4d415f45 4e47494e 455f5258 3200020e MA_ENGINE_RX2... 29110 444d415f 454e4749 4e455f52 58330003 DMA_ENGINE_RX3.. 29120 0e444d41 5f454e47 494e455f 54583000 .DMA_ENGINE_TX0. 29130 040e444d 415f454e 47494e45 5f545831 ..DMA_ENGINE_TX1 29140 00050e44 4d415f45 4e47494e 455f4d41 ...DMA_ENGINE_MA 29150 58000600 09646d61 5f656e67 696e655f X....dma_engine_ 29160 74000000 2aaa0d64 6d615f69 66747970 t...*..dma_iftyp 29170 65000400 002b800e 444d415f 49465f47 e....+..DMA_IF_G 29180 4d414300 000e444d 415f4946 5f504349 MAC...DMA_IF_PCI 29190 00010e44 4d415f49 465f5043 49450002 ...DMA_IF_PCIE.. 291a0 0009646d 615f6966 74797065 5f740000 ..dma_iftype_t.. 291b0 002b4506 000012f8 01030000 2b920400 .+E.........+... 291c0 02010300 002b9f04 00020103 00002ba8 .....+........+. 291d0 04000600 00092a01 0300002b b1040006 ......*....+.... 291e0 000012f8 01030000 2bbe0400 06000012 ........+....... 291f0 f8010300 002bcb04 00060000 14430103 .....+.......C.. 29200 00002bd8 04000201 0300002b e5040007 ..+........+.... 29210 646d615f 6c69625f 61706900 3400002c dma_lib_api.4.., 29220 ec087478 5f696e69 74000000 2b980223 ..tx_init...+..# 29230 00087478 5f737461 72740000 002ba102 ..tx_start...+.. 29240 23040872 785f696e 69740000 002b9802 #..rx_init...+.. 29250 23080872 785f636f 6e666967 0000002b #..rx_config...+ 29260 aa02230c 0872785f 73746172 74000000 ..#..rx_start... 29270 2ba10223 1008696e 74725f73 74617475 +..#..intr_statu 29280 73000000 2bb70223 14086861 72645f78 s...+..#..hard_x 29290 6d697400 00002bc4 02231808 666c7573 mit...+..#..flus 292a0 685f786d 69740000 002ba102 231c0878 h_xmit...+..#..x 292b0 6d69745f 646f6e65 0000002b d1022320 mit_done...+..# 292c0 08726561 705f786d 69747465 64000000 .reap_xmitted... 292d0 2bde0223 24087265 61705f72 65637600 +..#$.reap_recv. 292e0 00002bde 02232808 72657475 726e5f72 ..+..#(.return_r 292f0 65637600 00002be7 02232c08 72656376 ecv...+..#,.recv 29300 5f706b74 0000002b d1022330 00075f5f _pkt...+..#0..__ 29310 7063695f 736f6674 63000c00 002d0a08 pci_softc....-.. 29320 73770000 0028f602 23000009 5f5f7063 sw...(..#...__pc 29330 695f736f 6674635f 74000000 2cec0300 i_softc_t...,... 29340 002d0a04 00020103 00002d24 04000600 .-........-$.... 29350 0012e401 0300002d 2d04000d 6869665f .......--...hif_ 29360 7063695f 70697065 5f747800 0400002d pci_pipe_tx....- 29370 8d0e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T 29380 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP 29390 455f5458 3100010e 4849465f 5043495f E_TX1...HIF_PCI_ 293a0 50495045 5f54585f 4d415800 02000968 PIPE_TX_MAX....h 293b0 69665f70 63695f70 6970655f 74785f74 if_pci_pipe_tx_t 293c0 0000002d 3a060000 2b330103 00002da4 ...-:...+3....-. 293d0 04000d68 69665f70 63695f70 6970655f ...hif_pci_pipe_ 293e0 72780004 00002e2a 0e484946 5f504349 rx.....*.HIF_PCI 293f0 5f504950 455f5258 3000000e 4849465f _PIPE_RX0...HIF_ 29400 5043495f 50495045 5f525831 00010e48 PCI_PIPE_RX1...H 29410 49465f50 43495f50 4950455f 52583200 IF_PCI_PIPE_RX2. 29420 020e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 29430 58330003 0e484946 5f504349 5f504950 X3...HIF_PCI_PIP 29440 455f5258 5f4d4158 00040009 6869665f E_RX_MAX....hif_ 29450 7063695f 70697065 5f72785f 74000000 pci_pipe_rx_t... 29460 2db10600 002b3301 0300002e 41040007 -....+3.....A... 29470 6869665f 7063695f 61706900 2400002f hif_pci_api.$../ 29480 1f087063 695f626f 6f745f69 6e697400 ..pci_boot_init. 29490 00000117 02230008 7063695f 696e6974 .....#..pci_init 294a0 00000028 e6022304 08706369 5f726573 ...(..#..pci_res 294b0 65740000 00011702 23080870 63695f65 et......#..pci_e 294c0 6e61626c 65000000 01170223 0c087063 nable......#..pc 294d0 695f7265 61705f78 6d697474 65640000 i_reap_xmitted.. 294e0 002d2602 23100870 63695f72 6561705f .-&.#..pci_reap_ 294f0 72656376 0000002d 26022314 08706369 recv...-&.#..pci 29500 5f676574 5f706970 65000000 2d330223 _get_pipe...-3.# 29510 18087063 695f6765 745f7478 5f656e67 ..pci_get_tx_eng 29520 0000002d aa02231c 08706369 5f676574 ...-..#..pci_get 29530 5f72785f 656e6700 00002e47 02232000 _rx_eng....G.# . 29540 07676d61 635f6170 69000400 002f4608 .gmac_api..../F. 29550 676d6163 5f626f6f 745f696e 69740000 gmac_boot_init.. 29560 00011702 2300000f 0000032b 0600002f ....#......+.../ 29570 53100500 075f5f65 74686864 72000e00 S....__ethhdr... 29580 002f8908 64737400 00002f46 02230008 ./..dst.../F.#.. 29590 73726300 00002f46 02230608 65747970 src.../F.#..etyp 295a0 65000000 12f80223 0c00075f 5f617468 e......#...__ath 295b0 68647200 0400002f d7157265 73000000 hdr..../..res... 295c0 12e40100 02022300 1570726f 746f0000 ......#..proto.. 295d0 0012e401 02060223 00087265 735f6c6f .......#..res_lo 295e0 00000012 e4022301 08726573 5f686900 ......#..res_hi. 295f0 000012f8 02230200 075f5f67 6d61635f .....#...__gmac_ 29600 68647200 14000030 13086574 68000000 hdr....0..eth... 29610 2f530223 00086174 68000000 2f890223 /S.#..ath.../..# 29620 0e08616c 69676e5f 70616400 000012f8 ..align_pad..... 29630 02231200 095f5f67 6d61635f 6864725f .#...__gmac_hdr_ 29640 74000000 2fd7075f 5f676d61 635f736f t.../..__gmac_so 29650 66746300 24000030 5d086864 72000000 ftc.$..0].hdr... 29660 30130223 00086772 616e0000 0012f802 0..#..gran...... 29670 23140873 77000000 28f60223 1800075f #..sw...(..#..._ 29680 415f6f73 5f6c696e 6b616765 5f636865 A_os_linkage_che 29690 636b0008 00003096 08766572 73696f6e ck....0..version 296a0 00000001 1e022300 08746162 6c650000 ......#..table.. 296b0 00011e02 23040003 0000305d 04000600 ....#.....0].... 296c0 00011e01 03000030 9d040003 00000416 .......0........ 296d0 0400175f 415f636d 6e6f735f 696e6469 ..._A_cmnos_indi 296e0 72656374 696f6e5f 7461626c 650001b8 rection_table... 296f0 000031ed 0868616c 5f6c696e 6b616765 ..1..hal_linkage 29700 5f636865 636b0000 0030a302 23000873 _check...0..#..s 29710 74617274 5f627373 00000030 aa022304 tart_bss...0..#. 29720 08617070 5f737461 72740000 00011702 .app_start...... 29730 2308086d 656d0000 00045602 230c086d #..mem....V.#..m 29740 69736300 00000575 02232008 7072696e isc....u.# .prin 29750 74660000 00014b02 23440875 61727400 tf....K.#D.uart. 29760 00000214 02234c08 676d6163 0000002f .....#L.gmac.../ 29770 1f02236c 08757362 0000000f a4022370 ..#l.usb......#p 29780 08636c6f 636b0000 000aed03 23e00108 .clock......#... 29790 74696d65 72000000 078d0323 84020869 timer......#...i 297a0 6e747200 00000c3d 03239802 08616c6c ntr....=.#...all 297b0 6f637261 6d000000 09450323 c4020872 ocram....E.#...r 297c0 6f6d7000 00000837 0323d002 08776474 omp....7.#...wdt 297d0 5f74696d 65720000 000e1a03 23e00208 _timer......#... 297e0 65657000 00000f48 0323fc02 08737472 eep....H.#...str 297f0 696e6700 00000699 03238c03 08746173 ing......#...tas 29800 6b6c6574 0000000a 420323a4 0300075f klet....B.#...._ 29810 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. 29820 10000032 60086765 745f636f 6d6d616e ...2`.get_comman 29830 645f6275 66000000 14500223 00087265 d_buf....P.#..re 29840 63765f63 6f6d6d61 6e640000 00146602 cv_command....f. 29850 23040867 65745f65 76656e74 5f627566 #..get_event_buf 29860 00000014 50022308 0873656e 645f6576 ....P.#..send_ev 29870 656e745f 646f6e65 00000014 6602230c ent_done....f.#. 29880 00095553 425f4649 464f5f43 4f4e4649 ..USB_FIFO_CONFI 29890 47000000 31ed0300 00326004 00020103 G...1....2`..... 298a0 0000327c 04000775 73626669 666f5f61 ..2|...usbfifo_a 298b0 7069000c 000032d2 085f696e 69740000 pi....2.._init.. 298c0 00327e02 2300085f 656e6162 6c655f65 .2~.#.._enable_e 298d0 76656e74 5f697372 00000001 17022304 vent_isr......#. 298e0 08705265 73657276 65640000 00041302 .pReserved...... 298f0 2308000f 0000168a 02000032 df100100 #..........2.... 29900 075f4854 435f4652 414d455f 48445200 ._HTC_FRAME_HDR. 29910 08000033 5108456e 64706f69 6e744944 ...3Q.EndpointID 29920 00000016 8a022300 08466c61 67730000 ......#..Flags.. 29930 00168a02 23010850 61796c6f 61644c65 ....#..PayloadLe 29940 6e000000 1cb20223 0208436f 6e74726f n......#..Contro 29950 6c427974 65730000 0032d202 23040848 lBytes...2..#..H 29960 6f737453 65714e75 6d000000 1cb20223 ostSeqNum......# 29970 06001202 0000336a 084d6573 73616765 ......3j.Message 29980 49440000 001cb202 23000012 08000033 ID......#......3 29990 cd084d65 73736167 65494400 00001cb2 ..MessageID..... 299a0 02230008 43726564 6974436f 756e7400 .#..CreditCount. 299b0 00001cb2 02230208 43726564 69745369 .....#..CreditSi 299c0 7a650000 001cb202 2304084d 6178456e ze......#..MaxEn 299d0 64706f69 6e747300 0000168a 02230608 dpoints......#.. 299e0 5f506164 31000000 168a0223 0700120a _Pad1......#.... 299f0 00003464 084d6573 73616765 49440000 ..4d.MessageID.. 29a00 001cb202 23000853 65727669 63654944 ....#..ServiceID 29a10 0000001c b2022302 08436f6e 6e656374 ......#..Connect 29a20 696f6e46 6c616773 0000001c b2022304 ionFlags......#. 29a30 08446f77 6e4c696e 6b506970 65494400 .DownLinkPipeID. 29a40 0000168a 02230608 55704c69 6e6b5069 .....#..UpLinkPi 29a50 70654944 00000016 8a022307 08536572 peID......#..Ser 29a60 76696365 4d657461 4c656e67 74680000 viceMetaLength.. 29a70 00168a02 2308085f 50616431 00000016 ....#.._Pad1.... 29a80 8a022309 00120a00 0034ec08 4d657373 ..#......4..Mess 29a90 61676549 44000000 1cb20223 00085365 ageID......#..Se 29aa0 72766963 65494400 00001cb2 02230208 rviceID......#.. 29ab0 53746174 75730000 00168a02 23040845 Status......#..E 29ac0 6e64706f 696e7449 44000000 168a0223 ndpointID......# 29ad0 05084d61 784d7367 53697a65 0000001c ..MaxMsgSize.... 29ae0 b2022306 08536572 76696365 4d657461 ..#..ServiceMeta 29af0 4c656e67 74680000 00168a02 2308085f Length......#.._ 29b00 50616431 00000016 8a022309 00120200 Pad1......#..... 29b10 00350508 4d657373 61676549 44000000 .5..MessageID... 29b20 1cb20223 00001204 00003541 084d6573 ...#......5A.Mes 29b30 73616765 49440000 001cb202 23000850 sageID......#..P 29b40 69706549 44000000 168a0223 02084372 ipeID......#..Cr 29b50 65646974 436f756e 74000000 168a0223 editCount......# 29b60 03001204 00003578 084d6573 73616765 ......5x.Message 29b70 49440000 001cb202 23000850 69706549 ID......#..PipeI 29b80 44000000 168a0223 02085374 61747573 D......#..Status 29b90 00000016 8a022303 00120200 00359f08 ......#......5.. 29ba0 5265636f 72644944 00000016 8a022300 RecordID......#. 29bb0 084c656e 67746800 0000168a 02230100 .Length......#.. 29bc0 12020000 35c90845 6e64706f 696e7449 ....5..EndpointI 29bd0 44000000 168a0223 00084372 65646974 D......#..Credit 29be0 73000000 168a0223 01001204 0000360a s......#......6. 29bf0 08456e64 706f696e 74494400 0000168a .EndpointID..... 29c00 02230008 43726564 69747300 0000168a .#..Credits..... 29c10 02230108 54677443 72656469 74536571 .#..TgtCreditSeq 29c20 4e6f0000 001cb202 2302000f 0000168a No......#....... 29c30 04000036 17100300 12060000 36530850 ...6........6S.P 29c40 72655661 6c696400 0000168a 02230008 reValid......#.. 29c50 4c6f6f6b 41686561 64000000 360a0223 LookAhead...6..# 29c60 0108506f 73745661 6c696400 0000168a ..PostValid..... 29c70 02230500 09706f6f 6c5f6861 6e646c65 .#...pool_handle 29c80 5f740000 00041306 00003653 01030000 _t........6S.... 29c90 36660400 02010300 00367304 00140400 6f.......6s..... 29ca0 0036f10e 504f4f4c 5f49445f 4854435f .6..POOL_ID_HTC_ 29cb0 434f4e54 524f4c00 000e504f 4f4c5f49 CONTROL...POOL_I 29cc0 445f574d 495f5356 435f434d 445f5245 D_WMI_SVC_CMD_RE 29cd0 504c5900 010e504f 4f4c5f49 445f574d PLY...POOL_ID_WM 29ce0 495f5356 435f4556 454e5400 020e504f I_SVC_EVENT...PO 29cf0 4f4c5f49 445f574c 414e5f52 585f4255 OL_ID_WLAN_RX_BU 29d00 4600030e 504f4f4c 5f49445f 4d415800 F...POOL_ID_MAX. 29d10 0a000942 55465f50 4f4f4c5f 49440000 ...BUF_POOL_ID.. 29d20 00367c02 01030000 37020400 06000026 .6|.....7......& 29d30 4f010300 00370b04 00060000 264f0103 O....7......&O.. 29d40 00003718 04000201 03000037 25040007 ..7........7%... 29d50 6275665f 706f6f6c 5f617069 001c0000 buf_pool_api.... 29d60 37c7085f 696e6974 00000036 6c022300 7.._init...6l.#. 29d70 085f7368 7574646f 776e0000 00367502 ._shutdown...6u. 29d80 2304085f 63726561 74655f70 6f6f6c00 #.._create_pool. 29d90 00003704 02230808 5f616c6c 6f635f62 ..7..#.._alloc_b 29da0 75660000 00371102 230c085f 616c6c6f uf...7..#.._allo 29db0 635f6275 665f616c 69676e00 0000371e c_buf_align...7. 29dc0 02231008 5f667265 655f6275 66000000 .#.._free_buf... 29dd0 37270223 14087052 65736572 76656400 7'.#..pReserved. 29de0 00000413 02231800 075f4854 435f5345 .....#..._HTC_SE 29df0 52564943 45001c00 0038a608 704e6578 RVICE....8..pNex 29e00 74000000 38a60223 00085072 6f636573 t...8..#..Proces 29e10 73526563 764d7367 00000039 5b022304 sRecvMsg...9[.#. 29e20 0850726f 63657373 53656e64 42756666 .ProcessSendBuff 29e30 6572436f 6d706c65 74650000 00396402 erComplete...9d. 29e40 23080850 726f6365 7373436f 6e6e6563 #..ProcessConnec 29e50 74000000 39780223 0c085365 72766963 t...9x.#..Servic 29e60 65494400 000012f8 02231008 53657276 eID......#..Serv 29e70 69636546 6c616773 00000012 f8022312 iceFlags......#. 29e80 084d6178 5376634d 73675369 7a650000 .MaxSvcMsgSize.. 29e90 0012f802 23140854 7261696c 65725370 ....#..TrailerSp 29ea0 63436865 636b4c69 6d697400 000012f8 cCheckLimit..... 29eb0 02231608 53657276 69636543 74780000 .#..ServiceCtx.. 29ec0 00041302 23180003 000037c7 04001404 ....#.....7..... 29ed0 00003944 19454e44 504f494e 545f554e ..9D.ENDPOINT_UN 29ee0 55534544 00ffffff ff0e454e 44504f49 USED......ENDPOI 29ef0 4e543000 000e454e 44504f49 4e543100 NT0...ENDPOINT1. 29f00 010e454e 44504f49 4e543200 020e454e ..ENDPOINT2...EN 29f10 44504f49 4e543300 030e454e 44504f49 DPOINT3...ENDPOI 29f20 4e543400 040e454e 44504f49 4e543500 NT4...ENDPOINT5. 29f30 050e454e 44504f49 4e543600 060e454e ..ENDPOINT6...EN 29f40 44504f49 4e543700 070e454e 44504f49 DPOINT7...ENDPOI 29f50 4e543800 080e454e 44504f49 4e545f4d NT8...ENDPOINT_M 29f60 41580016 00094854 435f454e 44504f49 AX....HTC_ENDPOI 29f70 4e545f49 44000000 38ad0201 03000039 NT_ID...8......9 29f80 59040002 01030000 39620400 03000001 Y.......9b...... 29f90 1e040006 000012e4 01030000 39720400 ............9r.. 29fa0 03000037 c7040007 5f485443 5f434f4e ...7...._HTC_CON 29fb0 46494700 14000039 f7084372 65646974 FIG....9..Credit 29fc0 53697a65 00000001 1e022300 08437265 Size......#..Cre 29fd0 6469744e 756d6265 72000000 011e0223 ditNumber......# 29fe0 04084f53 48616e64 6c650000 001a4e02 ..OSHandle....N. 29ff0 23080848 49464861 6e646c65 00000028 #..HIFHandle...( 2a000 b702230c 08506f6f 6c48616e 646c6500 ..#..PoolHandle. 2a010 00003653 02231000 075f4854 435f4255 ..6S.#..._HTC_BU 2a020 465f434f 4e544558 54000200 003a3308 F_CONTEXT....:3. 2a030 656e645f 706f696e 74000000 12e40223 end_point......# 2a040 00086874 635f666c 61677300 000012e4 ..htc_flags..... 2a050 02230100 09687463 5f68616e 646c655f .#...htc_handle_ 2a060 74000000 04130948 54435f53 45545550 t......HTC_SETUP 2a070 5f434f4d 504c4554 455f4342 00000001 _COMPLETE_CB.... 2a080 17094854 435f434f 4e464947 00000039 ..HTC_CONFIG...9 2a090 86030000 3a600400 0600003a 33010300 ....:`.....:3... 2a0a0 003a7704 00020103 00003a84 04000948 .:w.......:....H 2a0b0 54435f53 45525649 43450000 0037c703 TC_SERVICE...7.. 2a0c0 00003a8d 04000201 0300003a a5040002 ..:........:.... 2a0d0 01030000 3aae0400 02010300 003ab704 ....:........:.. 2a0e0 00060000 011e0103 00003ac0 04000768 ..........:....h 2a0f0 74635f61 70697300 3400003c 3d085f48 tc_apis.4..<=._H 2a100 54435f49 6e697400 00003a7d 02230008 TC_Init...:}.#.. 2a110 5f485443 5f536875 74646f77 6e000000 _HTC_Shutdown... 2a120 3a860223 04085f48 54435f52 65676973 :..#.._HTC_Regis 2a130 74657253 65727669 63650000 003aa702 terService...:.. 2a140 2308085f 4854435f 52656164 79000000 #.._HTC_Ready... 2a150 3a860223 0c085f48 54435f52 65747572 :..#.._HTC_Retur 2a160 6e427566 66657273 0000003a b0022310 nBuffers...:..#. 2a170 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 2a180 6572734c 69737400 00003ab9 02231408 ersList...:..#.. 2a190 5f485443 5f53656e 644d7367 0000003a _HTC_SendMsg...: 2a1a0 b0022318 085f4854 435f4765 74526573 ..#.._HTC_GetRes 2a1b0 65727665 64486561 64726f6f 6d000000 ervedHeadroom... 2a1c0 3ac60223 1c085f48 54435f4d 73675265 :..#.._HTC_MsgRe 2a1d0 63764861 6e646c65 72000000 28640223 cvHandler...(d.# 2a1e0 20085f48 54435f53 656e6444 6f6e6548 ._HTC_SendDoneH 2a1f0 616e646c 65720000 00285b02 2324085f andler...([.#$._ 2a200 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr 2a210 6f636573 734d7367 00000039 5b022328 ocessMsg...9[.#( 2a220 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 2a230 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl 2a240 65746500 00003964 02232c08 70526573 ete...9d.#,.pRes 2a250 65727665 64000000 04130223 30000768 erved......#0..h 2a260 6f73745f 6170705f 61726561 5f730004 ost_app_area_s.. 2a270 00003c6d 08776d69 5f70726f 746f636f ...# 2b130 04000473 686f7274 20756e73 69676e65 ...short unsigne 2b140 6420696e 74000702 0975696e 7431365f d int....uint16_ 2b150 74000000 017c046c 6f6e6720 756e7369 t....|.long unsi 2b160 676e6564 20696e74 00070409 75696e74 gned int....uint 2b170 33325f74 00000001 a0077561 72745f66 32_t......uart_f 2b180 69666f00 08000002 0e087374 6172745f ifo.......start_ 2b190 696e6465 78000000 01920223 0008656e index......#..en 2b1a0 645f696e 64657800 00000192 02230208 d_index......#.. 2b1b0 6f766572 72756e5f 65727200 000001b5 overrun_err..... 2b1c0 02230400 07756172 745f6170 69002000 .#...uart_api. . 2b1d0 0002c708 5f756172 745f696e 69740000 ...._uart_init.. 2b1e0 00031e02 2300085f 75617274 5f636861 ....#.._uart_cha 2b1f0 725f7075 74000000 03450223 04085f75 r_put....E.#.._u 2b200 6172745f 63686172 5f676574 00000003 art_char_get.... 2b210 59022308 085f7561 72745f73 74725f6f Y.#.._uart_str_o 2b220 75740000 00036202 230c085f 75617274 ut....b.#.._uart 2b230 5f746173 6b000000 01110223 10085f75 _task......#.._u 2b240 6172745f 73746174 75730000 00031e02 art_status...... 2b250 2314085f 75617274 5f636f6e 66696700 #.._uart_config. 2b260 0000036b 02231808 5f756172 745f6877 ...k.#.._uart_hw 2b270 696e6974 00000003 7402231c 00030000 init....t.#..... 2b280 020e0400 07756172 745f626c 6b001000 .....uart_blk... 2b290 00031808 64656275 675f6d6f 64650000 ....debug_mode.. 2b2a0 00019202 23000862 61756400 00000192 ....#..baud..... 2b2b0 02230208 5f756172 74000000 02c70223 .#.._uart......# 2b2c0 04085f74 78000000 01c30223 08000600 .._tx......#.... 2b2d0 0001b501 03000003 18040004 756e7369 ............unsi 2b2e0 676e6564 20636861 72000701 0975696e gned char....uin 2b2f0 74385f74 00000003 25020103 00000343 t8_t....%......C 2b300 04000300 00033604 00060000 01920103 ......6......... 2b310 00000353 04000201 03000003 60040002 ...S........`... 2b320 01030000 03690400 02010300 00037204 .....i........r. 2b330 00030000 011f0400 06000001 18010300 ................ 2b340 00038204 00074442 5f434f4d 4d414e44 ......DB_COMMAND 2b350 5f535452 55435400 0c000003 da08636d _STRUCT.......cm 2b360 645f7374 72000000 037b0223 00086865 d_str....{.#..he 2b370 6c705f73 74720000 00037b02 23040863 lp_str....{.#..c 2b380 6d645f66 756e6300 00000388 02230800 md_func......#.. 2b390 07646267 5f617069 00080000 040d085f .dbg_api......._ 2b3a0 6462675f 696e6974 00000001 11022300 dbg_init......#. 2b3b0 085f6462 675f7461 736b0000 00011102 ._dbg_task...... 2b3c0 2304000a 04000475 6e736967 6e656420 #......unsigned 2b3d0 696e7400 07040600 00040d01 03000004 int............. 2b3e0 2004000b 0b030000 042e0400 06000004 ............... 2b3f0 0d010300 00043604 00060000 01180103 ......6......... 2b400 00000443 0400076d 656d5f61 70690014 ...C...mem_api.. 2b410 000004b2 085f6d65 6d5f696e 69740000 ....._mem_init.. 2b420 00011102 2300085f 6d656d73 65740000 ....#.._memset.. 2b430 00042602 2304085f 6d656d63 70790000 ..&.#.._memcpy.. 2b440 00043c02 2308085f 6d656d6d 6f766500 ..<.#.._memmove. 2b450 0000043c 02230c08 5f6d656d 636d7000 ...<.#.._memcmp. 2b460 00000449 02231000 0c726567 69737465 ...I.#...registe 2b470 725f6475 6d705f73 00000103 000004b2 r_dump_s........ 2b480 04000201 03000004 cc040002 01030000 ................ 2b490 04d50400 06000001 18010300 0004de04 ................ 2b4a0 000d686f 73746966 5f730004 0000053a ..hostif_s.....: 2b4b0 0e484946 5f555342 00000e48 49465f50 .HIF_USB...HIF_P 2b4c0 43494500 010e4849 465f474d 41430002 CIE...HIF_GMAC.. 2b4d0 0e484946 5f504349 00030e48 49465f4e .HIF_PCI...HIF_N 2b4e0 554d0004 0e484946 5f4e4f4e 45000500 UM...HIF_NONE... 2b4f0 09415f48 4f535449 46000000 04eb0600 .A_HOSTIF....... 2b500 00053a01 03000005 48040006 00000336 ..:.....H......6 2b510 01030000 05550400 06000001 92010300 .....U.......... 2b520 00056204 00076d69 73635f61 70690024 ..b...misc_api.$ 2b530 00000652 085f7379 7374656d 5f726573 ...R._system_res 2b540 65740000 00011102 2300085f 6d61635f et......#.._mac_ 2b550 72657365 74000000 01110223 04085f61 reset......#.._a 2b560 73736661 696c0000 0004ce02 2308085f ssfail......#.._ 2b570 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 2b580 68616e64 6c657200 000004ce 02230c08 handler......#.. 2b590 5f726570 6f72745f 6661696c 7572655f _report_failure_ 2b5a0 746f5f68 6f737400 000004d7 02231008 to_host......#.. 2b5b0 5f746172 6765745f 69645f67 65740000 _target_id_get.. 2b5c0 0004e402 2314085f 69735f68 6f73745f ....#.._is_host_ 2b5d0 70726573 656e7400 0000054e 02231808 present....N.#.. 2b5e0 5f6b6268 69740000 00055b02 231c085f _kbhit....[.#.._ 2b5f0 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. 2b600 00000568 02232000 06000003 7b010300 ...h.# .....{... 2b610 00065204 00060000 037b0103 0000065f ..R......{....._ 2b620 04000600 00011801 03000006 6c040006 ............l... 2b630 00000118 01030000 06790400 06000001 .........y...... 2b640 18010300 00068604 00077374 72696e67 ..........string 2b650 5f617069 00180000 070c085f 73747269 _api......._stri 2b660 6e675f69 6e697400 00000111 02230008 ng_init......#.. 2b670 5f737472 63707900 00000658 02230408 _strcpy....X.#.. 2b680 5f737472 6e637079 00000006 65022308 _strncpy....e.#. 2b690 085f7374 726c656e 00000006 7202230c ._strlen....r.#. 2b6a0 085f7374 72636d70 00000006 7f022310 ._strcmp......#. 2b6b0 085f7374 726e636d 70000000 068c0223 ._strncmp......# 2b6c0 14000f00 00041014 00000719 10040009 ................ 2b6d0 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. 2b6e0 00070c09 415f7469 6d65725f 74000000 ....A_timer_t... 2b6f0 07190300 00072d04 00020103 00000743 ......-........C 2b700 04000201 03000007 4c040009 415f4841 ........L...A_HA 2b710 4e444c45 00000004 10020109 415f5449 NDLE........A_TI 2b720 4d45525f 46554e43 00000007 63030000 MER_FUNC....c... 2b730 07650400 02010300 00077e04 00077469 .e........~...ti 2b740 6d65725f 61706900 14000007 fd085f74 mer_api......._t 2b750 696d6572 5f696e69 74000000 01110223 imer_init......# 2b760 00085f74 696d6572 5f61726d 00000007 .._timer_arm.... 2b770 45022304 085f7469 6d65725f 64697361 E.#.._timer_disa 2b780 726d0000 00074e02 2308085f 74696d65 rm....N.#.._time 2b790 725f7365 74666e00 00000780 02230c08 r_setfn......#.. 2b7a0 5f74696d 65725f72 756e0000 00011102 _timer_run...... 2b7b0 23100009 424f4f4c 45414e00 00000192 #...BOOLEAN..... 2b7c0 06000007 fd010300 00080a04 00060000 ................ 2b7d0 07fd0103 00000817 04000600 0007fd01 ................ 2b7e0 03000008 24040007 726f6d70 5f617069 ....$...romp_api 2b7f0 00100000 0896085f 726f6d70 5f696e69 ......._romp_ini 2b800 74000000 01110223 00085f72 6f6d705f t......#.._romp_ 2b810 646f776e 6c6f6164 00000008 10022304 download......#. 2b820 085f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. 2b830 00081d02 2308085f 726f6d70 5f646563 ....#.._romp_dec 2b840 6f646500 0000082a 02230c00 07726f6d ode....*.#...rom 2b850 5f706174 63685f73 74001000 0008f208 _patch_st....... 2b860 63726331 36000000 01920223 00086c65 crc16......#..le 2b870 6e000000 01920223 02086c64 5f616464 n......#..ld_add 2b880 72000000 01b50223 04086675 6e5f6164 r......#..fun_ad 2b890 64720000 0001b502 23080870 66756e00 dr......#..pfun. 2b8a0 0000034c 02230c00 07656570 5f726564 ...L.#...eep_red 2b8b0 69725f61 64647200 04000009 24086f66 ir_addr.....$.of 2b8c0 66736574 00000001 92022300 0873697a fset......#..siz 2b8d0 65000000 01920223 02000941 5f55494e e......#...A_UIN 2b8e0 54333200 00000410 06000004 0d010300 T32............. 2b8f0 00093204 0007616c 6c6f6372 616d5f61 ..2...allocram_a 2b900 7069000c 000009a3 08636d6e 6f735f61 pi.......cmnos_a 2b910 6c6c6f63 72616d5f 696e6974 00000009 llocram_init.... 2b920 38022300 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc 2b930 72616d00 00000938 02230408 636d6e6f ram....8.#..cmno 2b940 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug 2b950 00000001 11022308 00020103 000009a3 ......#......... 2b960 04000941 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN 2b970 43000000 09a5075f 7461736b 6c657400 C......_tasklet. 2b980 1000000a 04086675 6e630000 0009ac02 ......func...... 2b990 23000861 72670000 00040d02 23040873 #..arg......#..s 2b9a0 74617465 00000001 18022308 086e6578 tate......#..nex 2b9b0 74000000 0a040223 0c000300 0009c004 t......#........ 2b9c0 00030000 09c00400 09415f74 61736b6c .........A_taskl 2b9d0 65745f74 00000009 c0030000 0a120400 et_t............ 2b9e0 02010300 000a2a04 00020103 00000a33 ......*........3 2b9f0 04000774 61736b6c 65745f61 70690014 ...tasklet_api.. 2ba00 00000ac8 085f7461 736b6c65 745f696e ....._tasklet_in 2ba10 69740000 00011102 2300085f 7461736b it......#.._task 2ba20 6c65745f 696e6974 5f746173 6b000000 let_init_task... 2ba30 0a2c0223 04085f74 61736b6c 65745f64 .,.#.._tasklet_d 2ba40 69736162 6c650000 000a3502 2308085f isable....5.#.._ 2ba50 7461736b 6c65745f 73636865 64756c65 tasklet_schedule 2ba60 0000000a 3502230c 085f7461 736b6c65 ....5.#.._taskle 2ba70 745f7275 6e000000 01110223 10000201 t_run......#.... 2ba80 0300000a c8040006 00000924 01030000 ...........$.... 2ba90 0ad10400 02010300 000ade04 0007636c ..............cl 2baa0 6f636b5f 61706900 2400000b c0085f63 ock_api.$....._c 2bab0 6c6f636b 5f696e69 74000000 0aca0223 lock_init......# 2bac0 00085f63 6c6f636b 72656773 5f696e69 .._clockregs_ini 2bad0 74000000 01110223 04085f75 6172745f t......#.._uart_ 2bae0 66726571 75656e63 79000000 0ad70223 frequency......# 2baf0 08085f64 656c6179 5f757300 00000ae0 .._delay_us..... 2bb00 02230c08 5f776c61 6e5f6261 6e645f73 .#.._wlan_band_s 2bb10 65740000 000ae002 2310085f 72656663 et......#.._refc 2bb20 6c6b5f73 70656564 5f676574 0000000a lk_speed_get.... 2bb30 d7022314 085f6d69 6c6c6973 65636f6e ..#.._millisecon 2bb40 64730000 000ad702 2318085f 73797363 ds......#.._sysc 2bb50 6c6b5f63 68616e67 65000000 01110223 lk_change......# 2bb60 1c085f63 6c6f636b 5f746963 6b000000 .._clock_tick... 2bb70 01110223 20000600 0001b501 0300000b ...# ........... 2bb80 c0040009 415f6f6c 645f696e 74725f74 ....A_old_intr_t 2bb90 00000001 b5060000 0bcd0103 00000bdf ................ 2bba0 04000201 0300000b ec040002 01030000 ................ 2bbb0 0bf50400 06000001 b5010300 000bfe04 ................ 2bbc0 0009415f 6973725f 74000000 0c040201 ..A_isr_t....... 2bbd0 0300000c 18040006 00000410 01030000 ................ 2bbe0 0c210400 02010300 000c2e04 0007696e .!............in 2bbf0 74725f61 7069002c 00000d50 085f696e tr_api.,...P._in 2bc00 74725f69 6e697400 00000111 02230008 tr_init......#.. 2bc10 5f696e74 725f696e 766f6b65 5f697372 _intr_invoke_isr 2bc20 0000000b c6022304 085f696e 74725f64 ......#.._intr_d 2bc30 69736162 6c650000 000be502 2308085f isable......#.._ 2bc40 696e7472 5f726573 746f7265 0000000b intr_restore.... 2bc50 ee02230c 085f696e 74725f6d 61736b5f ..#.._intr_mask_ 2bc60 696e756d 0000000b f7022310 085f696e inum......#.._in 2bc70 74725f75 6e6d6173 6b5f696e 756d0000 tr_unmask_inum.. 2bc80 000bf702 2314085f 696e7472 5f617474 ....#.._intr_att 2bc90 6163685f 69737200 00000c1a 02231808 ach_isr......#.. 2bca0 5f676574 5f696e74 72656e61 626c6500 _get_intrenable. 2bcb0 00000c27 02231c08 5f736574 5f696e74 ...'.#.._set_int 2bcc0 72656e61 626c6500 00000c30 02232008 renable....0.# . 2bcd0 5f676574 5f696e74 7270656e 64696e67 _get_intrpending 2bce0 0000000c 27022324 085f756e 626c6f63 ....'.#$._unbloc 2bcf0 6b5f616c 6c5f696e 74726c76 6c000000 k_all_intrlvl... 2bd00 01110223 28001104 00000d76 0874696d ...#(......v.tim 2bd10 656f7574 00000001 b5022300 08616374 eout......#..act 2bd20 696f6e00 000001b5 02230000 12080000 ion......#...... 2bd30 0d910863 6d640000 0001b502 23001300 ...cmd......#... 2bd40 000d5002 23040009 545f5744 545f434d ..P.#...T_WDT_CM 2bd50 44000000 0d760201 0300000d a0040014 D....v.......... 2bd60 0400000d f60e454e 554d5f57 44545f42 ......ENUM_WDT_B 2bd70 4f4f5400 010e454e 554d5f43 4f4c445f OOT...ENUM_COLD_ 2bd80 424f4f54 00020e45 4e554d5f 53555350 BOOT...ENUM_SUSP 2bd90 5f424f4f 5400030e 454e554d 5f554e4b _BOOT...ENUM_UNK 2bda0 4e4f574e 5f424f4f 54000400 09545f42 NOWN_BOOT....T_B 2bdb0 4f4f545f 54595045 0000000d a9060000 OOT_TYPE........ 2bdc0 0df60103 00000e07 04000777 64745f61 ...........wdt_a 2bdd0 7069001c 00000eab 085f7764 745f696e pi......._wdt_in 2bde0 69740000 00011102 2300085f 7764745f it......#.._wdt_ 2bdf0 656e6162 6c650000 00011102 2304085f enable......#.._ 2be00 7764745f 64697361 626c6500 00000111 wdt_disable..... 2be10 02230808 5f776474 5f736574 0000000d .#.._wdt_set.... 2be20 a202230c 085f7764 745f7461 736b0000 ..#.._wdt_task.. 2be30 00011102 2310085f 7764745f 72657365 ....#.._wdt_rese 2be40 74000000 01110223 14085f77 64745f6c t......#.._wdt_l 2be50 6173745f 626f6f74 0000000e 0d022318 ast_boot......#. 2be60 00140400 000f120e 5245545f 53554343 ........RET_SUCC 2be70 45535300 000e5245 545f4e4f 545f494e ESS...RET_NOT_IN 2be80 49540001 0e524554 5f4e4f54 5f455849 IT...RET_NOT_EXI 2be90 53540002 0e524554 5f454550 5f434f52 ST...RET_EEP_COR 2bea0 52555054 00030e52 45545f45 45505f4f RUPT...RET_EEP_O 2beb0 56455246 4c4f5700 040e5245 545f554e VERFLOW...RET_UN 2bec0 4b4e4f57 4e000500 09545f45 45505f52 KNOWN....T_EEP_R 2bed0 45540000 000eab03 00000192 04000600 ET.............. 2bee0 000f1201 0300000f 28040006 00000f12 ........(....... 2bef0 01030000 0f350400 07656570 5f617069 .....5...eep_api 2bf00 00100000 0f9e085f 6565705f 696e6974 ......._eep_init 2bf10 00000001 11022300 085f6565 705f7265 ......#.._eep_re 2bf20 61640000 000f2e02 2304085f 6565705f ad......#.._eep_ 2bf30 77726974 65000000 0f2e0223 08085f65 write......#.._e 2bf40 65705f69 735f6578 69737400 00000f3b ep_is_exist....; 2bf50 02230c00 07757362 5f617069 00700000 .#...usb_api.p.. 2bf60 124b085f 7573625f 696e6974 00000001 .K._usb_init.... 2bf70 11022300 085f7573 625f726f 6d5f7461 ..#.._usb_rom_ta 2bf80 736b0000 00011102 2304085f 7573625f sk......#.._usb_ 2bf90 66775f74 61736b00 00000111 02230808 fw_task......#.. 2bfa0 5f757362 5f696e69 745f7068 79000000 _usb_init_phy... 2bfb0 01110223 0c085f75 73625f65 70305f73 ...#.._usb_ep0_s 2bfc0 65747570 00000001 11022310 085f7573 etup......#.._us 2bfd0 625f6570 305f7478 00000001 11022314 b_ep0_tx......#. 2bfe0 085f7573 625f6570 305f7278 00000001 ._usb_ep0_rx.... 2bff0 11022318 085f7573 625f6765 745f696e ..#.._usb_get_in 2c000 74657266 61636500 0000081d 02231c08 terface......#.. 2c010 5f757362 5f736574 5f696e74 65726661 _usb_set_interfa 2c020 63650000 00081d02 2320085f 7573625f ce......# ._usb_ 2c030 6765745f 636f6e66 69677572 6174696f get_configuratio 2c040 6e000000 081d0223 24085f75 73625f73 n......#$._usb_s 2c050 65745f63 6f6e6669 67757261 74696f6e et_configuration 2c060 00000008 1d022328 085f7573 625f7374 ......#(._usb_st 2c070 616e6461 72645f63 6d640000 00081d02 andard_cmd...... 2c080 232c085f 7573625f 76656e64 6f725f63 #,._usb_vendor_c 2c090 6d640000 00011102 2330085f 7573625f md......#0._usb_ 2c0a0 706f7765 725f6f66 66000000 01110223 power_off......# 2c0b0 34085f75 73625f72 65736574 5f666966 4._usb_reset_fif 2c0c0 6f000000 01110223 38085f75 73625f67 o......#8._usb_g 2c0d0 656e5f77 64740000 00011102 233c085f en_wdt......#<._ 2c0e0 7573625f 6a756d70 5f626f6f 74000000 usb_jump_boot... 2c0f0 01110223 40085f75 73625f63 6c725f66 ...#@._usb_clr_f 2c100 65617475 72650000 00081d02 2344085f eature......#D._ 2c110 7573625f 7365745f 66656174 75726500 usb_set_feature. 2c120 0000081d 02234808 5f757362 5f736574 .....#H._usb_set 2c130 5f616464 72657373 00000008 1d02234c _address......#L 2c140 085f7573 625f6765 745f6465 73637269 ._usb_get_descri 2c150 70746f72 00000008 1d022350 085f7573 ptor......#P._us 2c160 625f6765 745f7374 61747573 00000008 b_get_status.... 2c170 1d022354 085f7573 625f7365 7475705f ..#T._usb_setup_ 2c180 64657363 00000001 11022358 085f7573 desc......#X._us 2c190 625f7265 675f6f75 74000000 01110223 b_reg_out......# 2c1a0 5c085f75 73625f73 74617475 735f696e \._usb_status_in 2c1b0 00000001 11022360 085f7573 625f6570 ......#`._usb_ep 2c1c0 305f7478 5f646174 61000000 01110223 0_tx_data......# 2c1d0 64085f75 73625f65 70305f72 785f6461 d._usb_ep0_rx_da 2c1e0 74610000 00011102 2368085f 7573625f ta......#h._usb_ 2c1f0 636c6b5f 696e6974 00000001 1102236c clk_init......#l 2c200 00075f56 44455343 00240000 12d7086e .._VDESC.$.....n 2c210 6578745f 64657363 00000012 d7022300 ext_desc......#. 2c220 08627566 5f616464 72000000 12eb0223 .buf_addr......# 2c230 04086275 665f7369 7a650000 0012f202 ..buf_size...... 2c240 23080864 6174615f 6f666673 65740000 #..data_offset.. 2c250 0012f202 230a0864 6174615f 73697a65 ....#..data_size 2c260 00000012 f202230c 08636f6e 74726f6c ......#..control 2c270 00000012 f202230e 0868775f 64657363 ......#..hw_desc 2c280 5f627566 00000013 00022310 00030000 _buf......#..... 2c290 124b0400 09415f55 494e5438 00000003 .K...A_UINT8.... 2c2a0 25030000 12de0400 09415f55 494e5431 %........A_UINT1 2c2b0 36000000 017c0f00 0012de14 0000130d 6....|.......... 2c2c0 10130003 0000124b 04000956 44455343 .......K...VDESC 2c2d0 00000012 4b030000 13140400 06000013 ....K........... 2c2e0 1f010300 00132604 00060000 12eb0103 ......&......... 2c2f0 00001333 04000201 03000013 40040007 ...3........@... 2c300 76646573 635f6170 69001400 0013b808 vdesc_api....... 2c310 5f696e69 74000000 0ae00223 00085f61 _init......#.._a 2c320 6c6c6f63 5f766465 73630000 00132c02 lloc_vdesc....,. 2c330 2304085f 6765745f 68775f64 65736300 #.._get_hw_desc. 2c340 00001339 02230808 5f737761 705f7664 ...9.#.._swap_vd 2c350 65736300 00001342 02230c08 70526573 esc....B.#..pRes 2c360 65727665 64000000 040d0223 1000075f erved......#..._ 2c370 56425546 00200000 14180864 6573635f VBUF. .....desc_ 2c380 6c697374 00000013 1f022300 086e6578 list......#..nex 2c390 745f6275 66000000 14180223 04086275 t_buf......#..bu 2c3a0 665f6c65 6e677468 00000012 f2022308 f_length......#. 2c3b0 08726573 65727665 64000000 141f0223 .reserved......# 2c3c0 0a086374 78000000 13000223 0c000300 ..ctx......#.... 2c3d0 0013b804 000f0000 12de0200 00142c10 ..............,. 2c3e0 01000300 0013b804 00095642 55460000 ..........VBUF.. 2c3f0 0013b803 00001433 04000600 00143d01 .......3......=. 2c400 03000014 44040006 0000143d 01030000 ....D......=.... 2c410 14510400 02010300 00145e04 00077662 .Q........^...vb 2c420 75665f61 70690014 000014dc 085f696e uf_api......._in 2c430 69740000 000ae002 2300085f 616c6c6f it......#.._allo 2c440 635f7662 75660000 00144a02 2304085f c_vbuf....J.#.._ 2c450 616c6c6f 635f7662 75665f77 6974685f alloc_vbuf_with_ 2c460 73697a65 00000014 57022308 085f6672 size....W.#.._fr 2c470 65655f76 62756600 00001460 02230c08 ee_vbuf....`.#.. 2c480 70526573 65727665 64000000 040d0223 pReserved......# 2c490 1000075f 5f616466 5f646576 69636500 ...__adf_device. 2c4a0 04000014 fe086475 6d6d7900 00000118 ......dummy..... 2c4b0 02230000 03000009 24040007 5f5f6164 .#......$...__ad 2c4c0 665f646d 615f6d61 70000c00 00154508 f_dma_map.....E. 2c4d0 62756600 0000143d 02230008 64735f61 buf....=.#..ds_a 2c4e0 64647200 000014fe 02230408 64735f6c ddr......#..ds_l 2c4f0 656e0000 0012f202 23080012 0c000015 en......#....... 2c500 7f085f5f 76615f73 746b0000 00037b02 ..__va_stk....{. 2c510 2300085f 5f76615f 72656700 0000037b #..__va_reg....{ 2c520 02230408 5f5f7661 5f6e6478 00000001 .#..__va_ndx.... 2c530 18022308 00095f5f 6164665f 6f735f64 ..#...__adf_os_d 2c540 6d615f61 6464725f 74000000 09240961 ma_addr_t....$.a 2c550 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t 2c560 00000015 7f095f5f 6164665f 6f735f64 ......__adf_os_d 2c570 6d615f73 697a655f 74000000 09240961 ma_size_t....$.a 2c580 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t 2c590 00000015 af075f5f 646d615f 73656773 ......__dma_segs 2c5a0 00080000 160b0870 61646472 00000015 .......paddr.... 2c5b0 98022300 086c656e 00000015 c8022304 ..#..len......#. 2c5c0 00095f5f 615f7569 6e743332 5f740000 ..__a_uint32_t.. 2c5d0 00092409 615f7569 6e743332 5f740000 ..$.a_uint32_t.. 2c5e0 00160b0f 000015df 08000016 3a100000 ............:... 2c5f0 07616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i 2c600 6e666f00 0c000016 73086e73 65677300 nfo.....s.nsegs. 2c610 0000161d 02230008 646d615f 73656773 .....#..dma_segs 2c620 00000016 2d022304 00095f5f 615f7569 ....-.#...__a_ui 2c630 6e74385f 74000000 12de0961 5f75696e nt8_t......a_uin 2c640 74385f74 00000016 73030000 16840400 t8_t....s....... 2c650 075f5f73 675f7365 67730008 000016c5 .__sg_segs...... 2c660 08766164 64720000 00169302 2300086c .vaddr......#..l 2c670 656e0000 00161d02 2304000f 0000169a en......#....... 2c680 20000016 d2100300 07616466 5f6f735f ........adf_os_ 2c690 73676c69 73740024 00001705 086e7365 sglist.$.....nse 2c6a0 67730000 00161d02 23000873 675f7365 gs......#..sg_se 2c6b0 67730000 0016c502 23040012 10000017 gs......#....... 2c6c0 4e087665 6e646f72 00000016 1d022300 N.vendor......#. 2c6d0 08646576 69636500 0000161d 02230408 .device......#.. 2c6e0 73756276 656e646f 72000000 161d0223 subvendor......# 2c6f0 08087375 62646576 69636500 0000161d ..subdevice..... 2c700 02230c00 046c6f6e 67206c6f 6e672075 .#...long long u 2c710 6e736967 6e656420 696e7400 07080941 nsigned int....A 2c720 5f55494e 54363400 0000174e 095f5f61 _UINT64....N.__a 2c730 5f75696e 7436345f 74000000 17680961 _uint64_t....h.a 2c740 5f75696e 7436345f 74000000 17761404 _uint64_t....v.. 2c750 000017d4 0e414446 5f4f535f 5245534f .....ADF_OS_RESO 2c760 55524345 5f545950 455f4d45 4d00000e URCE_TYPE_MEM... 2c770 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ 2c780 54595045 5f494f00 01000961 64665f6f TYPE_IO....adf_o 2c790 735f7265 736f7572 63655f74 7970655f s_resource_type_ 2c7a0 74000000 17981218 0000181e 08737461 t............sta 2c7b0 72740000 00178802 23000865 6e640000 rt......#..end.. 2c7c0 00178802 23080874 79706500 000017d4 ....#..type..... 2c7d0 02231000 09616466 5f6f735f 7063695f .#...adf_os_pci_ 2c7e0 6465765f 69645f74 00000017 05030000 dev_id_t........ 2c7f0 181e0400 11040000 185d0870 63690000 .........].pci.. 2c800 00183702 23000872 61770000 00040d02 ..7.#..raw...... 2c810 23000011 10000018 7c087063 69000000 #.......|.pci... 2c820 181e0223 00087261 77000000 040d0223 ...#..raw......# 2c830 00000961 64665f64 72765f68 616e646c ...adf_drv_handl 2c840 655f7400 0000040d 09616466 5f6f735f e_t......adf_os_ 2c850 7265736f 75726365 5f740000 0017f003 resource_t...... 2c860 00001892 04000961 64665f6f 735f6174 .......adf_os_at 2c870 74616368 5f646174 615f7400 0000185d tach_data_t....] 2c880 03000018 b0040003 000014dc 0400095f ..............._ 2c890 5f616466 5f6f735f 64657669 63655f74 _adf_os_device_t 2c8a0 00000018 d1096164 665f6f73 5f646576 ......adf_os_dev 2c8b0 6963655f 74000000 18d80600 00187c01 ice_t.........|. 2c8c0 03000019 04040002 01030000 19110400 ................ 2c8d0 09616466 5f6f735f 706d5f74 00000004 .adf_os_pm_t.... 2c8e0 0d020103 0000192b 04001404 0000196b .......+.......k 2c8f0 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE 2c900 5f504349 00010e41 44465f4f 535f4255 _PCI...ADF_OS_BU 2c910 535f5459 50455f47 454e4552 49430002 S_TYPE_GENERIC.. 2c920 00096164 665f6f73 5f627573 5f747970 ..adf_os_bus_typ 2c930 655f7400 00001934 09616466 5f6f735f e_t....4.adf_os_ 2c940 6275735f 7265675f 64617461 5f740000 bus_reg_data_t.. 2c950 00183e03 00000325 0400075f 6164665f ..>....%..._adf_ 2c960 6472765f 696e666f 00200000 1a480864 drv_info. ...H.d 2c970 72765f61 74746163 68000000 190a0223 rv_attach......# 2c980 00086472 765f6465 74616368 00000019 ..drv_detach.... 2c990 13022304 08647276 5f737573 70656e64 ..#..drv_suspend 2c9a0 00000019 2d022308 08647276 5f726573 ....-.#..drv_res 2c9b0 756d6500 00001913 02230c08 6275735f ume......#..bus_ 2c9c0 74797065 00000019 6b022310 08627573 type....k.#..bus 2c9d0 5f646174 61000000 19820223 14086d6f _data......#..mo 2c9e0 645f6e61 6d650000 00199d02 23180869 d_name......#..i 2c9f0 666e616d 65000000 199d0223 1c000961 fname......#...a 2ca00 64665f6f 735f6861 6e646c65 5f740000 df_os_handle_t.. 2ca10 00040d03 00001673 04000201 0201095f .......s......._ 2ca20 5f616466 5f6f735f 73697a65 5f740000 _adf_os_size_t.. 2ca30 00041014 0400001a 970e415f 46414c53 ..........A_FALS 2ca40 4500000e 415f5452 55450001 0009615f E...A_TRUE....a_ 2ca50 626f6f6c 5f740000 001a7d03 00001505 bool_t....}..... 2ca60 0400095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 2ca70 6d61705f 74000000 1aa50201 0d616466 map_t........adf 2ca80 5f6f735f 63616368 655f7379 6e630004 _os_cache_sync.. 2ca90 00001b2f 0e414446 5f53594e 435f5052 .../.ADF_SYNC_PR 2caa0 45524541 4400000e 4144465f 53594e43 EREAD...ADF_SYNC 2cab0 5f505245 57524954 4500020e 4144465f _PREWRITE...ADF_ 2cac0 53594e43 5f504f53 54524541 4400010e SYNC_POSTREAD... 2cad0 4144465f 53594e43 5f504f53 54575249 ADF_SYNC_POSTWRI 2cae0 54450003 00096164 665f6f73 5f636163 TE....adf_os_cac 2caf0 68655f73 796e635f 74000000 1ac60201 he_sync_t....... 2cb00 09616466 5f6f735f 73697a65 5f740000 .adf_os_size_t.. 2cb10 001a6806 00001b4a 01096164 665f6f73 ..h....J..adf_os 2cb20 5f646d61 5f6d6170 5f740000 001aac03 _dma_map_t...... 2cb30 00001b63 04000600 00040d01 0300001a ...c............ 2cb40 ac040006 0000040d 01020106 00001598 ................ 2cb50 01020104 73686f72 7420696e 74000502 ....short int... 2cb60 09415f49 4e543136 0000001b 9d095f5f .A_INT16......__ 2cb70 615f696e 7431365f 74000000 1baa0961 a_int16_t......a 2cb80 5f696e74 31365f74 0000001b b7047369 _int16_t......si 2cb90 676e6564 20636861 72000501 09415f49 gned char....A_I 2cba0 4e543800 00001bd7 095f5f61 5f696e74 NT8......__a_int 2cbb0 385f7400 00001be6 09615f69 6e74385f 8_t......a_int8_ 2cbc0 74000000 1bf2120c 00001c69 08737570 t..........i.sup 2cbd0 706f7274 65640000 00161d02 23000861 ported......#..a 2cbe0 64766572 74697a65 64000000 161d0223 dvertized......# 2cbf0 04087370 65656400 00001bc8 02230808 ..speed......#.. 2cc00 6475706c 65780000 001c0202 230a0861 duplex......#..a 2cc10 75746f6e 65670000 00168402 230b000f utoneg......#... 2cc20 00001684 0600001c 76100500 07616466 ........v....adf 2cc30 5f6e6574 5f657468 61646472 00060000 _net_ethaddr.... 2cc40 1c9a0861 64647200 00001c69 02230000 ...addr....i.#.. 2cc50 095f5f61 5f75696e 7431365f 74000000 .__a_uint16_t... 2cc60 12f20961 5f75696e 7431365f 74000000 ...a_uint16_t... 2cc70 1c9a120e 00001cfe 08657468 65725f64 .........ether_d 2cc80 686f7374 0000001c 69022300 08657468 host....i.#..eth 2cc90 65725f73 686f7374 0000001c 69022306 er_shost....i.#. 2cca0 08657468 65725f74 79706500 00001cac .ether_type..... 2ccb0 02230c00 12140000 1dbf1569 705f7665 .#.........ip_ve 2ccc0 7273696f 6e000000 16840100 04022300 rsion.........#. 2ccd0 1569705f 686c0000 00168401 04040223 .ip_hl.........# 2cce0 00086970 5f746f73 00000016 84022301 ..ip_tos......#. 2ccf0 0869705f 6c656e00 00001cac 02230208 .ip_len......#.. 2cd00 69705f69 64000000 1cac0223 04086970 ip_id......#..ip 2cd10 5f667261 675f6f66 66000000 1cac0223 _frag_off......# 2cd20 06086970 5f74746c 00000016 84022308 ..ip_ttl......#. 2cd30 0869705f 70726f74 6f000000 16840223 .ip_proto......# 2cd40 09086970 5f636865 636b0000 001cac02 ..ip_check...... 2cd50 230a0869 705f7361 64647200 0000161d #..ip_saddr..... 2cd60 02230c08 69705f64 61646472 00000016 .#..ip_daddr.... 2cd70 1d022310 00076164 665f6e65 745f766c ..#...adf_net_vl 2cd80 616e6864 72000400 001e1108 74706964 anhdr.......tpid 2cd90 0000001c ac022300 15707269 6f000000 ......#..prio... 2cda0 16840100 03022302 15636669 00000016 ......#..cfi.... 2cdb0 84010301 02230215 76696400 00001cac .....#..vid..... 2cdc0 02040c02 23020007 6164665f 6e65745f ....#...adf_net_ 2cdd0 76696400 0200001e 42157265 73000000 vid.....B.res... 2cde0 16840100 04022300 1576616c 0000001c ......#..val.... 2cdf0 ac02040c 02230000 120c0000 1e7e0872 .....#.......~.r 2ce00 785f6275 6673697a 65000000 161d0223 x_bufsize......# 2ce10 00087278 5f6e6465 73630000 00161d02 ..rx_ndesc...... 2ce20 23040874 785f6e64 65736300 0000161d #..tx_ndesc..... 2ce30 02230800 12080000 1ea40870 6f6c6c65 .#.........polle 2ce40 64000000 1a970223 0008706f 6c6c5f77 d......#..poll_w 2ce50 74000000 161d0223 04000f00 00168440 t......#.......@ 2ce60 00001eb1 103f0012 4600001e d9086966 .....?..F.....if 2ce70 5f6e616d 65000000 1ea40223 00086465 _name......#..de 2ce80 765f6164 64720000 001c6902 23400014 v_addr....i.#@.. 2ce90 0400001f 100e4144 465f4f53 5f444d41 ......ADF_OS_DMA 2cea0 5f4d4153 4b5f3332 42495400 000e4144 _MASK_32BIT...AD 2ceb0 465f4f53 5f444d41 5f4d4153 4b5f3634 F_OS_DMA_MASK_64 2cec0 42495400 01000961 64665f6f 735f646d BIT....adf_os_dm 2ced0 615f6d61 736b5f74 0000001e d9076164 a_mask_t......ad 2cee0 665f646d 615f696e 666f0008 00001f5d f_dma_info.....] 2cef0 08646d61 5f6d6173 6b000000 1f100223 .dma_mask......# 2cf00 00087367 5f6e7365 67730000 00161d02 ..sg_nsegs...... 2cf10 23040014 0400001f b30e4144 465f4e45 #.........ADF_NE 2cf20 545f434b 53554d5f 4e4f4e45 00000e41 T_CKSUM_NONE...A 2cf30 44465f4e 45545f43 4b53554d 5f544350 DF_NET_CKSUM_TCP 2cf40 5f554450 5f495076 3400010e 4144465f _UDP_IPv4...ADF_ 2cf50 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD 2cf60 505f4950 76360002 00096164 665f6e65 P_IPv6....adf_ne 2cf70 745f636b 73756d5f 74797065 5f740000 t_cksum_type_t.. 2cf80 001f5d12 0800001f f6087478 5f636b73 ..].......tx_cks 2cf90 756d0000 001fb302 23000872 785f636b um......#..rx_ck 2cfa0 73756d00 00001fb3 02230400 09616466 sum......#...adf 2cfb0 5f6e6574 5f636b73 756d5f69 6e666f5f _net_cksum_info_ 2cfc0 74000000 1fcd1404 0000204f 0e414446 t......... O.ADF 2cfd0 5f4e4554 5f54534f 5f4e4f4e 4500000e _NET_TSO_NONE... 2cfe0 4144465f 4e45545f 54534f5f 49505634 ADF_NET_TSO_IPV4 2cff0 00010e41 44465f4e 45545f54 534f5f41 ...ADF_NET_TSO_A 2d000 4c4c0002 00096164 665f6e65 745f7473 LL....adf_net_ts 2d010 6f5f7479 70655f74 00000020 10121000 o_type_t... .... 2d020 0020a308 636b7375 6d5f6361 70000000 . ..cksum_cap... 2d030 1ff60223 00087473 6f000000 204f0223 ...#..tso... O.# 2d040 0808766c 616e5f73 7570706f 72746564 ..vlan_supported 2d050 00000016 8402230c 00122000 00213c08 ......#... ..!<. 2d060 74785f70 61636b65 74730000 00161d02 tx_packets...... 2d070 23000872 785f7061 636b6574 73000000 #..rx_packets... 2d080 161d0223 04087478 5f627974 65730000 ...#..tx_bytes.. 2d090 00161d02 23080872 785f6279 74657300 ....#..rx_bytes. 2d0a0 0000161d 02230c08 74785f64 726f7070 .....#..tx_dropp 2d0b0 65640000 00161d02 23100872 785f6472 ed......#..rx_dr 2d0c0 6f707065 64000000 161d0223 14087278 opped......#..rx 2d0d0 5f657272 6f727300 0000161d 02231808 _errors......#.. 2d0e0 74785f65 72726f72 73000000 161d0223 tx_errors......# 2d0f0 1c000961 64665f6e 65745f65 74686164 ...adf_net_ethad 2d100 64725f74 0000001c 76160000 213c0300 dr_t....v...!<.. 2d110 00002161 107f0017 6164665f 6e65745f ..!a....adf_net_ 2d120 636d645f 6d636164 64720003 04000021 cmd_mcaddr.....! 2d130 98086e65 6c656d00 0000161d 02230008 ..nelem......#.. 2d140 6d636173 74000000 21530223 04000961 mcast...!S.#...a 2d150 64665f6e 65745f63 6d645f6c 696e6b5f df_net_cmd_link_ 2d160 696e666f 5f740000 001c1009 6164665f info_t......adf_ 2d170 6e65745f 636d645f 706f6c6c 5f696e66 net_cmd_poll_inf 2d180 6f5f7400 00001e7e 09616466 5f6e6574 o_t....~.adf_net 2d190 5f636d64 5f636b73 756d5f69 6e666f5f _cmd_cksum_info_ 2d1a0 74000000 1ff60961 64665f6e 65745f63 t......adf_net_c 2d1b0 6d645f72 696e675f 696e666f 5f740000 md_ring_info_t.. 2d1c0 001e4209 6164665f 6e65745f 636d645f ..B.adf_net_cmd_ 2d1d0 646d615f 696e666f 5f740000 001f2709 dma_info_t....'. 2d1e0 6164665f 6e65745f 636d645f 7669645f adf_net_cmd_vid_ 2d1f0 74000000 1cac0961 64665f6e 65745f63 t......adf_net_c 2d200 6d645f6f 66666c6f 61645f63 61705f74 md_offload_cap_t 2d210 00000020 67096164 665f6e65 745f636d ... g.adf_net_cm 2d220 645f7374 6174735f 74000000 20a30961 d_stats_t... ..a 2d230 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd 2d240 725f7400 00002161 0d616466 5f6e6574 r_t...!a.adf_net 2d250 5f636d64 5f6d6361 73745f63 61700004 _cmd_mcast_cap.. 2d260 000022da 0e414446 5f4e4554 5f4d4341 .."..ADF_NET_MCA 2d270 53545f53 55500000 0e414446 5f4e4554 ST_SUP...ADF_NET 2d280 5f4d4341 53545f4e 4f545355 50000100 _MCAST_NOTSUP... 2d290 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 2d2a0 73745f63 61705f74 00000022 92180304 st_cap_t...".... 2d2b0 000023ac 086c696e 6b5f696e 666f0000 ..#..link_info.. 2d2c0 00219802 23000870 6f6c6c5f 696e666f .!..#..poll_info 2d2d0 00000021 b5022300 08636b73 756d5f69 ...!..#..cksum_i 2d2e0 6e666f00 000021d2 02230008 72696e67 nfo...!..#..ring 2d2f0 5f696e66 6f000000 21f00223 0008646d _info...!..#..dm 2d300 615f696e 666f0000 00220d02 23000876 a_info..."..#..v 2d310 69640000 00222902 2300086f 66666c6f id...").#..offlo 2d320 61645f63 61700000 00224002 23000873 ad_cap..."@.#..s 2d330 74617473 00000022 5f022300 086d6361 tats..."_.#..mca 2d340 73745f69 6e666f00 00002278 02230008 st_info..."x.#.. 2d350 6d636173 745f6361 70000000 22da0223 mcast_cap..."..# 2d360 00001404 00002403 0e414446 5f4e4255 ......$..ADF_NBU 2d370 465f5258 5f434b53 554d5f4e 4f4e4500 F_RX_CKSUM_NONE. 2d380 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 2d390 53554d5f 48570001 0e414446 5f4e4255 SUM_HW...ADF_NBU 2d3a0 465f5258 5f434b53 554d5f55 4e4e4543 F_RX_CKSUM_UNNEC 2d3b0 45535341 52590002 00096164 665f6e62 ESSARY....adf_nb 2d3c0 75665f72 785f636b 73756d5f 74797065 uf_rx_cksum_type 2d3d0 5f740000 0023ac12 08000024 43087265 _t...#.....$C.re 2d3e0 73756c74 00000024 03022300 0876616c sult...$..#..val 2d3f0 00000016 1d022304 00120800 00247308 ......#......$s. 2d400 74797065 00000020 4f022300 086d7373 type... O.#..mss 2d410 0000001c ac022304 08686472 5f6f6666 ......#..hdr_off 2d420 00000016 84022306 00075f5f 6164665f ......#...__adf_ 2d430 6e627566 5f716865 6164000c 000024b2 nbuf_qhead....$. 2d440 08686561 64000000 143d0223 00087461 .head....=.#..ta 2d450 696c0000 00143d02 23040871 6c656e00 il....=.#..qlen. 2d460 0000161d 02230800 095f5f61 64665f6e .....#...__adf_n 2d470 6275665f 74000000 143d0300 00169304 buf_t....=...... 2d480 00030000 161d0400 02010600 00131f01 ................ 2d490 06000016 1d010600 00169301 06000016 ................ 2d4a0 93010300 00130004 00095f5f 6164665f ..........__adf_ 2d4b0 6e627566 5f716865 61645f74 00000024 nbuf_qhead_t...$ 2d4c0 73095f5f 6164665f 6e627566 5f717565 s.__adf_nbuf_que 2d4d0 75655f74 00000024 f3030000 250b0400 ue_t...$....%... 2d4e0 06000024 b2010600 0024b201 14040000 ...$.....$...... 2d4f0 262b0e41 5f535441 5455535f 4f4b0000 &+.A_STATUS_OK.. 2d500 0e415f53 54415455 535f4641 494c4544 .A_STATUS_FAILED 2d510 00010e41 5f535441 5455535f 454e4f45 ...A_STATUS_ENOE 2d520 4e540002 0e415f53 54415455 535f454e NT...A_STATUS_EN 2d530 4f4d454d 00030e41 5f535441 5455535f OMEM...A_STATUS_ 2d540 45494e56 414c0004 0e415f53 54415455 EINVAL...A_STATU 2d550 535f4549 4e50524f 47524553 5300050e S_EINPROGRESS... 2d560 415f5354 41545553 5f454e4f 54535550 A_STATUS_ENOTSUP 2d570 5000060e 415f5354 41545553 5f454255 P...A_STATUS_EBU 2d580 53590007 0e415f53 54415455 535f4532 SY...A_STATUS_E2 2d590 42494700 080e415f 53544154 55535f45 BIG...A_STATUS_E 2d5a0 41444452 4e4f5441 5641494c 00090e41 ADDRNOTAVAIL...A 2d5b0 5f535441 5455535f 454e5849 4f000a0e _STATUS_ENXIO... 2d5c0 415f5354 41545553 5f454641 554c5400 A_STATUS_EFAULT. 2d5d0 0b0e415f 53544154 55535f45 494f000c ..A_STATUS_EIO.. 2d5e0 0009615f 73746174 75735f74 00000025 ..a_status_t...% 2d5f0 36060000 262b0106 00000118 01020109 6...&+.......... 2d600 6164665f 6e627566 5f740000 0024b214 adf_nbuf_t...$.. 2d610 04000026 900e4144 465f4f53 5f444d41 ...&..ADF_OS_DMA 2d620 5f544f5f 44455649 43450000 0e414446 _TO_DEVICE...ADF 2d630 5f4f535f 444d415f 46524f4d 5f444556 _OS_DMA_FROM_DEV 2d640 49434500 01000961 64665f6f 735f646d ICE....adf_os_dm 2d650 615f6469 725f7400 00002659 06000026 a_dir_t...&Y...& 2d660 2b010201 09616466 5f6f735f 646d616d +....adf_os_dmam 2d670 61705f69 6e666f5f 74000000 163a0300 ap_info_t....:.. 2d680 0026ae04 00020102 01060000 26490106 .&..........&I.. 2d690 000024b2 01020102 01060000 26490106 ..$.........&I.. 2d6a0 000024b2 01060000 26490106 000024b2 ..$.....&I....$. 2d6b0 01060000 26490102 01020106 0000161d ....&I.......... 2d6c0 01060000 16930102 01020106 00001b4a ...............J 2d6d0 01060000 1a970106 00001a97 01096164 ..............ad 2d6e0 665f6f73 5f73676c 6973745f 74000000 f_os_sglist_t... 2d6f0 16d20300 00272704 00020102 01020106 .....''......... 2d700 00001693 01096164 665f6e62 75665f71 ......adf_nbuf_q 2d710 75657565 5f740000 00250b03 0000274f ueue_t...%....'O 2d720 04000201 03000024 f3040002 01020102 .......$........ 2d730 01060000 26490106 000024b2 01060000 ....&I....$..... 2d740 161d0106 0000161d 01060000 1a970106 ................ 2d750 00001a97 01060000 1fb30106 0000161d ................ 2d760 01096164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck 2d770 73756d5f 74000000 24210300 0027ab04 sum_t...$!...'.. 2d780 00020102 01096164 665f6e62 75665f74 ......adf_nbuf_t 2d790 736f5f74 00000024 43030000 27cf0400 so_t...$C...'... 2d7a0 02010201 09616466 5f6e6574 5f68616e .....adf_net_han 2d7b0 646c655f 74000000 040d0961 64665f6e dle_t......adf_n 2d7c0 65745f76 6c616e68 64725f74 0000001d et_vlanhdr_t.... 2d7d0 bf030000 28040400 06000026 2b010600 ....(......&+... 2d7e0 00262b01 02010201 075f4849 465f434f .&+......_HIF_CO 2d7f0 4e464947 00040000 28530864 756d6d79 NFIG....(S.dummy 2d800 00000001 18022300 00020103 00002853 ......#.......(S 2d810 04000201 03000028 5c040007 5f484946 .......(\..._HIF 2d820 5f43414c 4c424143 4b000c00 0028b108 _CALLBACK....(.. 2d830 73656e64 5f627566 5f646f6e 65000000 send_buf_done... 2d840 28550223 00087265 63765f62 75660000 (U.#..recv_buf.. 2d850 00285e02 23040863 6f6e7465 78740000 .(^.#..context.. 2d860 00040d02 23080009 6869665f 68616e64 ....#...hif_hand 2d870 6c655f74 00000004 0d094849 465f434f le_t......HIF_CO 2d880 4e464947 00000028 32030000 28c30400 NFIG...(2...(... 2d890 06000028 b1010300 0028da04 00020103 ...(.....(...... 2d8a0 000028e7 04000948 49465f43 414c4c42 ..(....HIF_CALLB 2d8b0 41434b00 00002865 03000028 f0040002 ACK...(e...(.... 2d8c0 01030000 29090400 06000001 18010300 ....)........... 2d8d0 00291204 00020103 0000291f 04000600 .)........)..... 2d8e0 00011801 03000029 28040002 01030000 .......)(....... 2d8f0 29350400 06000001 18010300 00293e04 )5...........)>. 2d900 00020103 0000294b 04000768 69665f61 ......)K...hif_a 2d910 70690038 00002aa4 085f696e 69740000 pi.8..*.._init.. 2d920 0028e002 2300085f 73687574 646f776e .(..#.._shutdown 2d930 00000028 e9022304 085f7265 67697374 ...(..#.._regist 2d940 65725f63 616c6c62 61636b00 0000290b er_callback...). 2d950 02230808 5f676574 5f746f74 616c5f63 .#.._get_total_c 2d960 72656469 745f636f 756e7400 00002918 redit_count...). 2d970 02230c08 5f737461 72740000 0028e902 .#.._start...(.. 2d980 2310085f 636f6e66 69675f70 69706500 #.._config_pipe. 2d990 00002921 02231408 5f73656e 645f6275 ..)!.#.._send_bu 2d9a0 66666572 00000029 2e022318 085f7265 ffer...)..#.._re 2d9b0 7475726e 5f726563 765f6275 66000000 turn_recv_buf... 2d9c0 29370223 1c085f69 735f7069 70655f73 )7.#.._is_pipe_s 2d9d0 7570706f 72746564 00000029 44022320 upported...)D.# 2d9e0 085f6765 745f6d61 785f6d73 675f6c65 ._get_max_msg_le 2d9f0 6e000000 29440223 24085f67 65745f72 n...)D.#$._get_r 2da00 65736572 7665645f 68656164 726f6f6d eserved_headroom 2da10 00000029 18022328 085f6973 725f6861 ...)..#(._isr_ha 2da20 6e646c65 72000000 28e90223 2c085f67 ndler...(..#,._g 2da30 65745f64 65666175 6c745f70 69706500 et_default_pipe. 2da40 0000294d 02233008 70526573 65727665 ..)M.#0.pReserve 2da50 64000000 040d0223 34000d64 6d615f65 d......#4..dma_e 2da60 6e67696e 65000400 002b2d0e 444d415f ngine....+-.DMA_ 2da70 454e4749 4e455f52 58300000 0e444d41 ENGINE_RX0...DMA 2da80 5f454e47 494e455f 52583100 010e444d _ENGINE_RX1...DM 2da90 415f454e 47494e45 5f525832 00020e44 A_ENGINE_RX2...D 2daa0 4d415f45 4e47494e 455f5258 3300030e MA_ENGINE_RX3... 2dab0 444d415f 454e4749 4e455f54 58300004 DMA_ENGINE_TX0.. 2dac0 0e444d41 5f454e47 494e455f 54583100 .DMA_ENGINE_TX1. 2dad0 050e444d 415f454e 47494e45 5f4d4158 ..DMA_ENGINE_MAX 2dae0 00060009 646d615f 656e6769 6e655f74 ....dma_engine_t 2daf0 0000002a a40d646d 615f6966 74797065 ...*..dma_iftype 2db00 00040000 2b7a0e44 4d415f49 465f474d ....+z.DMA_IF_GM 2db10 41430000 0e444d41 5f49465f 50434900 AC...DMA_IF_PCI. 2db20 010e444d 415f4946 5f504349 45000200 ..DMA_IF_PCIE... 2db30 09646d61 5f696674 7970655f 74000000 .dma_iftype_t... 2db40 2b3f0600 0012f201 0300002b 8c040002 +?.........+.... 2db50 01030000 2b990400 02010300 002ba204 ....+........+.. 2db60 00060000 09240103 00002bab 04000600 .....$....+..... 2db70 0012f201 0300002b b8040006 000012f2 .......+........ 2db80 01030000 2bc50400 06000014 3d010300 ....+.......=... 2db90 002bd204 00020103 00002bdf 04000764 .+........+....d 2dba0 6d615f6c 69625f61 70690034 00002ce6 ma_lib_api.4..,. 2dbb0 0874785f 696e6974 0000002b 92022300 .tx_init...+..#. 2dbc0 0874785f 73746172 74000000 2b9b0223 .tx_start...+..# 2dbd0 04087278 5f696e69 74000000 2b920223 ..rx_init...+..# 2dbe0 08087278 5f636f6e 66696700 00002ba4 ..rx_config...+. 2dbf0 02230c08 72785f73 74617274 0000002b .#..rx_start...+ 2dc00 9b022310 08696e74 725f7374 61747573 ..#..intr_status 2dc10 0000002b b1022314 08686172 645f786d ...+..#..hard_xm 2dc20 69740000 002bbe02 23180866 6c757368 it...+..#..flush 2dc30 5f786d69 74000000 2b9b0223 1c08786d _xmit...+..#..xm 2dc40 69745f64 6f6e6500 00002bcb 02232008 it_done...+..# . 2dc50 72656170 5f786d69 74746564 0000002b reap_xmitted...+ 2dc60 d8022324 08726561 705f7265 63760000 ..#$.reap_recv.. 2dc70 002bd802 23280872 65747572 6e5f7265 .+..#(.return_re 2dc80 63760000 002be102 232c0872 6563765f cv...+..#,.recv_ 2dc90 706b7400 00002bcb 02233000 075f5f70 pkt...+..#0..__p 2dca0 63695f73 6f667463 000c0000 2d040873 ci_softc....-..s 2dcb0 77000000 28f00223 0000095f 5f706369 w...(..#...__pci 2dcc0 5f736f66 74635f74 0000002c e6030000 _softc_t...,.... 2dcd0 2d040400 02010300 002d1e04 00060000 -........-...... 2dce0 12de0103 00002d27 04000d68 69665f70 ......-'...hif_p 2dcf0 63695f70 6970655f 74780004 00002d87 ci_pipe_tx....-. 2dd00 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 2dd10 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE 2dd20 5f545831 00010e48 49465f50 43495f50 _TX1...HIF_PCI_P 2dd30 4950455f 54585f4d 41580002 00096869 IPE_TX_MAX....hi 2dd40 665f7063 695f7069 70655f74 785f7400 f_pci_pipe_tx_t. 2dd50 00002d34 0600002b 2d010300 002d9e04 ..-4...+-....-.. 2dd60 000d6869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r 2dd70 78000400 002e240e 4849465f 5043495f x.....$.HIF_PCI_ 2dd80 50495045 5f525830 00000e48 49465f50 PIPE_RX0...HIF_P 2dd90 43495f50 4950455f 52583100 010e4849 CI_PIPE_RX1...HI 2dda0 465f5043 495f5049 50455f52 58320002 F_PCI_PIPE_RX2.. 2ddb0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 2ddc0 3300030e 4849465f 5043495f 50495045 3...HIF_PCI_PIPE 2ddd0 5f52585f 4d415800 04000968 69665f70 _RX_MAX....hif_p 2dde0 63695f70 6970655f 72785f74 0000002d ci_pipe_rx_t...- 2ddf0 ab060000 2b2d0103 00002e3b 04000768 ....+-.....;...h 2de00 69665f70 63695f61 70690024 00002f19 if_pci_api.$../. 2de10 08706369 5f626f6f 745f696e 69740000 .pci_boot_init.. 2de20 00011102 23000870 63695f69 6e697400 ....#..pci_init. 2de30 000028e0 02230408 7063695f 72657365 ..(..#..pci_rese 2de40 74000000 01110223 08087063 695f656e t......#..pci_en 2de50 61626c65 00000001 1102230c 08706369 able......#..pci 2de60 5f726561 705f786d 69747465 64000000 _reap_xmitted... 2de70 2d200223 10087063 695f7265 61705f72 - .#..pci_reap_r 2de80 65637600 00002d20 02231408 7063695f ecv...- .#..pci_ 2de90 6765745f 70697065 0000002d 2d022318 get_pipe...--.#. 2dea0 08706369 5f676574 5f74785f 656e6700 .pci_get_tx_eng. 2deb0 00002da4 02231c08 7063695f 6765745f ..-..#..pci_get_ 2dec0 72785f65 6e670000 002e4102 23200007 rx_eng....A.# .. 2ded0 676d6163 5f617069 00040000 2f400867 gmac_api..../@.g 2dee0 6d61635f 626f6f74 5f696e69 74000000 mac_boot_init... 2def0 01110223 00000f00 00032506 00002f4d ...#......%.../M 2df00 10050007 5f5f6574 68686472 000e0000 ....__ethhdr.... 2df10 2f830864 73740000 002f4002 23000873 /..dst.../@.#..s 2df20 72630000 002f4002 23060865 74797065 rc.../@.#..etype 2df30 00000012 f202230c 00075f5f 61746868 ......#...__athh 2df40 64720004 00002fd1 15726573 00000012 dr..../..res.... 2df50 de010002 02230015 70726f74 6f000000 .....#..proto... 2df60 12de0102 06022300 08726573 5f6c6f00 ......#..res_lo. 2df70 000012de 02230108 7265735f 68690000 .....#..res_hi.. 2df80 0012f202 23020007 5f5f676d 61635f68 ....#...__gmac_h 2df90 64720014 0000300d 08657468 0000002f dr....0..eth.../ 2dfa0 4d022300 08617468 0000002f 8302230e M.#..ath.../..#. 2dfb0 08616c69 676e5f70 61640000 0012f202 .align_pad...... 2dfc0 23120009 5f5f676d 61635f68 64725f74 #...__gmac_hdr_t 2dfd0 0000002f d1075f5f 676d6163 5f736f66 .../..__gmac_sof 2dfe0 74630024 00003057 08686472 00000030 tc.$..0W.hdr...0 2dff0 0d022300 08677261 6e000000 12f20223 ..#..gran......# 2e000 14087377 00000028 f0022318 00075f41 ..sw...(..#..._A 2e010 5f6f735f 6c696e6b 6167655f 63686563 _os_linkage_chec 2e020 6b000800 00309008 76657273 696f6e00 k....0..version. 2e030 00000118 02230008 7461626c 65000000 .....#..table... 2e040 01180223 04000300 00305704 00060000 ...#.....0W..... 2e050 01180103 00003097 04000300 00041004 ......0......... 2e060 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 2e070 65637469 6f6e5f74 61626c65 0001b800 ection_table.... 2e080 0031e708 68616c5f 6c696e6b 6167655f .1..hal_linkage_ 2e090 63686563 6b000000 309d0223 00087374 check...0..#..st 2e0a0 6172745f 62737300 000030a4 02230408 art_bss...0..#.. 2e0b0 6170705f 73746172 74000000 01110223 app_start......# 2e0c0 08086d65 6d000000 04500223 0c086d69 ..mem....P.#..mi 2e0d0 73630000 00056f02 23200870 72696e74 sc....o.# .print 2e0e0 66000000 01450223 44087561 72740000 f....E.#D.uart.. 2e0f0 00020e02 234c0867 6d616300 00002f19 ....#L.gmac.../. 2e100 02236c08 75736200 00000f9e 02237008 .#l.usb......#p. 2e110 636c6f63 6b000000 0ae70323 e0010874 clock......#...t 2e120 696d6572 00000007 87032384 0208696e imer......#...in 2e130 74720000 000c3703 23980208 616c6c6f tr....7.#...allo 2e140 6372616d 00000009 3f0323c4 0208726f cram....?.#...ro 2e150 6d700000 00083103 23d00208 7764745f mp....1.#...wdt_ 2e160 74696d65 72000000 0e140323 e0020865 timer......#...e 2e170 65700000 000f4203 23fc0208 73747269 ep....B.#...stri 2e180 6e670000 00069303 238c0308 7461736b ng......#...task 2e190 6c657400 00000a3c 0323a403 00075f55 let....<.#...._U 2e1a0 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. 2e1b0 0000325a 08676574 5f636f6d 6d616e64 ..2Z.get_command 2e1c0 5f627566 00000014 4a022300 08726563 _buf....J.#..rec 2e1d0 765f636f 6d6d616e 64000000 14600223 v_command....`.# 2e1e0 04086765 745f6576 656e745f 62756600 ..get_event_buf. 2e1f0 0000144a 02230808 73656e64 5f657665 ...J.#..send_eve 2e200 6e745f64 6f6e6500 00001460 02230c00 nt_done....`.#.. 2e210 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG 2e220 00000031 e7030000 325a0400 02010300 ...1....2Z...... 2e230 00327604 00077573 62666966 6f5f6170 .2v...usbfifo_ap 2e240 69000c00 0032cc08 5f696e69 74000000 i....2.._init... 2e250 32780223 00085f65 6e61626c 655f6576 2x.#.._enable_ev 2e260 656e745f 69737200 00000111 02230408 ent_isr......#.. 2e270 70526573 65727665 64000000 040d0223 pReserved......# 2e280 08000f00 00168402 000032d9 10010007 ..........2..... 2e290 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. 2e2a0 0000334b 08456e64 706f696e 74494400 ..3K.EndpointID. 2e2b0 00001684 02230008 466c6167 73000000 .....#..Flags... 2e2c0 16840223 01085061 796c6f61 644c656e ...#..PayloadLen 2e2d0 0000001c ac022302 08436f6e 74726f6c ......#..Control 2e2e0 42797465 73000000 32cc0223 0408486f Bytes...2..#..Ho 2e2f0 73745365 714e756d 0000001c ac022306 stSeqNum......#. 2e300 00120200 00336408 4d657373 61676549 .....3d.MessageI 2e310 44000000 1cac0223 00001208 000033c7 D......#......3. 2e320 084d6573 73616765 49440000 001cac02 .MessageID...... 2e330 23000843 72656469 74436f75 6e740000 #..CreditCount.. 2e340 001cac02 23020843 72656469 7453697a ....#..CreditSiz 2e350 65000000 1cac0223 04084d61 78456e64 e......#..MaxEnd 2e360 706f696e 74730000 00168402 2306085f points......#.._ 2e370 50616431 00000016 84022307 00120a00 Pad1......#..... 2e380 00345e08 4d657373 61676549 44000000 .4^.MessageID... 2e390 1cac0223 00085365 72766963 65494400 ...#..ServiceID. 2e3a0 00001cac 02230208 436f6e6e 65637469 .....#..Connecti 2e3b0 6f6e466c 61677300 00001cac 02230408 onFlags......#.. 2e3c0 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. 2e3d0 00168402 23060855 704c696e 6b506970 ....#..UpLinkPip 2e3e0 65494400 00001684 02230708 53657276 eID......#..Serv 2e3f0 6963654d 6574614c 656e6774 68000000 iceMetaLength... 2e400 16840223 08085f50 61643100 00001684 ...#.._Pad1..... 2e410 02230900 120a0000 34e6084d 65737361 .#......4..Messa 2e420 67654944 0000001c ac022300 08536572 geID......#..Ser 2e430 76696365 49440000 001cac02 23020853 viceID......#..S 2e440 74617475 73000000 16840223 0408456e tatus......#..En 2e450 64706f69 6e744944 00000016 84022305 dpointID......#. 2e460 084d6178 4d736753 697a6500 00001cac .MaxMsgSize..... 2e470 02230608 53657276 6963654d 6574614c .#..ServiceMetaL 2e480 656e6774 68000000 16840223 08085f50 ength......#.._P 2e490 61643100 00001684 02230900 12020000 ad1......#...... 2e4a0 34ff084d 65737361 67654944 0000001c 4..MessageID.... 2e4b0 ac022300 00120400 00353b08 4d657373 ..#......5;.Mess 2e4c0 61676549 44000000 1cac0223 00085069 ageID......#..Pi 2e4d0 70654944 00000016 84022302 08437265 peID......#..Cre 2e4e0 64697443 6f756e74 00000016 84022303 ditCount......#. 2e4f0 00120400 00357208 4d657373 61676549 .....5r.MessageI 2e500 44000000 1cac0223 00085069 70654944 D......#..PipeID 2e510 00000016 84022302 08537461 74757300 ......#..Status. 2e520 00001684 02230300 12020000 35990852 .....#......5..R 2e530 65636f72 64494400 00001684 02230008 ecordID......#.. 2e540 4c656e67 74680000 00168402 23010012 Length......#... 2e550 02000035 c308456e 64706f69 6e744944 ...5..EndpointID 2e560 00000016 84022300 08437265 64697473 ......#..Credits 2e570 00000016 84022301 00120400 00360408 ......#......6.. 2e580 456e6470 6f696e74 49440000 00168402 EndpointID...... 2e590 23000843 72656469 74730000 00168402 #..Credits...... 2e5a0 23010854 67744372 65646974 5365714e #..TgtCreditSeqN 2e5b0 6f000000 1cac0223 02000f00 00168404 o......#........ 2e5c0 00003611 10030012 06000036 4d085072 ..6........6M.Pr 2e5d0 6556616c 69640000 00168402 2300084c eValid......#..L 2e5e0 6f6f6b41 68656164 00000036 04022301 ookAhead...6..#. 2e5f0 08506f73 7456616c 69640000 00168402 .PostValid...... 2e600 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ 2e610 74000000 040d0600 00364d01 03000036 t........6M....6 2e620 60040002 01030000 366d0400 14040000 `.......6m...... 2e630 36eb0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C 2e640 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID 2e650 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP 2e660 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI 2e670 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO 2e680 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF 2e690 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. 2e6a0 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... 2e6b0 36760201 03000036 fc040006 00002649 6v.....6......&I 2e6c0 01030000 37050400 06000026 49010300 ....7......&I... 2e6d0 00371204 00020103 0000371f 04000762 .7........7....b 2e6e0 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 2e6f0 c1085f69 6e697400 00003666 02230008 .._init...6f.#.. 2e700 5f736875 74646f77 6e000000 366f0223 _shutdown...6o.# 2e710 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. 2e720 0036fe02 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu 2e730 66000000 370b0223 0c085f61 6c6c6f63 f...7..#.._alloc 2e740 5f627566 5f616c69 676e0000 00371802 _buf_align...7.. 2e750 2310085f 66726565 5f627566 00000037 #.._free_buf...7 2e760 21022314 08705265 73657276 65640000 !.#..pReserved.. 2e770 00040d02 23180007 5f485443 5f534552 ....#..._HTC_SER 2e780 56494345 001c0000 38a00870 4e657874 VICE....8..pNext 2e790 00000038 a0022300 0850726f 63657373 ...8..#..Process 2e7a0 52656376 4d736700 00003955 02230408 RecvMsg...9U.#.. 2e7b0 50726f63 65737353 656e6442 75666665 ProcessSendBuffe 2e7c0 72436f6d 706c6574 65000000 395e0223 rComplete...9^.# 2e7d0 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect 2e7e0 00000039 7202230c 08536572 76696365 ...9r.#..Service 2e7f0 49440000 0012f202 23100853 65727669 ID......#..Servi 2e800 6365466c 61677300 000012f2 02231208 ceFlags......#.. 2e810 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... 2e820 12f20223 14085472 61696c65 72537063 ...#..TrailerSpc 2e830 43686563 6b4c696d 69740000 0012f202 CheckLimit...... 2e840 23160853 65727669 63654374 78000000 #..ServiceCtx... 2e850 040d0223 18000300 0037c104 00140400 ...#.....7...... 2e860 00393e19 454e4450 4f494e54 5f554e55 .9>.ENDPOINT_UNU 2e870 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN 2e880 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. 2e890 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END 2e8a0 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN 2e8b0 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. 2e8c0 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END 2e8d0 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN 2e8e0 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA 2e8f0 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN 2e900 545f4944 00000038 a7020103 00003953 T_ID...8......9S 2e910 04000201 03000039 5c040003 00000118 .......9\....... 2e920 04000600 0012de01 03000039 6c040003 ...........9l... 2e930 000037c1 0400075f 4854435f 434f4e46 ..7...._HTC_CONF 2e940 49470014 000039f1 08437265 64697453 IG....9..CreditS 2e950 697a6500 00000118 02230008 43726564 ize......#..Cred 2e960 69744e75 6d626572 00000001 18022304 itNumber......#. 2e970 084f5348 616e646c 65000000 1a480223 .OSHandle....H.# 2e980 08084849 4648616e 646c6500 000028b1 ..HIFHandle...(. 2e990 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 2e9a0 00364d02 23100007 5f485443 5f425546 .6M.#..._HTC_BUF 2e9b0 5f434f4e 54455854 00020000 3a2d0865 _CONTEXT....:-.e 2e9c0 6e645f70 6f696e74 00000012 de022300 nd_point......#. 2e9d0 08687463 5f666c61 67730000 0012de02 .htc_flags...... 2e9e0 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t 2e9f0 00000004 0d094854 435f5345 5455505f ......HTC_SETUP_ 2ea00 434f4d50 4c455445 5f434200 00000111 COMPLETE_CB..... 2ea10 09485443 5f434f4e 46494700 00003980 .HTC_CONFIG...9. 2ea20 0300003a 5a040006 00003a2d 01030000 ...:Z.....:-.... 2ea30 3a710400 02010300 003a7e04 00094854 :q.......:~...HT 2ea40 435f5345 52564943 45000000 37c10300 C_SERVICE...7... 2ea50 003a8704 00020103 00003a9f 04000201 .:........:..... 2ea60 0300003a a8040002 01030000 3ab10400 ...:........:... 2ea70 06000001 18010300 003aba04 00076874 .........:....ht 2ea80 635f6170 69730034 00003c37 085f4854 c_apis.4..<7._HT 2ea90 435f496e 69740000 003a7702 2300085f C_Init...:w.#.._ 2eaa0 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: 2eab0 80022304 085f4854 435f5265 67697374 ..#.._HTC_Regist 2eac0 65725365 72766963 65000000 3aa10223 erService...:..# 2ead0 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: 2eae0 8002230c 085f4854 435f5265 7475726e ..#.._HTC_Return 2eaf0 42756666 65727300 00003aaa 02231008 Buffers...:..#.. 2eb00 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe 2eb10 72734c69 73740000 003ab302 2314085f rsList...:..#.._ 2eb20 4854435f 53656e64 4d736700 00003aaa HTC_SendMsg...:. 2eb30 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese 2eb40 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: 2eb50 c002231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec 2eb60 7648616e 646c6572 00000028 5e022320 vHandler...(^.# 2eb70 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa 2eb80 6e646c65 72000000 28550223 24085f48 ndler...(U.#$._H 2eb90 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro 2eba0 63657373 4d736700 00003955 02232808 cessMsg...9U.#(. 2ebb0 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP 2ebc0 726f6365 73735365 6e64436f 6d706c65 rocessSendComple 2ebd0 74650000 00395e02 232c0870 52657365 te...9^.#,.pRese 2ebe0 72766564 00000004 0d022330 0007686f rved......#0..ho 2ebf0 73745f61 70705f61 7265615f 73000400 st_app_area_s... 2ec00 003c6708 776d695f 70726f74 6f636f6c ...tupleNumL.. 2eda0 001cac02 23000874 75706c65 4e756d48 ....#..tupleNumH 2edb0 0000001c ac022302 08617674 0000003d ......#..avt...= 2edc0 cb022304 00120100 003e3108 62656163 ..#......>1.beac 2edd0 6f6e5065 6e64696e 67436f75 6e740000 onPendingCount.. 2ede0 00168402 23000007 5f574d49 5f535643 ....#..._WMI_SVC 2edf0 5f434f4e 46494700 1000003e 9a084874 _CONFIG....>..Ht 2ee00 6348616e 646c6500 00003a2d 02230008 cHandle...:-.#.. 2ee10 506f6f6c 48616e64 6c650000 00364d02 PoolHandle...6M. 2ee20 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv 2ee30 74730000 00011802 2308084d 61784576 ts......#..MaxEv 2ee40 656e7445 76747300 00000118 02230c00 entEvts......#.. 2ee50 02010300 003e9a04 0009574d 495f434d .....>....WMI_CM 2ee60 445f4841 4e444c45 52000000 3e9c075f D_HANDLER...>.._ 2ee70 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT 2ee80 52590008 00003f03 0870436d 6448616e RY....?..pCmdHan 2ee90 646c6572 0000003e a3022300 08436d64 dler...>..#..Cmd 2eea0 49440000 0012f202 23040846 6c616773 ID......#..Flags 2eeb0 00000012 f2022306 00075f57 4d495f44 ......#..._WMI_D 2eec0 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... 2eed0 003f6408 704e6578 74000000 3f640223 .?d.pNext...?d.# 2eee0 00087043 6f6e7465 78740000 00040d02 ..pContext...... 2eef0 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri 2ef00 65730000 00011802 23080870 5461626c es......#..pTabl 2ef10 65000000 3f830223 0c000300 003f0304 e...?..#.....?.. 2ef20 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E 2ef30 4e545259 0000003e b8030000 3f6b0400 NTRY...>....?k.. 2ef40 0300003f 03040009 4854435f 4255465f ...?....HTC_BUF_ 2ef50 434f4e54 45585400 000039f1 0d574d49 CONTEXT...9..WMI 2ef60 5f455654 5f434c41 53530004 0000401b _EVT_CLASS....@. 2ef70 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N 2ef80 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT 2ef90 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT 2efa0 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 2efb0 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI 2efc0 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. 2efd0 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. 2efe0 00003fa6 075f574d 495f4255 465f434f ..?.._WMI_BUF_CO 2eff0 4e544558 54000c00 00407908 48746342 NTEXT....@y.HtcB 2f000 75664374 78000000 3f910223 00084576 ufCtx...?..#..Ev 2f010 656e7443 6c617373 00000040 1b022304 entClass...@..#. 2f020 08466c61 67730000 0012f202 23080009 .Flags......#... 2f030 776d695f 68616e64 6c655f74 00000004 wmi_handle_t.... 2f040 0d09574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG 2f050 0000003e 31030000 408b0400 06000040 ...>1...@......@ 2f060 79010300 0040a604 0009574d 495f4449 y....@....WMI_DI 2f070 53504154 43485f54 41424c45 0000003f SPATCH_TABLE...? 2f080 03030000 40b30400 02010300 0040d204 ....@........@.. 2f090 00060000 26490103 000040db 04000201 ....&I....@..... 2f0a0 03000040 e8040006 00000118 01030000 ...@............ 2f0b0 40f10400 02010300 0040fe04 00060000 @........@...... 2f0c0 12de0103 00004107 0400075f 776d695f ......A...._wmi_ 2f0d0 7376635f 61706973 002c0000 424f085f svc_apis.,..BO._ 2f0e0 574d495f 496e6974 00000040 ac022300 WMI_Init...@..#. 2f0f0 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi 2f100 73706174 63685461 626c6500 000040d4 spatchTable...@. 2f110 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv 2f120 656e7400 000040e1 02230808 5f574d49 ent...@..#.._WMI 2f130 5f53656e 64457665 6e740000 0040ea02 _SendEvent...@.. 2f140 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi 2f150 6e674576 656e7473 436f756e 74000000 ngEventsCount... 2f160 40f70223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC 2f170 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. 2f180 00395e02 2314085f 574d495f 47657443 .9^.#.._WMI_GetC 2f190 6f6e7472 6f6c4570 00000040 f7022318 ontrolEp...@..#. 2f1a0 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. 2f1b0 00410002 231c085f 574d495f 52656376 .A..#.._WMI_Recv 2f1c0 4d657373 61676548 616e646c 65720000 MessageHandler.. 2f1d0 00395502 2320085f 574d495f 53657276 .9U.# ._WMI_Serv 2f1e0 69636543 6f6e6e65 63740000 00410d02 iceConnect...A.. 2f1f0 23240870 52657365 72766564 00000004 #$.pReserved.... 2f200 0d022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. 2f210 14000042 d1086374 726c0000 00017c02 ...B..ctrl....|. 2f220 23000873 74617475 73000000 017c0223 #..status....|.# 2f230 0208746f 74616c4c 656e0000 00017c02 ..totalLen....|. 2f240 23040864 61746153 697a6500 0000017c #..dataSize....| 2f250 02230608 6c617374 41646472 00000042 .#..lastAddr...B 2f260 d1022308 08646174 61416464 72000000 ..#..dataAddr... 2f270 01a00223 0c086e65 78744164 64720000 ...#..nextAddr.. 2f280 0042d102 23100003 0000424f 04000300 .B..#.....BO.... 2f290 00424f04 00077a73 446d6151 75657565 .BO...zsDmaQueue 2f2a0 00080000 43110868 65616400 000042d8 ....C..head...B. 2f2b0 02230008 7465726d 696e6174 6f720000 .#..terminator.. 2f2c0 0042d802 23040007 7a735478 446d6151 .B..#...zsTxDmaQ 2f2d0 75657565 00100000 43750868 65616400 ueue....Cu.head. 2f2e0 000042d8 02230008 7465726d 696e6174 ..B..#..terminat 2f2f0 6f720000 0042d802 23040878 6d697465 or...B..#..xmite 2f300 645f6275 665f6865 61640000 00143d02 d_buf_head....=. 2f310 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta 2f320 696c0000 00143d02 230c0002 01030000 il....=.#....... 2f330 43750400 03000042 df040002 01030000 Cu.....B........ 2f340 43850400 03000043 11040002 01030000 C......C........ 2f350 43950400 02010300 00439e04 00020103 C........C...... 2f360 000043a7 04000600 00143d01 03000043 ..C.......=....C 2f370 b0040002 01030000 43bd0400 06000014 ........C....... 2f380 3d010300 0043c604 00020103 000043d3 =....C........C. 2f390 04000600 00011801 03000043 dc040006 ...........C.... 2f3a0 000042d8 01030000 43e90400 02010300 ..B.....C....... 2f3b0 0043f604 0007646d 615f656e 67696e65 .C....dma_engine 2f3c0 5f617069 00400000 456c085f 696e6974 _api.@..El._init 2f3d0 00000043 77022300 085f696e 69745f72 ...Cw.#.._init_r 2f3e0 785f7175 65756500 00004387 02230408 x_queue...C..#.. 2f3f0 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. 2f400 00439702 2308085f 636f6e66 69675f72 .C..#.._config_r 2f410 785f7175 65756500 000043a0 02230c08 x_queue...C..#.. 2f420 5f786d69 745f6275 66000000 43a90223 _xmit_buf...C..# 2f430 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... 2f440 43870223 14085f72 6561705f 72656376 C..#.._reap_recv 2f450 5f627566 00000043 b6022318 085f7265 _buf...C..#.._re 2f460 7475726e 5f726563 765f6275 66000000 turn_recv_buf... 2f470 43bf0223 1c085f72 6561705f 786d6974 C..#.._reap_xmit 2f480 65645f62 75660000 0043cc02 2320085f ed_buf...C..# ._ 2f490 73776170 5f646174 61000000 43d50223 swap_data...C..# 2f4a0 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac 2f4b0 6b657473 00000043 e2022328 085f6465 kets...C..#(._de 2f4c0 73635f64 756d7000 00004387 02232c08 sc_dump...C..#,. 2f4d0 5f676574 5f706163 6b657400 000043ef _get_packet...C. 2f4e0 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac 2f4f0 6b657400 000043f8 02233408 5f707574 ket...C..#4._put 2f500 5f706163 6b657400 000043f8 02233808 _packet...C..#8. 2f510 70526573 65727665 64000000 040d0223 pReserved......# 2f520 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi 2f530 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 2f540 000030ab 09574d49 5f535643 5f415049 ..0..WMI_SVC_API 2f550 53000000 4114175f 415f6d61 67706965 S...A.._A_magpie 2f560 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 2f570 6c650003 4c000046 9a08636d 6e6f7300 le..L..F..cmnos. 2f580 0000456c 02230008 64626700 000003da ..El.#..dbg..... 2f590 0323b803 08686966 00000029 540323c0 .#...hif...)T.#. 2f5a0 03086874 63000000 3ac70323 f8030877 ..htc...:..#...w 2f5b0 6d695f73 76635f61 70690000 00458e03 mi_svc_api...E.. 2f5c0 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. 2f5d0 0000327f 0323d804 08627566 5f706f6f ..2..#...buf_poo 2f5e0 6c000000 37280323 e4040876 62756600 l...7(.#...vbuf. 2f5f0 00001467 03238005 08766465 73630000 ...g.#...vdesc.. 2f600 00134903 23940508 616c6c6f 6372616d ..I.#...allocram 2f610 00000009 3f0323a8 0508646d 615f656e ....?.#...dma_en 2f620 67696e65 00000043 ff0323b4 0508646d gine...C..#...dm 2f630 615f6c69 62000000 2be80323 f4050868 a_lib...+..#...h 2f640 69665f70 63690000 002e4803 23a80600 if_pci....H.#... 2f650 095f415f 6d616770 69655f69 6e646972 ._A_magpie_indir 2f660 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. 2f670 0045a003 00000693 04000201 1a010d63 .E.............c 2f680 6d6e6f73 5f737472 696e675f 696e6974 mnos_string_init 2f690 00010103 92012002 9000008e 2b24008e ...... .....+$.. 2f6a0 2b291b01 12636d6e 6f735f73 7472696e +)...cmnos_strin 2f6b0 675f6d6f 64756c65 5f696e73 74616c6c g_module_install 2f6c0 00010103 92012002 9000008e 2b2c008e ...... .....+,.. 2f6d0 2b4f1c01 1274626c 00000046 bd015200 +O...tbl...F..R. 2f6e0 00000000 48ad0002 000011a4 04012f72 ....H........./r 2f6f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 2f700 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 2f710 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 2f720 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 2f730 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 2f740 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 2f750 732f7461 736b6c65 742f7372 632f636d s/tasklet/src/cm 2f760 6e6f735f 7461736b 6c65742e 63002f72 nos_tasklet.c./r 2f770 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 2f780 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 2f790 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 2f7a0 2f636d6e 6f732f74 61736b6c 65740078 /cmnos/tasklet.x 2f7b0 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 2f7c0 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr 2f7d0 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - 2f7e0 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... 2f7f0 0000a8a1 02010300 00011204 0004696e ..............in 2f800 74000504 04636861 72000701 05000001 t....char....... 2f810 22050000 01220300 00012f04 00060000 "...."..../..... 2f820 011b0103 0000013b 04000770 72696e74 .......;...print 2f830 665f6170 69000800 00017f08 5f707269 f_api......._pri 2f840 6e74665f 696e6974 00000001 14022300 ntf_init......#. 2f850 085f7072 696e7466 00000001 41022304 ._printf....A.#. 2f860 00047368 6f727420 756e7369 676e6564 ..short unsigned 2f870 20696e74 00070209 75696e74 31365f74 int....uint16_t 2f880 00000001 7f046c6f 6e672075 6e736967 ......long unsig 2f890 6e656420 696e7400 07040975 696e7433 ned int....uint3 2f8a0 325f7400 000001a3 07756172 745f6669 2_t......uart_fi 2f8b0 666f0008 00000211 08737461 72745f69 fo.......start_i 2f8c0 6e646578 00000001 95022300 08656e64 ndex......#..end 2f8d0 5f696e64 65780000 00019502 2302086f _index......#..o 2f8e0 76657272 756e5f65 72720000 0001b802 verrun_err...... 2f8f0 23040007 75617274 5f617069 00200000 #...uart_api. .. 2f900 02ca085f 75617274 5f696e69 74000000 ..._uart_init... 2f910 03210223 00085f75 6172745f 63686172 .!.#.._uart_char 2f920 5f707574 00000003 48022304 085f7561 _put....H.#.._ua 2f930 72745f63 6861725f 67657400 0000035c rt_char_get....\ 2f940 02230808 5f756172 745f7374 725f6f75 .#.._uart_str_ou 2f950 74000000 03650223 0c085f75 6172745f t....e.#.._uart_ 2f960 7461736b 00000001 14022310 085f7561 task......#.._ua 2f970 72745f73 74617475 73000000 03210223 rt_status....!.# 2f980 14085f75 6172745f 636f6e66 69670000 .._uart_config.. 2f990 00036e02 2318085f 75617274 5f687769 ..n.#.._uart_hwi 2f9a0 6e697400 00000377 02231c00 03000002 nit....w.#...... 2f9b0 11040007 75617274 5f626c6b 00100000 ....uart_blk.... 2f9c0 031b0864 65627567 5f6d6f64 65000000 ...debug_mode... 2f9d0 01950223 00086261 75640000 00019502 ...#..baud...... 2f9e0 2302085f 75617274 00000002 ca022304 #.._uart......#. 2f9f0 085f7478 00000001 c6022308 00060000 ._tx......#..... 2fa00 01b80103 0000031b 04000475 6e736967 ...........unsig 2fa10 6e656420 63686172 00070109 75696e74 ned char....uint 2fa20 385f7400 00000328 02010300 00034604 8_t....(......F. 2fa30 00030000 03390400 06000001 95010300 .....9.......... 2fa40 00035604 00020103 00000363 04000201 ..V........c.... 2fa50 03000003 6c040002 01030000 03750400 ....l........u.. 2fa60 03000001 22040006 0000011b 01030000 ...."........... 2fa70 03850400 0744425f 434f4d4d 414e445f .....DB_COMMAND_ 2fa80 53545255 4354000c 000003dd 08636d64 STRUCT.......cmd 2fa90 5f737472 00000003 7e022300 0868656c _str....~.#..hel 2faa0 705f7374 72000000 037e0223 0408636d p_str....~.#..cm 2fab0 645f6675 6e630000 00038b02 23080007 d_func......#... 2fac0 6462675f 61706900 08000004 10085f64 dbg_api......._d 2fad0 62675f69 6e697400 00000114 02230008 bg_init......#.. 2fae0 5f646267 5f746173 6b000000 01140223 _dbg_task......# 2faf0 04000a04 0004756e 7369676e 65642069 ......unsigned i 2fb00 6e740007 04060000 04100103 00000423 nt.............# 2fb10 04000b0b 03000004 31040006 00000410 ........1....... 2fb20 01030000 04390400 06000001 1b010300 .....9.......... 2fb30 00044604 00076d65 6d5f6170 69001400 ..F...mem_api... 2fb40 0004b508 5f6d656d 5f696e69 74000000 ...._mem_init... 2fb50 01140223 00085f6d 656d7365 74000000 ...#.._memset... 2fb60 04290223 04085f6d 656d6370 79000000 .).#.._memcpy... 2fb70 043f0223 08085f6d 656d6d6f 76650000 .?.#.._memmove.. 2fb80 00043f02 230c085f 6d656d63 6d700000 ..?.#.._memcmp.. 2fb90 00044c02 2310000c 72656769 73746572 ..L.#...register 2fba0 5f64756d 705f7300 00010300 0004b504 _dump_s......... 2fbb0 00020103 000004cf 04000201 03000004 ................ 2fbc0 d8040006 0000011b 01030000 04e10400 ................ 2fbd0 0d686f73 7469665f 73000400 00053d0e .hostif_s.....=. 2fbe0 4849465f 55534200 000e4849 465f5043 HIF_USB...HIF_PC 2fbf0 49450001 0e484946 5f474d41 4300020e IE...HIF_GMAC... 2fc00 4849465f 50434900 030e4849 465f4e55 HIF_PCI...HIF_NU 2fc10 4d00040e 4849465f 4e4f4e45 00050009 M...HIF_NONE.... 2fc20 415f484f 53544946 00000004 ee060000 A_HOSTIF........ 2fc30 053d0103 0000054b 04000600 00033901 .=.....K......9. 2fc40 03000005 58040006 00000195 01030000 ....X........... 2fc50 05650400 076d6973 635f6170 69002400 .e...misc_api.$. 2fc60 00065508 5f737973 74656d5f 72657365 ..U._system_rese 2fc70 74000000 01140223 00085f6d 61635f72 t......#.._mac_r 2fc80 65736574 00000001 14022304 085f6173 eset......#.._as 2fc90 73666169 6c000000 04d10223 08085f6d sfail......#.._m 2fca0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h 2fcb0 616e646c 65720000 0004d102 230c085f andler......#.._ 2fcc0 7265706f 72745f66 61696c75 72655f74 report_failure_t 2fcd0 6f5f686f 73740000 0004da02 2310085f o_host......#.._ 2fce0 74617267 65745f69 645f6765 74000000 target_id_get... 2fcf0 04e70223 14085f69 735f686f 73745f70 ...#.._is_host_p 2fd00 72657365 6e740000 00055102 2318085f resent....Q.#.._ 2fd10 6b626869 74000000 055e0223 1c085f72 kbhit....^.#.._r 2fd20 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. 2fd30 00056b02 23200006 0000037e 01030000 ..k.# .....~.... 2fd40 06550400 06000003 7e010300 00066204 .U......~.....b. 2fd50 00060000 011b0103 0000066f 04000600 ...........o.... 2fd60 00011b01 03000006 7c040006 0000011b ........|....... 2fd70 01030000 06890400 07737472 696e675f .........string_ 2fd80 61706900 18000007 0f085f73 7472696e api......._strin 2fd90 675f696e 69740000 00011402 2300085f g_init......#.._ 2fda0 73747263 70790000 00065b02 2304085f strcpy....[.#.._ 2fdb0 7374726e 63707900 00000668 02230808 strncpy....h.#.. 2fdc0 5f737472 6c656e00 00000675 02230c08 _strlen....u.#.. 2fdd0 5f737472 636d7000 00000682 02231008 _strcmp......#.. 2fde0 5f737472 6e636d70 00000006 8f022314 _strncmp......#. 2fdf0 000f0000 04131400 00071c10 0400095f ..............._ 2fe00 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... 2fe10 070f0941 5f74696d 65725f74 00000007 ...A_timer_t.... 2fe20 1c030000 07300400 02010300 00074604 .....0........F. 2fe30 00020103 0000074f 04000941 5f48414e .......O...A_HAN 2fe40 444c4500 00000413 02010941 5f54494d DLE........A_TIM 2fe50 45525f46 554e4300 00000766 03000007 ER_FUNC....f.... 2fe60 68040002 01030000 07810400 0774696d h............tim 2fe70 65725f61 70690014 00000800 085f7469 er_api......._ti 2fe80 6d65725f 696e6974 00000001 14022300 mer_init......#. 2fe90 085f7469 6d65725f 61726d00 00000748 ._timer_arm....H 2fea0 02230408 5f74696d 65725f64 69736172 .#.._timer_disar 2feb0 6d000000 07510223 08085f74 696d6572 m....Q.#.._timer 2fec0 5f736574 666e0000 00078302 230c085f _setfn......#.._ 2fed0 74696d65 725f7275 6e000000 01140223 timer_run......# 2fee0 10000942 4f4f4c45 414e0000 00019506 ...BOOLEAN...... 2fef0 00000800 01030000 080d0400 06000008 ................ 2ff00 00010300 00081a04 00060000 08000103 ................ 2ff10 00000827 04000772 6f6d705f 61706900 ...'...romp_api. 2ff20 10000008 99085f72 6f6d705f 696e6974 ......_romp_init 2ff30 00000001 14022300 085f726f 6d705f64 ......#.._romp_d 2ff40 6f776e6c 6f616400 00000813 02230408 ownload......#.. 2ff50 5f726f6d 705f696e 7374616c 6c000000 _romp_install... 2ff60 08200223 08085f72 6f6d705f 6465636f . .#.._romp_deco 2ff70 64650000 00082d02 230c0007 726f6d5f de....-.#...rom_ 2ff80 70617463 685f7374 00100000 08f50863 patch_st.......c 2ff90 72633136 00000001 95022300 086c656e rc16......#..len 2ffa0 00000001 95022302 086c645f 61646472 ......#..ld_addr 2ffb0 00000001 b8022304 0866756e 5f616464 ......#..fun_add 2ffc0 72000000 01b80223 08087066 756e0000 r......#..pfun.. 2ffd0 00034f02 230c0007 6565705f 72656469 ..O.#...eep_redi 2ffe0 725f6164 64720004 00000927 086f6666 r_addr.....'.off 2fff0 73657400 00000195 02230008 73697a65 set......#..size 30000 00000001 95022302 0009415f 55494e54 ......#...A_UINT 30010 33320000 00041306 00000410 01030000 32.............. 30020 09350400 07616c6c 6f637261 6d5f6170 .5...allocram_ap 30030 69000c00 0009a608 636d6e6f 735f616c i.......cmnos_al 30040 6c6f6372 616d5f69 6e697400 0000093b locram_init....; 30050 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr 30060 616d0000 00093b02 23040863 6d6e6f73 am....;.#..cmnos 30070 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. 30080 00000114 02230800 02010300 0009a604 .....#.......... 30090 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC 300a0 00000009 a8075f74 61736b6c 65740010 ......_tasklet.. 300b0 00000a07 0866756e 63000000 09af0223 .....func......# 300c0 00086172 67000000 04100223 04087374 ..arg......#..st 300d0 61746500 0000011b 02230808 6e657874 ate......#..next 300e0 0000000a 0702230c 00030000 09c30400 ......#......... 300f0 03000009 c3040009 415f7461 736b6c65 ........A_taskle 30100 745f7400 000009c3 0300000a 15040002 t_t............. 30110 01030000 0a2d0400 02010300 000a3604 .....-........6. 30120 00077461 736b6c65 745f6170 69001400 ..tasklet_api... 30130 000acb08 5f746173 6b6c6574 5f696e69 ...._tasklet_ini 30140 74000000 01140223 00085f74 61736b6c t......#.._taskl 30150 65745f69 6e69745f 7461736b 0000000a et_init_task.... 30160 2f022304 085f7461 736b6c65 745f6469 /.#.._tasklet_di 30170 7361626c 65000000 0a380223 08085f74 sable....8.#.._t 30180 61736b6c 65745f73 63686564 756c6500 asklet_schedule. 30190 00000a38 02230c08 5f746173 6b6c6574 ...8.#.._tasklet 301a0 5f72756e 00000001 14022310 00020103 _run......#..... 301b0 00000acb 04000600 00092701 0300000a ..........'..... 301c0 d4040002 01030000 0ae10400 07636c6f .............clo 301d0 636b5f61 70690024 00000bc3 085f636c ck_api.$....._cl 301e0 6f636b5f 696e6974 0000000a cd022300 ock_init......#. 301f0 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init 30200 00000001 14022304 085f7561 72745f66 ......#.._uart_f 30210 72657175 656e6379 0000000a da022308 requency......#. 30220 085f6465 6c61795f 75730000 000ae302 ._delay_us...... 30230 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se 30240 74000000 0ae30223 10085f72 6566636c t......#.._refcl 30250 6b5f7370 6565645f 67657400 00000ada k_speed_get..... 30260 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond 30270 73000000 0ada0223 18085f73 7973636c s......#.._syscl 30280 6b5f6368 616e6765 00000001 1402231c k_change......#. 30290 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... 302a0 14022320 00060000 01b80103 00000bc3 ..# ............ 302b0 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. 302c0 000001b8 0600000b d0010300 000be204 ................ 302d0 00020103 00000bef 04000201 0300000b ................ 302e0 f8040006 000001b8 01030000 0c010400 ................ 302f0 09415f69 73725f74 0000000c 07020103 .A_isr_t........ 30300 00000c1b 04000600 00041301 0300000c ................ 30310 24040002 01030000 0c310400 07696e74 $........1...int 30320 725f6170 69002c00 000d5308 5f696e74 r_api.,...S._int 30330 725f696e 69740000 00011402 2300085f r_init......#.._ 30340 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. 30350 00000bc9 02230408 5f696e74 725f6469 .....#.._intr_di 30360 7361626c 65000000 0be80223 08085f69 sable......#.._i 30370 6e74725f 72657374 6f726500 00000bf1 ntr_restore..... 30380 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i 30390 6e756d00 00000bfa 02231008 5f696e74 num......#.._int 303a0 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... 303b0 0bfa0223 14085f69 6e74725f 61747461 ...#.._intr_atta 303c0 63685f69 73720000 000c1d02 2318085f ch_isr......#.._ 303d0 6765745f 696e7472 656e6162 6c650000 get_intrenable.. 303e0 000c2a02 231c085f 7365745f 696e7472 ..*.#.._set_intr 303f0 656e6162 6c650000 000c3302 2320085f enable....3.# ._ 30400 6765745f 696e7472 70656e64 696e6700 get_intrpending. 30410 00000c2a 02232408 5f756e62 6c6f636b ...*.#$._unblock 30420 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... 30430 14022328 00110400 000d7908 74696d65 ..#(......y.time 30440 6f757400 000001b8 02230008 61637469 out......#..acti 30450 6f6e0000 0001b802 23000012 0800000d on......#....... 30460 9408636d 64000000 01b80223 00130000 ..cmd......#.... 30470 0d530223 04000954 5f574454 5f434d44 .S.#...T_WDT_CMD 30480 0000000d 79020103 00000da3 04001404 ....y........... 30490 00000df9 0e454e55 4d5f5744 545f424f .....ENUM_WDT_BO 304a0 4f540001 0e454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B 304b0 4f4f5400 020e454e 554d5f53 5553505f OOT...ENUM_SUSP_ 304c0 424f4f54 00030e45 4e554d5f 554e4b4e BOOT...ENUM_UNKN 304d0 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO 304e0 4f545f54 59504500 00000dac 0600000d OT_TYPE......... 304f0 f9010300 000e0a04 00077764 745f6170 ..........wdt_ap 30500 69001c00 000eae08 5f776474 5f696e69 i......._wdt_ini 30510 74000000 01140223 00085f77 64745f65 t......#.._wdt_e 30520 6e61626c 65000000 01140223 04085f77 nable......#.._w 30530 64745f64 69736162 6c650000 00011402 dt_disable...... 30540 2308085f 7764745f 73657400 00000da5 #.._wdt_set..... 30550 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... 30560 01140223 10085f77 64745f72 65736574 ...#.._wdt_reset 30570 00000001 14022314 085f7764 745f6c61 ......#.._wdt_la 30580 73745f62 6f6f7400 00000e10 02231800 st_boot......#.. 30590 14040000 0f150e52 45545f53 55434345 .......RET_SUCCE 305a0 53530000 0e524554 5f4e4f54 5f494e49 SS...RET_NOT_INI 305b0 5400010e 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS 305c0 5400020e 5245545f 4545505f 434f5252 T...RET_EEP_CORR 305d0 55505400 030e5245 545f4545 505f4f56 UPT...RET_EEP_OV 305e0 4552464c 4f570004 0e524554 5f554e4b ERFLOW...RET_UNK 305f0 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE 30600 54000000 0eae0300 00019504 00060000 T............... 30610 0f150103 00000f2b 04000600 000f1501 .......+........ 30620 0300000f 38040007 6565705f 61706900 ....8...eep_api. 30630 1000000f a1085f65 65705f69 6e697400 ......_eep_init. 30640 00000114 02230008 5f656570 5f726561 .....#.._eep_rea 30650 64000000 0f310223 04085f65 65705f77 d....1.#.._eep_w 30660 72697465 0000000f 31022308 085f6565 rite....1.#.._ee 30670 705f6973 5f657869 73740000 000f3e02 p_is_exist....>. 30680 230c0007 7573625f 61706900 70000012 #...usb_api.p... 30690 4e085f75 73625f69 6e697400 00000114 N._usb_init..... 306a0 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas 306b0 6b000000 01140223 04085f75 73625f66 k......#.._usb_f 306c0 775f7461 736b0000 00011402 2308085f w_task......#.._ 306d0 7573625f 696e6974 5f706879 00000001 usb_init_phy.... 306e0 1402230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se 306f0 74757000 00000114 02231008 5f757362 tup......#.._usb 30700 5f657030 5f747800 00000114 02231408 _ep0_tx......#.. 30710 5f757362 5f657030 5f727800 00000114 _usb_ep0_rx..... 30720 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int 30730 65726661 63650000 00082002 231c085f erface.... .#.._ 30740 7573625f 7365745f 696e7465 72666163 usb_set_interfac 30750 65000000 08200223 20085f75 73625f67 e.... .# ._usb_g 30760 65745f63 6f6e6669 67757261 74696f6e et_configuration 30770 00000008 20022324 085f7573 625f7365 .... .#$._usb_se 30780 745f636f 6e666967 75726174 696f6e00 t_configuration. 30790 00000820 02232808 5f757362 5f737461 ... .#(._usb_sta 307a0 6e646172 645f636d 64000000 08200223 ndard_cmd.... .# 307b0 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm 307c0 64000000 01140223 30085f75 73625f70 d......#0._usb_p 307d0 6f776572 5f6f6666 00000001 14022334 ower_off......#4 307e0 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo 307f0 00000001 14022338 085f7573 625f6765 ......#8._usb_ge 30800 6e5f7764 74000000 01140223 3c085f75 n_wdt......#<._u 30810 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... 30820 14022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe 30830 61747572 65000000 08200223 44085f75 ature.... .#D._u 30840 73625f73 65745f66 65617475 72650000 sb_set_feature.. 30850 00082002 2348085f 7573625f 7365745f .. .#H._usb_set_ 30860 61646472 65737300 00000820 02234c08 address.... .#L. 30870 5f757362 5f676574 5f646573 63726970 _usb_get_descrip 30880 746f7200 00000820 02235008 5f757362 tor.... .#P._usb 30890 5f676574 5f737461 74757300 00000820 _get_status.... 308a0 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d 308b0 65736300 00000114 02235808 5f757362 esc......#X._usb 308c0 5f726567 5f6f7574 00000001 1402235c _reg_out......#\ 308d0 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. 308e0 00000114 02236008 5f757362 5f657030 .....#`._usb_ep0 308f0 5f74785f 64617461 00000001 14022364 _tx_data......#d 30900 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat 30910 61000000 01140223 68085f75 73625f63 a......#h._usb_c 30920 6c6b5f69 6e697400 00000114 02236c00 lk_init......#l. 30930 075f5644 45534300 24000012 da086e65 ._VDESC.$.....ne 30940 78745f64 65736300 000012da 02230008 xt_desc......#.. 30950 6275665f 61646472 00000012 ee022304 buf_addr......#. 30960 08627566 5f73697a 65000000 12f50223 .buf_size......# 30970 08086461 74615f6f 66667365 74000000 ..data_offset... 30980 12f50223 0a086461 74615f73 697a6500 ...#..data_size. 30990 000012f5 02230c08 636f6e74 726f6c00 .....#..control. 309a0 000012f5 02230e08 68775f64 6573635f .....#..hw_desc_ 309b0 62756600 00001303 02231000 03000012 buf......#...... 309c0 4e040009 415f5549 4e543800 00000328 N...A_UINT8....( 309d0 03000012 e1040009 415f5549 4e543136 ........A_UINT16 309e0 00000001 7f0f0000 12e11400 00131010 ................ 309f0 13000300 00124e04 00095644 45534300 ......N...VDESC. 30a00 0000124e 03000013 17040006 00001322 ...N..........." 30a10 01030000 13290400 06000012 ee010300 .....).......... 30a20 00133604 00020103 00001343 04000776 ..6........C...v 30a30 64657363 5f617069 00140000 13bb085f desc_api......._ 30a40 696e6974 0000000a e3022300 085f616c init......#.._al 30a50 6c6f635f 76646573 63000000 132f0223 loc_vdesc..../.# 30a60 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. 30a70 00133c02 2308085f 73776170 5f766465 ..<.#.._swap_vde 30a80 73630000 00134502 230c0870 52657365 sc....E.#..pRese 30a90 72766564 00000004 10022310 00075f56 rved......#..._V 30aa0 42554600 20000014 1b086465 73635f6c BUF. .....desc_l 30ab0 69737400 00001322 02230008 6e657874 ist....".#..next 30ac0 5f627566 00000014 1b022304 08627566 _buf......#..buf 30ad0 5f6c656e 67746800 000012f5 02230808 _length......#.. 30ae0 72657365 72766564 00000014 2202230a reserved....".#. 30af0 08637478 00000013 0302230c 00030000 .ctx......#..... 30b00 13bb0400 0f000012 e1020000 142f1001 ............./.. 30b10 00030000 13bb0400 09564255 46000000 .........VBUF... 30b20 13bb0300 00143604 00060000 14400103 ......6......@.. 30b30 00001447 04000600 00144001 03000014 ...G......@..... 30b40 54040002 01030000 14610400 07766275 T........a...vbu 30b50 665f6170 69001400 0014df08 5f696e69 f_api......._ini 30b60 74000000 0ae30223 00085f61 6c6c6f63 t......#.._alloc 30b70 5f766275 66000000 144d0223 04085f61 _vbuf....M.#.._a 30b80 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s 30b90 697a6500 0000145a 02230808 5f667265 ize....Z.#.._fre 30ba0 655f7662 75660000 00146302 230c0870 e_vbuf....c.#..p 30bb0 52657365 72766564 00000004 10022310 Reserved......#. 30bc0 00075f5f 6164665f 64657669 63650004 ..__adf_device.. 30bd0 00001501 0864756d 6d790000 00011b02 .....dummy...... 30be0 23000003 00000927 0400075f 5f616466 #......'...__adf 30bf0 5f646d61 5f6d6170 000c0000 15480862 _dma_map.....H.b 30c00 75660000 00144002 23000864 735f6164 uf....@.#..ds_ad 30c10 64720000 00150102 23040864 735f6c65 dr......#..ds_le 30c20 6e000000 12f50223 0800120c 00001582 n......#........ 30c30 085f5f76 615f7374 6b000000 037e0223 .__va_stk....~.# 30c40 00085f5f 76615f72 65670000 00037e02 ..__va_reg....~. 30c50 2304085f 5f76615f 6e647800 0000011b #..__va_ndx..... 30c60 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm 30c70 615f6164 64725f74 00000009 27096164 a_addr_t....'.ad 30c80 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 30c90 00001582 095f5f61 64665f6f 735f646d .....__adf_os_dm 30ca0 615f7369 7a655f74 00000009 27096164 a_size_t....'.ad 30cb0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 30cc0 000015b2 075f5f64 6d615f73 65677300 .....__dma_segs. 30cd0 08000016 0e087061 64647200 0000159b ......paddr..... 30ce0 02230008 6c656e00 000015cb 02230400 .#..len......#.. 30cf0 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... 30d00 09270961 5f75696e 7433325f 74000000 .'.a_uint32_t... 30d10 160e0f00 0015e208 0000163d 10000007 ...........=.... 30d20 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in 30d30 666f000c 00001676 086e7365 67730000 fo.....v.nsegs.. 30d40 00162002 23000864 6d615f73 65677300 .. .#..dma_segs. 30d50 00001630 02230400 095f5f61 5f75696e ...0.#...__a_uin 30d60 74385f74 00000012 e109615f 75696e74 t8_t......a_uint 30d70 385f7400 00001676 03000016 87040007 8_t....v........ 30d80 5f5f7367 5f736567 73000800 0016c808 __sg_segs....... 30d90 76616464 72000000 16960223 00086c65 vaddr......#..le 30da0 6e000000 16200223 04000f00 00169d20 n.... .#....... 30db0 000016d5 10030007 6164665f 6f735f73 ........adf_os_s 30dc0 676c6973 74002400 00170808 6e736567 glist.$.....nseg 30dd0 73000000 16200223 00087367 5f736567 s.... .#..sg_seg 30de0 73000000 16c80223 04001210 00001751 s......#.......Q 30df0 0876656e 646f7200 00001620 02230008 .vendor.... .#.. 30e00 64657669 63650000 00162002 23040873 device.... .#..s 30e10 75627665 6e646f72 00000016 20022308 ubvendor.... .#. 30e20 08737562 64657669 63650000 00162002 .subdevice.... . 30e30 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un 30e40 7369676e 65642069 6e740007 0809415f signed int....A_ 30e50 55494e54 36340000 00175109 5f5f615f UINT64....Q.__a_ 30e60 75696e74 36345f74 00000017 6b09615f uint64_t....k.a_ 30e70 75696e74 36345f74 00000017 79140400 uint64_t....y... 30e80 0017d70e 4144465f 4f535f52 45534f55 ....ADF_OS_RESOU 30e90 5243455f 54595045 5f4d454d 00000e41 RCE_TYPE_MEM...A 30ea0 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 30eb0 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os 30ec0 5f726573 6f757263 655f7479 70655f74 _resource_type_t 30ed0 00000017 9b121800 00182108 73746172 ..........!.star 30ee0 74000000 178b0223 0008656e 64000000 t......#..end... 30ef0 178b0223 08087479 70650000 0017d702 ...#..type...... 30f00 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d 30f10 65765f69 645f7400 00001708 03000018 ev_id_t......... 30f20 21040011 04000018 60087063 69000000 !.......`.pci... 30f30 183a0223 00087261 77000000 04100223 .:.#..raw......# 30f40 00001110 0000187f 08706369 00000018 .........pci.... 30f50 21022300 08726177 00000004 10022300 !.#..raw......#. 30f60 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle 30f70 5f740000 00041009 6164665f 6f735f72 _t......adf_os_r 30f80 65736f75 7263655f 74000000 17f30300 esource_t....... 30f90 00189504 00096164 665f6f73 5f617474 ......adf_os_att 30fa0 6163685f 64617461 5f740000 00186003 ach_data_t....`. 30fb0 000018b3 04000300 0014df04 00095f5f ..............__ 30fc0 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 30fd0 000018d4 09616466 5f6f735f 64657669 .....adf_os_devi 30fe0 63655f74 00000018 db060000 187f0103 ce_t............ 30ff0 00001907 04000201 03000019 14040009 ................ 31000 6164665f 6f735f70 6d5f7400 00000410 adf_os_pm_t..... 31010 02010300 00192e04 00140400 00196e0e ..............n. 31020 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 31030 50434900 010e4144 465f4f53 5f425553 PCI...ADF_OS_BUS 31040 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... 31050 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type 31060 5f740000 00193709 6164665f 6f735f62 _t....7.adf_os_b 31070 75735f72 65675f64 6174615f 74000000 us_reg_data_t... 31080 18410300 00032804 00075f61 64665f64 .A....(..._adf_d 31090 72765f69 6e666f00 2000001a 4b086472 rv_info. ...K.dr 310a0 765f6174 74616368 00000019 0d022300 v_attach......#. 310b0 08647276 5f646574 61636800 00001916 .drv_detach..... 310c0 02230408 6472765f 73757370 656e6400 .#..drv_suspend. 310d0 00001930 02230808 6472765f 72657375 ...0.#..drv_resu 310e0 6d650000 00191602 230c0862 75735f74 me......#..bus_t 310f0 79706500 0000196e 02231008 6275735f ype....n.#..bus_ 31100 64617461 00000019 85022314 086d6f64 data......#..mod 31110 5f6e616d 65000000 19a00223 18086966 _name......#..if 31120 6e616d65 00000019 a002231c 00096164 name......#...ad 31130 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... 31140 04100300 00167604 00020102 01095f5f ......v.......__ 31150 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 31160 04131404 00001a9a 0e415f46 414c5345 .........A_FALSE 31170 00000e41 5f545255 45000100 09615f62 ...A_TRUE....a_b 31180 6f6f6c5f 74000000 1a800300 00150804 ool_t........... 31190 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m 311a0 61705f74 0000001a a802010d 6164665f ap_t........adf_ 311b0 6f735f63 61636865 5f73796e 63000400 os_cache_sync... 311c0 001b320e 4144465f 53594e43 5f505245 ..2.ADF_SYNC_PRE 311d0 52454144 00000e41 44465f53 594e435f READ...ADF_SYNC_ 311e0 50524557 52495445 00020e41 44465f53 PREWRITE...ADF_S 311f0 594e435f 504f5354 52454144 00010e41 YNC_POSTREAD...A 31200 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT 31210 45000300 09616466 5f6f735f 63616368 E....adf_os_cach 31220 655f7379 6e635f74 0000001a c9020109 e_sync_t........ 31230 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 31240 1a6b0600 001b4d01 09616466 5f6f735f .k....M..adf_os_ 31250 646d615f 6d61705f 74000000 1aaf0300 dma_map_t....... 31260 001b6604 00060000 04100103 00001aaf ..f............. 31270 04000600 00041001 02010600 00159b01 ................ 31280 02010473 686f7274 20696e74 00050209 ...short int.... 31290 415f494e 54313600 00001ba0 095f5f61 A_INT16......__a 312a0 5f696e74 31365f74 0000001b ad09615f _int16_t......a_ 312b0 696e7431 365f7400 00001bba 04736967 int16_t......sig 312c0 6e656420 63686172 00050109 415f494e ned char....A_IN 312d0 54380000 001bda09 5f5f615f 696e7438 T8......__a_int8 312e0 5f740000 001be909 615f696e 74385f74 _t......a_int8_t 312f0 0000001b f5120c00 001c6c08 73757070 ..........l.supp 31300 6f727465 64000000 16200223 00086164 orted.... .#..ad 31310 76657274 697a6564 00000016 20022304 vertized.... .#. 31320 08737065 65640000 001bcb02 23080864 .speed......#..d 31330 75706c65 78000000 1c050223 0a086175 uplex......#..au 31340 746f6e65 67000000 16870223 0b000f00 toneg......#.... 31350 00168706 00001c79 10050007 6164665f .......y....adf_ 31360 6e65745f 65746861 64647200 0600001c net_ethaddr..... 31370 9d086164 64720000 001c6c02 23000009 ..addr....l.#... 31380 5f5f615f 75696e74 31365f74 00000012 __a_uint16_t.... 31390 f509615f 75696e74 31365f74 0000001c ..a_uint16_t.... 313a0 9d120e00 001d0108 65746865 725f6468 ........ether_dh 313b0 6f737400 00001c6c 02230008 65746865 ost....l.#..ethe 313c0 725f7368 6f737400 00001c6c 02230608 r_shost....l.#.. 313d0 65746865 725f7479 70650000 001caf02 ether_type...... 313e0 230c0012 1400001d c2156970 5f766572 #.........ip_ver 313f0 73696f6e 00000016 87010004 02230015 sion.........#.. 31400 69705f68 6c000000 16870104 04022300 ip_hl.........#. 31410 0869705f 746f7300 00001687 02230108 .ip_tos......#.. 31420 69705f6c 656e0000 001caf02 23020869 ip_len......#..i 31430 705f6964 0000001c af022304 0869705f p_id......#..ip_ 31440 66726167 5f6f6666 0000001c af022306 frag_off......#. 31450 0869705f 74746c00 00001687 02230808 .ip_ttl......#.. 31460 69705f70 726f746f 00000016 87022309 ip_proto......#. 31470 0869705f 63686563 6b000000 1caf0223 .ip_check......# 31480 0a086970 5f736164 64720000 00162002 ..ip_saddr.... . 31490 230c0869 705f6461 64647200 00001620 #..ip_daddr.... 314a0 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla 314b0 6e686472 00040000 1e140874 70696400 nhdr.......tpid. 314c0 00001caf 02230015 7072696f 00000016 .....#..prio.... 314d0 87010003 02230215 63666900 00001687 .....#..cfi..... 314e0 01030102 23021576 69640000 001caf02 ....#..vid...... 314f0 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v 31500 69640002 00001e45 15726573 00000016 id.....E.res.... 31510 87010004 02230015 76616c00 00001caf .....#..val..... 31520 02040c02 23000012 0c00001e 81087278 ....#.........rx 31530 5f627566 73697a65 00000016 20022300 _bufsize.... .#. 31540 0872785f 6e646573 63000000 16200223 .rx_ndesc.... .# 31550 04087478 5f6e6465 73630000 00162002 ..tx_ndesc.... . 31560 23080012 0800001e a708706f 6c6c6564 #.........polled 31570 0000001a 9a022300 08706f6c 6c5f7774 ......#..poll_wt 31580 00000016 20022304 000f0000 16874000 .... .#.......@. 31590 001eb410 3f001246 00001edc 0869665f ....?..F.....if_ 315a0 6e616d65 0000001e a7022300 08646576 name......#..dev 315b0 5f616464 72000000 1c6c0223 40001404 _addr....l.#@... 315c0 00001f13 0e414446 5f4f535f 444d415f .....ADF_OS_DMA_ 315d0 4d41534b 5f333242 49540000 0e414446 MASK_32BIT...ADF 315e0 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B 315f0 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma 31600 5f6d6173 6b5f7400 00001edc 07616466 _mask_t......adf 31610 5f646d61 5f696e66 6f000800 001f6008 _dma_info.....`. 31620 646d615f 6d61736b 0000001f 13022300 dma_mask......#. 31630 0873675f 6e736567 73000000 16200223 .sg_nsegs.... .# 31640 04001404 00001fb6 0e414446 5f4e4554 .........ADF_NET 31650 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD 31660 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ 31670 5544505f 49507634 00010e41 44465f4e UDP_IPv4...ADF_N 31680 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 31690 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net 316a0 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... 316b0 1f601208 00001ff9 0874785f 636b7375 .`.......tx_cksu 316c0 6d000000 1fb60223 00087278 5f636b73 m......#..rx_cks 316d0 756d0000 001fb602 23040009 6164665f um......#...adf_ 316e0 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t 316f0 0000001f d0140400 0020520e 4144465f ......... R.ADF_ 31700 4e45545f 54534f5f 4e4f4e45 00000e41 NET_TSO_NONE...A 31710 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. 31720 010e4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL 31730 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso 31740 5f747970 655f7400 00002013 12100000 _type_t... ..... 31750 20a60863 6b73756d 5f636170 0000001f ..cksum_cap.... 31760 f9022300 0874736f 00000020 52022308 ..#..tso... R.#. 31770 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. 31780 00001687 02230c00 12200000 213f0874 .....#... ..!?.t 31790 785f7061 636b6574 73000000 16200223 x_packets.... .# 317a0 00087278 5f706163 6b657473 00000016 ..rx_packets.... 317b0 20022304 0874785f 62797465 73000000 .#..tx_bytes... 317c0 16200223 08087278 5f627974 65730000 . .#..rx_bytes.. 317d0 00162002 230c0874 785f6472 6f707065 .. .#..tx_droppe 317e0 64000000 16200223 10087278 5f64726f d.... .#..rx_dro 317f0 70706564 00000016 20022314 0872785f pped.... .#..rx_ 31800 6572726f 72730000 00162002 23180874 errors.... .#..t 31810 785f6572 726f7273 00000016 2002231c x_errors.... .#. 31820 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd 31830 725f7400 00001c79 16000021 3f030000 r_t....y...!?... 31840 00216410 7f001761 64665f6e 65745f63 .!d....adf_net_c 31850 6d645f6d 63616464 72000304 0000219b md_mcaddr.....!. 31860 086e656c 656d0000 00162002 2300086d .nelem.... .#..m 31870 63617374 00000021 56022304 00096164 cast...!V.#...ad 31880 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i 31890 6e666f5f 74000000 1c130961 64665f6e nfo_t......adf_n 318a0 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info 318b0 5f740000 001e8109 6164665f 6e65745f _t......adf_net_ 318c0 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t 318d0 0000001f f9096164 665f6e65 745f636d ......adf_net_cm 318e0 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... 318f0 1e450961 64665f6e 65745f63 6d645f64 .E.adf_net_cmd_d 31900 6d615f69 6e666f5f 74000000 1f2a0961 ma_info_t....*.a 31910 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t 31920 0000001c af096164 665f6e65 745f636d ......adf_net_cm 31930 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. 31940 0000206a 09616466 5f6e6574 5f636d64 .. j.adf_net_cmd 31950 5f737461 74735f74 00000020 a6096164 _stats_t... ..ad 31960 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 31970 5f740000 0021640d 6164665f 6e65745f _t...!d.adf_net_ 31980 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... 31990 0022dd0e 4144465f 4e45545f 4d434153 ."..ADF_NET_MCAS 319a0 545f5355 5000000e 4144465f 4e45545f T_SUP...ADF_NET_ 319b0 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... 319c0 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas 319d0 745f6361 705f7400 00002295 18030400 t_cap_t..."..... 319e0 0023af08 6c696e6b 5f696e66 6f000000 .#..link_info... 319f0 219b0223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. 31a00 000021b8 02230008 636b7375 6d5f696e ..!..#..cksum_in 31a10 666f0000 0021d502 23000872 696e675f fo...!..#..ring_ 31a20 696e666f 00000021 f3022300 08646d61 info...!..#..dma 31a30 5f696e66 6f000000 22100223 00087669 _info..."..#..vi 31a40 64000000 222c0223 00086f66 666c6f61 d...",.#..offloa 31a50 645f6361 70000000 22430223 00087374 d_cap..."C.#..st 31a60 61747300 00002262 02230008 6d636173 ats..."b.#..mcas 31a70 745f696e 666f0000 00227b02 2300086d t_info..."{.#..m 31a80 63617374 5f636170 00000022 dd022300 cast_cap..."..#. 31a90 00140400 0024060e 4144465f 4e425546 .....$..ADF_NBUF 31aa0 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. 31ab0 0e414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 31ac0 554d5f48 5700010e 4144465f 4e425546 UM_HW...ADF_NBUF 31ad0 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE 31ae0 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu 31af0 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ 31b00 74000000 23af1208 00002446 08726573 t...#.....$F.res 31b10 756c7400 00002406 02230008 76616c00 ult...$..#..val. 31b20 00001620 02230400 12080000 24760874 ... .#......$v.t 31b30 79706500 00002052 02230008 6d737300 ype... R.#..mss. 31b40 00001caf 02230408 6864725f 6f666600 .....#..hdr_off. 31b50 00001687 02230600 075f5f61 64665f6e .....#...__adf_n 31b60 6275665f 71686561 64000c00 0024b508 buf_qhead....$.. 31b70 68656164 00000014 40022300 08746169 head....@.#..tai 31b80 6c000000 14400223 0408716c 656e0000 l....@.#..qlen.. 31b90 00162002 23080009 5f5f6164 665f6e62 .. .#...__adf_nb 31ba0 75665f74 00000014 40030000 16960400 uf_t....@....... 31bb0 03000016 20040002 01060000 13220106 .... ........".. 31bc0 00001620 01060000 16960106 00001696 ... ............ 31bd0 01030000 13030400 095f5f61 64665f6e .........__adf_n 31be0 6275665f 71686561 645f7400 00002476 buf_qhead_t...$v 31bf0 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu 31c00 655f7400 000024f6 03000025 0e040006 e_t...$....%.... 31c10 000024b5 01060000 24b50114 04000026 ..$.....$......& 31c20 2e0e415f 53544154 55535f4f 4b00000e ..A_STATUS_OK... 31c30 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. 31c40 010e415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN 31c50 5400020e 415f5354 41545553 5f454e4f T...A_STATUS_ENO 31c60 4d454d00 030e415f 53544154 55535f45 MEM...A_STATUS_E 31c70 494e5641 4c00040e 415f5354 41545553 INVAL...A_STATUS 31c80 5f45494e 50524f47 52455353 00050e41 _EINPROGRESS...A 31c90 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP 31ca0 00060e41 5f535441 5455535f 45425553 ...A_STATUS_EBUS 31cb0 5900070e 415f5354 41545553 5f453242 Y...A_STATUS_E2B 31cc0 49470008 0e415f53 54415455 535f4541 IG...A_STATUS_EA 31cd0 4444524e 4f544156 41494c00 090e415f DDRNOTAVAIL...A_ 31ce0 53544154 55535f45 4e58494f 000a0e41 STATUS_ENXIO...A 31cf0 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. 31d00 0e415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... 31d10 09615f73 74617475 735f7400 00002539 .a_status_t...%9 31d20 06000026 2e010600 00011b01 02010961 ...&...........a 31d30 64665f6e 6275665f 74000000 24b51404 df_nbuf_t...$... 31d40 00002693 0e414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ 31d50 544f5f44 45564943 4500000e 4144465f TO_DEVICE...ADF_ 31d60 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI 31d70 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma 31d80 5f646972 5f740000 00265c06 0000262e _dir_t...&\...&. 31d90 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama 31da0 705f696e 666f5f74 00000016 3d030000 p_info_t....=... 31db0 26b10400 02010201 06000026 4c010600 &..........&L... 31dc0 0024b501 02010201 06000026 4c010600 .$.........&L... 31dd0 0024b501 06000026 4c010600 0024b501 .$.....&L....$.. 31de0 06000026 4c010201 02010600 00162001 ...&L......... . 31df0 06000016 96010201 02010600 001b4d01 ..............M. 31e00 0600001a 9a010600 001a9a01 09616466 .............adf 31e10 5f6f735f 73676c69 73745f74 00000016 _os_sglist_t.... 31e20 d5030000 272a0400 02010201 02010600 ....'*.......... 31e30 00169601 09616466 5f6e6275 665f7175 .....adf_nbuf_qu 31e40 6575655f 74000000 250e0300 00275204 eue_t...%....'R. 31e50 00020103 000024f6 04000201 02010201 ......$......... 31e60 06000026 4c010600 0024b501 06000016 ...&L....$...... 31e70 20010600 00162001 0600001a 9a010600 ..... ......... 31e80 001a9a01 0600001f b6010600 00162001 .............. . 31e90 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks 31ea0 756d5f74 00000024 24030000 27ae0400 um_t...$$...'... 31eb0 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts 31ec0 6f5f7400 00002446 03000027 d2040002 o_t...$F...'.... 31ed0 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand 31ee0 6c655f74 00000004 10096164 665f6e65 le_t......adf_ne 31ef0 745f766c 616e6864 725f7400 00001dc2 t_vlanhdr_t..... 31f00 03000028 07040006 0000262e 01060000 ...(......&..... 31f10 262e0102 01020107 5f484946 5f434f4e &......._HIF_CON 31f20 46494700 04000028 56086475 6d6d7900 FIG....(V.dummy. 31f30 0000011b 02230000 02010300 00285604 .....#.......(V. 31f40 00020103 0000285f 0400075f 4849465f ......(_..._HIF_ 31f50 43414c4c 4241434b 000c0000 28b40873 CALLBACK....(..s 31f60 656e645f 6275665f 646f6e65 00000028 end_buf_done...( 31f70 58022300 08726563 765f6275 66000000 X.#..recv_buf... 31f80 28610223 0408636f 6e746578 74000000 (a.#..context... 31f90 04100223 08000968 69665f68 616e646c ...#...hif_handl 31fa0 655f7400 00000410 09484946 5f434f4e e_t......HIF_CON 31fb0 46494700 00002835 03000028 c6040006 FIG...(5...(.... 31fc0 000028b4 01030000 28dd0400 02010300 ..(.....(....... 31fd0 0028ea04 00094849 465f4341 4c4c4241 .(....HIF_CALLBA 31fe0 434b0000 00286803 000028f3 04000201 CK...(h...(..... 31ff0 03000029 0c040006 0000011b 01030000 ...)............ 32000 29150400 02010300 00292204 00060000 )........)"..... 32010 011b0103 0000292b 04000201 03000029 ......)+.......) 32020 38040006 0000011b 01030000 29410400 8...........)A.. 32030 02010300 00294e04 00076869 665f6170 .....)N...hif_ap 32040 69003800 002aa708 5f696e69 74000000 i.8..*.._init... 32050 28e30223 00085f73 68757464 6f776e00 (..#.._shutdown. 32060 000028ec 02230408 5f726567 69737465 ..(..#.._registe 32070 725f6361 6c6c6261 636b0000 00290e02 r_callback...).. 32080 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr 32090 65646974 5f636f75 6e740000 00291b02 edit_count...).. 320a0 230c085f 73746172 74000000 28ec0223 #.._start...(..# 320b0 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. 320c0 00292402 2314085f 73656e64 5f627566 .)$.#.._send_buf 320d0 66657200 00002931 02231808 5f726574 fer...)1.#.._ret 320e0 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) 320f0 3a02231c 085f6973 5f706970 655f7375 :.#.._is_pipe_su 32100 70706f72 74656400 00002947 02232008 pported...)G.# . 32110 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len 32120 00000029 47022324 085f6765 745f7265 ...)G.#$._get_re 32130 73657276 65645f68 65616472 6f6f6d00 served_headroom. 32140 0000291b 02232808 5f697372 5f68616e ..)..#(._isr_han 32150 646c6572 00000028 ec02232c 085f6765 dler...(..#,._ge 32160 745f6465 6661756c 745f7069 70650000 t_default_pipe.. 32170 00295002 23300870 52657365 72766564 .)P.#0.pReserved 32180 00000004 10022334 000d646d 615f656e ......#4..dma_en 32190 67696e65 00040000 2b300e44 4d415f45 gine....+0.DMA_E 321a0 4e47494e 455f5258 3000000e 444d415f NGINE_RX0...DMA_ 321b0 454e4749 4e455f52 58310001 0e444d41 ENGINE_RX1...DMA 321c0 5f454e47 494e455f 52583200 020e444d _ENGINE_RX2...DM 321d0 415f454e 47494e45 5f525833 00030e44 A_ENGINE_RX3...D 321e0 4d415f45 4e47494e 455f5458 3000040e MA_ENGINE_TX0... 321f0 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. 32200 0e444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. 32210 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. 32220 00002aa7 0d646d61 5f696674 79706500 ..*..dma_iftype. 32230 0400002b 7d0e444d 415f4946 5f474d41 ...+}.DMA_IF_GMA 32240 4300000e 444d415f 49465f50 43490001 C...DMA_IF_PCI.. 32250 0e444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... 32260 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ 32270 42060000 12f50103 00002b8f 04000201 B.........+..... 32280 0300002b 9c040002 01030000 2ba50400 ...+........+... 32290 06000009 27010300 002bae04 00060000 ....'....+...... 322a0 12f50103 00002bbb 04000600 0012f501 ......+......... 322b0 0300002b c8040006 00001440 01030000 ...+.......@.... 322c0 2bd50400 02010300 002be204 0007646d +........+....dm 322d0 615f6c69 625f6170 69003400 002ce908 a_lib_api.4..,.. 322e0 74785f69 6e697400 00002b95 02230008 tx_init...+..#.. 322f0 74785f73 74617274 0000002b 9e022304 tx_start...+..#. 32300 0872785f 696e6974 0000002b 95022308 .rx_init...+..#. 32310 0872785f 636f6e66 69670000 002ba702 .rx_config...+.. 32320 230c0872 785f7374 61727400 00002b9e #..rx_start...+. 32330 02231008 696e7472 5f737461 74757300 .#..intr_status. 32340 00002bb4 02231408 68617264 5f786d69 ..+..#..hard_xmi 32350 74000000 2bc10223 1808666c 7573685f t...+..#..flush_ 32360 786d6974 0000002b 9e02231c 08786d69 xmit...+..#..xmi 32370 745f646f 6e650000 002bce02 23200872 t_done...+..# .r 32380 6561705f 786d6974 74656400 00002bdb eap_xmitted...+. 32390 02232408 72656170 5f726563 76000000 .#$.reap_recv... 323a0 2bdb0223 28087265 7475726e 5f726563 +..#(.return_rec 323b0 76000000 2be40223 2c087265 63765f70 v...+..#,.recv_p 323c0 6b740000 002bce02 23300007 5f5f7063 kt...+..#0..__pc 323d0 695f736f 66746300 0c00002d 07087377 i_softc....-..sw 323e0 00000028 f3022300 00095f5f 7063695f ...(..#...__pci_ 323f0 736f6674 635f7400 00002ce9 0300002d softc_t...,....- 32400 07040002 01030000 2d210400 06000012 ........-!...... 32410 e1010300 002d2a04 000d6869 665f7063 .....-*...hif_pc 32420 695f7069 70655f74 78000400 002d8a0e i_pipe_tx....-.. 32430 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 32440 00000e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 32450 54583100 010e4849 465f5043 495f5049 TX1...HIF_PCI_PI 32460 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif 32470 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. 32480 002d3706 00002b30 01030000 2da10400 .-7...+0....-... 32490 0d686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 324a0 00040000 2e270e48 49465f50 43495f50 .....'.HIF_PCI_P 324b0 4950455f 52583000 000e4849 465f5043 IPE_RX0...HIF_PC 324c0 495f5049 50455f52 58310001 0e484946 I_PIPE_RX1...HIF 324d0 5f504349 5f504950 455f5258 3200020e _PCI_PIPE_RX2... 324e0 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 324f0 00030e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 32500 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc 32510 695f7069 70655f72 785f7400 00002dae i_pipe_rx_t...-. 32520 0600002b 30010300 002e3e04 00076869 ...+0.....>...hi 32530 665f7063 695f6170 69002400 002f1c08 f_pci_api.$../.. 32540 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... 32550 01140223 00087063 695f696e 69740000 ...#..pci_init.. 32560 0028e302 23040870 63695f72 65736574 .(..#..pci_reset 32570 00000001 14022308 08706369 5f656e61 ......#..pci_ena 32580 626c6500 00000114 02230c08 7063695f ble......#..pci_ 32590 72656170 5f786d69 74746564 0000002d reap_xmitted...- 325a0 23022310 08706369 5f726561 705f7265 #.#..pci_reap_re 325b0 63760000 002d2302 23140870 63695f67 cv...-#.#..pci_g 325c0 65745f70 69706500 00002d30 02231808 et_pipe...-0.#.. 325d0 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. 325e0 002da702 231c0870 63695f67 65745f72 .-..#..pci_get_r 325f0 785f656e 67000000 2e440223 20000767 x_eng....D.# ..g 32600 6d61635f 61706900 0400002f 4308676d mac_api..../C.gm 32610 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... 32620 14022300 000f0000 03280600 002f5010 ..#......(.../P. 32630 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ 32640 86086473 74000000 2f430223 00087372 ..dst.../C.#..sr 32650 63000000 2f430223 06086574 79706500 c.../C.#..etype. 32660 000012f5 02230c00 075f5f61 74686864 .....#...__athhd 32670 72000400 002fd415 72657300 000012e1 r..../..res..... 32680 01000202 23001570 726f746f 00000012 ....#..proto.... 32690 e1010206 02230008 7265735f 6c6f0000 .....#..res_lo.. 326a0 0012e102 23010872 65735f68 69000000 ....#..res_hi... 326b0 12f50223 0200075f 5f676d61 635f6864 ...#...__gmac_hd 326c0 72001400 00301008 65746800 00002f50 r....0..eth.../P 326d0 02230008 61746800 00002f86 02230e08 .#..ath.../..#.. 326e0 616c6967 6e5f7061 64000000 12f50223 align_pad......# 326f0 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. 32700 00002fd4 075f5f67 6d61635f 736f6674 ../..__gmac_soft 32710 63002400 00305a08 68647200 00003010 c.$..0Z.hdr...0. 32720 02230008 6772616e 00000012 f5022314 .#..gran......#. 32730 08737700 000028f3 02231800 075f415f .sw...(..#..._A_ 32740 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check 32750 00080000 30930876 65727369 6f6e0000 ....0..version.. 32760 00011b02 23000874 61626c65 00000001 ....#..table.... 32770 1b022304 00030000 305a0400 06000001 ..#.....0Z...... 32780 1b010300 00309a04 00030000 04130400 .....0.......... 32790 175f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire 327a0 6374696f 6e5f7461 626c6500 01b80000 ction_table..... 327b0 31ea0868 616c5f6c 696e6b61 67655f63 1..hal_linkage_c 327c0 6865636b 00000030 a0022300 08737461 heck...0..#..sta 327d0 72745f62 73730000 0030a702 23040861 rt_bss...0..#..a 327e0 70705f73 74617274 00000001 14022308 pp_start......#. 327f0 086d656d 00000004 5302230c 086d6973 .mem....S.#..mis 32800 63000000 05720223 20087072 696e7466 c....r.# .printf 32810 00000001 48022344 08756172 74000000 ....H.#D.uart... 32820 02110223 4c08676d 61630000 002f1c02 ...#L.gmac.../.. 32830 236c0875 73620000 000fa102 23700863 #l.usb......#p.c 32840 6c6f636b 0000000a ea0323e0 01087469 lock......#...ti 32850 6d657200 0000078a 03238402 08696e74 mer......#...int 32860 72000000 0c3a0323 98020861 6c6c6f63 r....:.#...alloc 32870 72616d00 00000942 0323c402 08726f6d ram....B.#...rom 32880 70000000 08340323 d0020877 64745f74 p....4.#...wdt_t 32890 696d6572 0000000e 170323e0 02086565 imer......#...ee 328a0 70000000 0f450323 fc020873 7472696e p....E.#...strin 328b0 67000000 06960323 8c030874 61736b6c g......#...taskl 328c0 65740000 000a3f03 23a40300 075f5553 et....?.#...._US 328d0 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... 328e0 00325d08 6765745f 636f6d6d 616e645f .2].get_command_ 328f0 62756600 0000144d 02230008 72656376 buf....M.#..recv 32900 5f636f6d 6d616e64 00000014 63022304 _command....c.#. 32910 08676574 5f657665 6e745f62 75660000 .get_event_buf.. 32920 00144d02 23080873 656e645f 6576656e ..M.#..send_even 32930 745f646f 6e650000 00146302 230c0009 t_done....c.#... 32940 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. 32950 000031ea 03000032 5d040002 01030000 ..1....2]....... 32960 32790400 07757362 6669666f 5f617069 2y...usbfifo_api 32970 000c0000 32cf085f 696e6974 00000032 ....2.._init...2 32980 7b022300 085f656e 61626c65 5f657665 {.#.._enable_eve 32990 6e745f69 73720000 00011402 23040870 nt_isr......#..p 329a0 52657365 72766564 00000004 10022308 Reserved......#. 329b0 000f0000 16870200 0032dc10 0100075f .........2....._ 329c0 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... 329d0 00334e08 456e6470 6f696e74 49440000 .3N.EndpointID.. 329e0 00168702 23000846 6c616773 00000016 ....#..Flags.... 329f0 87022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. 32a00 00001caf 02230208 436f6e74 726f6c42 .....#..ControlB 32a10 79746573 00000032 cf022304 08486f73 ytes...2..#..Hos 32a20 74536571 4e756d00 00001caf 02230600 tSeqNum......#.. 32a30 12020000 3367084d 65737361 67654944 ....3g.MessageID 32a40 0000001c af022300 00120800 0033ca08 ......#......3.. 32a50 4d657373 61676549 44000000 1caf0223 MessageID......# 32a60 00084372 65646974 436f756e 74000000 ..CreditCount... 32a70 1caf0223 02084372 65646974 53697a65 ...#..CreditSize 32a80 0000001c af022304 084d6178 456e6470 ......#..MaxEndp 32a90 6f696e74 73000000 16870223 06085f50 oints......#.._P 32aa0 61643100 00001687 02230700 120a0000 ad1......#...... 32ab0 3461084d 65737361 67654944 0000001c 4a.MessageID.... 32ac0 af022300 08536572 76696365 49440000 ..#..ServiceID.. 32ad0 001caf02 23020843 6f6e6e65 6374696f ....#..Connectio 32ae0 6e466c61 67730000 001caf02 23040844 nFlags......#..D 32af0 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... 32b00 16870223 06085570 4c696e6b 50697065 ...#..UpLinkPipe 32b10 49440000 00168702 23070853 65727669 ID......#..Servi 32b20 63654d65 74614c65 6e677468 00000016 ceMetaLength.... 32b30 87022308 085f5061 64310000 00168702 ..#.._Pad1...... 32b40 23090012 0a000034 e9084d65 73736167 #......4..Messag 32b50 65494400 00001caf 02230008 53657276 eID......#..Serv 32b60 69636549 44000000 1caf0223 02085374 iceID......#..St 32b70 61747573 00000016 87022304 08456e64 atus......#..End 32b80 706f696e 74494400 00001687 02230508 pointID......#.. 32b90 4d61784d 73675369 7a650000 001caf02 MaxMsgSize...... 32ba0 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe 32bb0 6e677468 00000016 87022308 085f5061 ngth......#.._Pa 32bc0 64310000 00168702 23090012 02000035 d1......#......5 32bd0 02084d65 73736167 65494400 00001caf ..MessageID..... 32be0 02230000 12040000 353e084d 65737361 .#......5>.Messa 32bf0 67654944 0000001c af022300 08506970 geID......#..Pip 32c00 65494400 00001687 02230208 43726564 eID......#..Cred 32c10 6974436f 756e7400 00001687 02230300 itCount......#.. 32c20 12040000 3575084d 65737361 67654944 ....5u.MessageID 32c30 0000001c af022300 08506970 65494400 ......#..PipeID. 32c40 00001687 02230208 53746174 75730000 .....#..Status.. 32c50 00168702 23030012 02000035 9c085265 ....#......5..Re 32c60 636f7264 49440000 00168702 2300084c cordID......#..L 32c70 656e6774 68000000 16870223 01001202 ength......#.... 32c80 000035c6 08456e64 706f696e 74494400 ..5..EndpointID. 32c90 00001687 02230008 43726564 69747300 .....#..Credits. 32ca0 00001687 02230100 12040000 36070845 .....#......6..E 32cb0 6e64706f 696e7449 44000000 16870223 ndpointID......# 32cc0 00084372 65646974 73000000 16870223 ..Credits......# 32cd0 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo 32ce0 0000001c af022302 000f0000 16870400 ......#......... 32cf0 00361410 03001206 00003650 08507265 .6........6P.Pre 32d00 56616c69 64000000 16870223 00084c6f Valid......#..Lo 32d10 6f6b4168 65616400 00003607 02230108 okAhead...6..#.. 32d20 506f7374 56616c69 64000000 16870223 PostValid......# 32d30 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t 32d40 00000004 10060000 36500103 00003663 ........6P....6c 32d50 04000201 03000036 70040014 04000036 .......6p......6 32d60 ee0e504f 4f4c5f49 445f4854 435f434f ..POOL_ID_HTC_CO 32d70 4e54524f 4c00000e 504f4f4c 5f49445f NTROL...POOL_ID_ 32d80 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL 32d90 5900010e 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ 32da0 5356435f 4556454e 5400020e 504f4f4c SVC_EVENT...POOL 32db0 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. 32dc0 030e504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... 32dd0 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 32de0 79020103 000036ff 04000600 00264c01 y.....6......&L. 32df0 03000037 08040006 0000264c 01030000 ...7......&L.... 32e00 37150400 02010300 00372204 00076275 7........7"...bu 32e10 665f706f 6f6c5f61 7069001c 000037c4 f_pool_api....7. 32e20 085f696e 69740000 00366902 2300085f ._init...6i.#.._ 32e30 73687574 646f776e 00000036 72022304 shutdown...6r.#. 32e40 085f6372 65617465 5f706f6f 6c000000 ._create_pool... 32e50 37010223 08085f61 6c6c6f63 5f627566 7..#.._alloc_buf 32e60 00000037 0e02230c 085f616c 6c6f635f ...7..#.._alloc_ 32e70 6275665f 616c6967 6e000000 371b0223 buf_align...7..# 32e80 10085f66 7265655f 62756600 00003724 .._free_buf...7$ 32e90 02231408 70526573 65727665 64000000 .#..pReserved... 32ea0 04100223 1800075f 4854435f 53455256 ...#..._HTC_SERV 32eb0 49434500 1c000038 a308704e 65787400 ICE....8..pNext. 32ec0 000038a3 02230008 50726f63 65737352 ..8..#..ProcessR 32ed0 6563764d 73670000 00395802 23040850 ecvMsg...9X.#..P 32ee0 726f6365 73735365 6e644275 66666572 rocessSendBuffer 32ef0 436f6d70 6c657465 00000039 61022308 Complete...9a.#. 32f00 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. 32f10 00003975 02230c08 53657276 69636549 ..9u.#..ServiceI 32f20 44000000 12f50223 10085365 72766963 D......#..Servic 32f30 65466c61 67730000 0012f502 2312084d eFlags......#..M 32f40 61785376 634d7367 53697a65 00000012 axSvcMsgSize.... 32f50 f5022314 08547261 696c6572 53706343 ..#..TrailerSpcC 32f60 6865636b 4c696d69 74000000 12f50223 heckLimit......# 32f70 16085365 72766963 65437478 00000004 ..ServiceCtx.... 32f80 10022318 00030000 37c40400 14040000 ..#.....7....... 32f90 39411945 4e44504f 494e545f 554e5553 9A.ENDPOINT_UNUS 32fa0 454400ff ffffff0e 454e4450 4f494e54 ED......ENDPOINT 32fb0 3000000e 454e4450 4f494e54 3100010e 0...ENDPOINT1... 32fc0 454e4450 4f494e54 3200020e 454e4450 ENDPOINT2...ENDP 32fd0 4f494e54 3300030e 454e4450 4f494e54 OINT3...ENDPOINT 32fe0 3400040e 454e4450 4f494e54 3500050e 4...ENDPOINT5... 32ff0 454e4450 4f494e54 3600060e 454e4450 ENDPOINT6...ENDP 33000 4f494e54 3700070e 454e4450 4f494e54 OINT7...ENDPOINT 33010 3800080e 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX 33020 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT 33030 5f494400 000038aa 02010300 00395604 _ID...8......9V. 33040 00020103 0000395f 04000300 00011b04 ......9_........ 33050 00060000 12e10103 0000396f 04000300 ..........9o.... 33060 0037c404 00075f48 54435f43 4f4e4649 .7...._HTC_CONFI 33070 47001400 0039f408 43726564 69745369 G....9..CreditSi 33080 7a650000 00011b02 23000843 72656469 ze......#..Credi 33090 744e756d 62657200 0000011b 02230408 tNumber......#.. 330a0 4f534861 6e646c65 0000001a 4b022308 OSHandle....K.#. 330b0 08484946 48616e64 6c650000 0028b402 .HIFHandle...(.. 330c0 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... 330d0 36500223 1000075f 4854435f 4255465f 6P.#..._HTC_BUF_ 330e0 434f4e54 45585400 0200003a 3008656e CONTEXT....:0.en 330f0 645f706f 696e7400 000012e1 02230008 d_point......#.. 33100 6874635f 666c6167 73000000 12e10223 htc_flags......# 33110 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. 33120 00000410 09485443 5f534554 55505f43 .....HTC_SETUP_C 33130 4f4d504c 4554455f 43420000 00011409 OMPLETE_CB...... 33140 4854435f 434f4e46 49470000 00398303 HTC_CONFIG...9.. 33150 00003a5d 04000600 003a3001 0300003a ..:].....:0....: 33160 74040002 01030000 3a810400 09485443 t.......:....HTC 33170 5f534552 56494345 00000037 c4030000 _SERVICE...7.... 33180 3a8a0400 02010300 003aa204 00020103 :........:...... 33190 00003aab 04000201 0300003a b4040006 ..:........:.... 331a0 0000011b 01030000 3abd0400 07687463 ........:....htc 331b0 5f617069 73003400 003c3a08 5f485443 _apis.4..<:._HTC 331c0 5f496e69 74000000 3a7a0223 00085f48 _Init...:z.#.._H 331d0 54435f53 68757464 6f776e00 00003a83 TC_Shutdown...:. 331e0 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe 331f0 72536572 76696365 0000003a a4022308 rService...:..#. 33200 085f4854 435f5265 61647900 00003a83 ._HTC_Ready...:. 33210 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 33220 75666665 72730000 003aad02 2310085f uffers...:..#.._ 33230 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 33240 734c6973 74000000 3ab60223 14085f48 sList...:..#.._H 33250 54435f53 656e644d 73670000 003aad02 TC_SendMsg...:.. 33260 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser 33270 76656448 65616472 6f6f6d00 00003ac3 vedHeadroom...:. 33280 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv 33290 48616e64 6c657200 00002861 02232008 Handler...(a.# . 332a0 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan 332b0 646c6572 00000028 58022324 085f4854 dler...(X.#$._HT 332c0 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc 332d0 6573734d 73670000 00395802 2328085f essMsg...9X.#(._ 332e0 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr 332f0 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet 33300 65000000 39610223 2c087052 65736572 e...9a.#,.pReser 33310 76656400 00000410 02233000 07686f73 ved......#0..hos 33320 745f6170 705f6172 65615f73 00040000 t_app_area_s.... 33330 3c6a0877 6d695f70 726f746f 636f6c5f ..tupleNumL... 334d0 1caf0223 00087475 706c654e 756d4800 ...#..tupleNumH. 334e0 00001caf 02230208 61767400 00003dce .....#..avt...=. 334f0 02230400 12010000 3e340862 6561636f .#......>4.beaco 33500 6e50656e 64696e67 436f756e 74000000 nPendingCount... 33510 16870223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ 33520 434f4e46 49470010 00003e9d 08487463 CONFIG....>..Htc 33530 48616e64 6c650000 003a3002 23000850 Handle...:0.#..P 33540 6f6f6c48 616e646c 65000000 36500223 oolHandle...6P.# 33550 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt 33560 73000000 011b0223 08084d61 78457665 s......#..MaxEve 33570 6e744576 74730000 00011b02 230c0002 ntEvts......#... 33580 01030000 3e9d0400 09574d49 5f434d44 ....>....WMI_CMD 33590 5f48414e 444c4552 0000003e 9f075f57 _HANDLER...>.._W 335a0 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR 335b0 59000800 003f0608 70436d64 48616e64 Y....?..pCmdHand 335c0 6c657200 00003ea6 02230008 436d6449 ler...>..#..CmdI 335d0 44000000 12f50223 0408466c 61677300 D......#..Flags. 335e0 000012f5 02230600 075f574d 495f4449 .....#..._WMI_DI 335f0 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... 33600 3f670870 4e657874 0000003f 67022300 ?g.pNext...?g.#. 33610 0870436f 6e746578 74000000 04100223 .pContext......# 33620 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie 33630 73000000 011b0223 08087054 61626c65 s......#..pTable 33640 0000003f 8602230c 00030000 3f060400 ...?..#.....?... 33650 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN 33660 54525900 00003ebb 0300003f 6e040003 TRY...>....?n... 33670 00003f06 04000948 54435f42 55465f43 ..?....HTC_BUF_C 33680 4f4e5445 58540000 0039f40d 574d495f ONTEXT...9..WMI_ 33690 4556545f 434c4153 53000400 00401e19 EVT_CLASS....@.. 336a0 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO 336b0 4e4500ff ffffff0e 574d495f 4556545f NE......WMI_EVT_ 336c0 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. 336d0 000e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 336e0 434d445f 5245504c 5900010e 574d495f CMD_REPLY...WMI_ 336f0 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... 33700 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. 33710 003fa907 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON 33720 54455854 000c0000 407c0848 74634275 TEXT....@|.HtcBu 33730 66437478 0000003f 94022300 08457665 fCtx...?..#..Eve 33740 6e74436c 61737300 0000401e 02230408 ntClass...@..#.. 33750 466c6167 73000000 12f50223 08000977 Flags......#...w 33760 6d695f68 616e646c 655f7400 00000410 mi_handle_t..... 33770 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. 33780 00003e34 03000040 8e040006 0000407c ..>4...@......@| 33790 01030000 40a90400 09574d49 5f444953 ....@....WMI_DIS 337a0 50415443 485f5441 424c4500 00003f06 PATCH_TABLE...?. 337b0 03000040 b6040002 01030000 40d50400 ...@........@... 337c0 06000026 4c010300 0040de04 00020103 ...&L....@...... 337d0 000040eb 04000600 00011b01 03000040 ..@............@ 337e0 f4040002 01030000 41010400 06000012 ........A....... 337f0 e1010300 00410a04 00075f77 6d695f73 .....A...._wmi_s 33800 76635f61 70697300 2c000042 52085f57 vc_apis.,..BR._W 33810 4d495f49 6e697400 000040af 02230008 MI_Init...@..#.. 33820 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis 33830 70617463 68546162 6c650000 0040d702 patchTable...@.. 33840 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve 33850 6e740000 0040e402 2308085f 574d495f nt...@..#.._WMI_ 33860 53656e64 4576656e 74000000 40ed0223 SendEvent...@..# 33870 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin 33880 67457665 6e747343 6f756e74 00000040 gEventsCount...@ 33890 fa022310 085f574d 495f5365 6e64436f ..#.._WMI_SendCo 338a0 6d706c65 74654861 6e646c65 72000000 mpleteHandler... 338b0 39610223 14085f57 4d495f47 6574436f 9a.#.._WMI_GetCo 338c0 6e74726f 6c457000 000040fa 02231808 ntrolEp...@..#.. 338d0 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... 338e0 41030223 1c085f57 4d495f52 6563764d A..#.._WMI_RecvM 338f0 65737361 67654861 6e646c65 72000000 essageHandler... 33900 39580223 20085f57 4d495f53 65727669 9X.# ._WMI_Servi 33910 6365436f 6e6e6563 74000000 41100223 ceConnect...A..# 33920 24087052 65736572 76656400 00000410 $.pReserved..... 33930 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. 33940 000042d4 08637472 6c000000 017f0223 ..B..ctrl......# 33950 00087374 61747573 00000001 7f022302 ..status......#. 33960 08746f74 616c4c65 6e000000 017f0223 .totalLen......# 33970 04086461 74615369 7a650000 00017f02 ..dataSize...... 33980 2306086c 61737441 64647200 000042d4 #..lastAddr...B. 33990 02230808 64617461 41646472 00000001 .#..dataAddr.... 339a0 a302230c 086e6578 74416464 72000000 ..#..nextAddr... 339b0 42d40223 10000300 00425204 00030000 B..#.....BR..... 339c0 42520400 077a7344 6d615175 65756500 BR...zsDmaQueue. 339d0 08000043 14086865 61640000 0042db02 ...C..head...B.. 339e0 23000874 65726d69 6e61746f 72000000 #..terminator... 339f0 42db0223 0400077a 73547844 6d615175 B..#...zsTxDmaQu 33a00 65756500 10000043 78086865 61640000 eue....Cx.head.. 33a10 0042db02 23000874 65726d69 6e61746f .B..#..terminato 33a20 72000000 42db0223 0408786d 69746564 r...B..#..xmited 33a30 5f627566 5f686561 64000000 14400223 _buf_head....@.# 33a40 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai 33a50 6c000000 14400223 0c000201 03000043 l....@.#.......C 33a60 78040003 000042e2 04000201 03000043 x.....B........C 33a70 88040003 00004314 04000201 03000043 ......C........C 33a80 98040002 01030000 43a10400 02010300 ........C....... 33a90 0043aa04 00060000 14400103 000043b3 .C.......@....C. 33aa0 04000201 03000043 c0040006 00001440 .......C.......@ 33ab0 01030000 43c90400 02010300 0043d604 ....C........C.. 33ac0 00060000 011b0103 000043df 04000600 ..........C..... 33ad0 0042db01 03000043 ec040002 01030000 .B.....C........ 33ae0 43f90400 07646d61 5f656e67 696e655f C....dma_engine_ 33af0 61706900 40000045 6f085f69 6e697400 api.@..Eo._init. 33b00 0000437a 02230008 5f696e69 745f7278 ..Cz.#.._init_rx 33b10 5f717565 75650000 00438a02 2304085f _queue...C..#.._ 33b20 696e6974 5f74785f 71756575 65000000 init_tx_queue... 33b30 439a0223 08085f63 6f6e6669 675f7278 C..#.._config_rx 33b40 5f717565 75650000 0043a302 230c085f _queue...C..#.._ 33b50 786d6974 5f627566 00000043 ac022310 xmit_buf...C..#. 33b60 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C 33b70 8a022314 085f7265 61705f72 6563765f ..#.._reap_recv_ 33b80 62756600 000043b9 02231808 5f726574 buf...C..#.._ret 33b90 75726e5f 72656376 5f627566 00000043 urn_recv_buf...C 33ba0 c202231c 085f7265 61705f78 6d697465 ..#.._reap_xmite 33bb0 645f6275 66000000 43cf0223 20085f73 d_buf...C..# ._s 33bc0 7761705f 64617461 00000043 d8022324 wap_data...C..#$ 33bd0 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack 33be0 65747300 000043e5 02232808 5f646573 ets...C..#(._des 33bf0 635f6475 6d700000 00438a02 232c085f c_dump...C..#,._ 33c00 6765745f 7061636b 65740000 0043f202 get_packet...C.. 33c10 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack 33c20 65740000 0043fb02 2334085f 7075745f et...C..#4._put_ 33c30 7061636b 65740000 0043fb02 23380870 packet...C..#8.p 33c40 52657365 72766564 00000004 1002233c Reserved......#< 33c50 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 33c60 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. 33c70 0030ae09 574d495f 5356435f 41504953 .0..WMI_SVC_APIS 33c80 00000041 17175f41 5f6d6167 7069655f ...A.._A_magpie_ 33c90 696e6469 72656374 696f6e5f 7461626c indirection_tabl 33ca0 6500034c 0000469d 08636d6e 6f730000 e..L..F..cmnos.. 33cb0 00456f02 23000864 62670000 0003dd03 .Eo.#..dbg...... 33cc0 23b80308 68696600 00002957 0323c003 #...hif...)W.#.. 33cd0 08687463 0000003a ca0323f8 0308776d .htc...:..#...wm 33ce0 695f7376 635f6170 69000000 45910323 i_svc_api...E..# 33cf0 ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. 33d00 00328203 23d80408 6275665f 706f6f6c .2..#...buf_pool 33d10 00000037 2b0323e4 04087662 75660000 ...7+.#...vbuf.. 33d20 00146a03 23800508 76646573 63000000 ..j.#...vdesc... 33d30 134c0323 94050861 6c6c6f63 72616d00 .L.#...allocram. 33d40 00000942 0323a805 08646d61 5f656e67 ...B.#...dma_eng 33d50 696e6500 00004402 0323b405 08646d61 ine...D..#...dma 33d60 5f6c6962 0000002b eb0323f4 05086869 _lib...+..#...hi 33d70 665f7063 69000000 2e4b0323 a8060009 f_pci....K.#.... 33d80 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 33d90 6374696f 6e5f7461 626c655f 74000000 ction_table_t... 33da0 45a3075f 7461736b 6c65745f 636f6e74 E.._tasklet_cont 33db0 65787400 04000046 ef087363 68656475 ext....F..schedu 33dc0 6c655f74 61736b73 0000000a 26022300 le_tasks....&.#. 33dd0 00097461 736b6c65 745f636f 6e746578 ..tasklet_contex 33de0 74000000 46c01a67 5f746173 6b6c6574 t...F..g_tasklet 33df0 5f637478 00000046 ef050300 500a3003 _ctx...F....P.0. 33e00 00000a3f 04000201 1b011163 6d6e6f73 ...?.......cmnos 33e10 5f746173 6b6c6574 5f696e69 74000101 _tasklet_init... 33e20 03920120 02900000 8e2b5000 8e2b5c1c ... .....+P..+\. 33e30 0118636d 6e6f735f 7461736b 6c65745f ..cmnos_tasklet_ 33e40 696e6974 5f746173 6b000101 03920120 init_task...... 33e50 02900000 8e2b5c00 8e2b6b00 0047a81d .....+\..+k..G.. 33e60 0118666e 00000009 af01521d 01186172 ..fn......R...ar 33e70 67000000 04100153 1d011874 61736b6c g......S...taskl 33e80 65740000 000a2601 54001c01 21636d6e et....&.T...!cmn 33e90 6f735f74 61736b6c 65745f73 63686564 os_tasklet_sched 33ea0 756c6500 01010392 01200290 00008e2b ule...... .....+ 33eb0 6c008e2b 85000047 e91d0121 7461736b l..+...G...!task 33ec0 6c657400 00000a26 0152001c 0131636d let....&.R...1cm 33ed0 6e6f735f 7461736b 6c65745f 64697361 nos_tasklet_disa 33ee0 626c6500 01010392 01200290 00008e2b ble...... .....+ 33ef0 88008e2b b9000048 3c1d0131 7461736b ...+...H<..1task 33f00 6c657400 00000a26 01521e70 72657600 let....&.R.prev. 33f10 00000a26 1e746d70 0000000a 26001c01 ...&.tmp....&... 33f20 4d636d6e 6f735f74 61736b6c 65745f72 Mcmnos_tasklet_r 33f30 756e0001 01039201 20029000 008e2bbc un...... .....+. 33f40 008e2be4 00004870 1e746d70 0000000a ..+...Hp.tmp.... 33f50 26001f01 61636d6e 6f735f74 61736b6c &...acmnos_taskl 33f60 65745f6d 6f64756c 655f696e 7374616c et_module_instal 33f70 6c000101 03920120 02900000 8e2be400 l...... .....+.. 33f80 8e2c021d 01617462 6c000000 471d0152 .,...atbl...G..R 33f90 00000000 00496f00 02000013 0804012f .....Io......../ 33fa0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 33fb0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 33fc0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 33fd0 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 33fe0 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 33ff0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 34000 6f732f74 696d6572 2f737263 2f636d6e os/timer/src/cmn 34010 6f735f74 696d6572 2e63002f 726f6f74 os_timer.c./root 34020 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 34030 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 34040 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 34050 6e6f732f 74696d65 72007874 2d786363 nos/timer.xt-xcc 34060 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: 34070 616c6967 6e5f696e 73747275 6374696f align_instructio 34080 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O 34090 50543a73 70616365 00010000 00b6f502 PT:space........ 340a0 01030000 010c0400 04696e74 00050404 .........int.... 340b0 63686172 00070105 0000011c 05000001 char............ 340c0 1c030000 01290400 06000001 15010300 .....).......... 340d0 00013504 00077072 696e7466 5f617069 ..5...printf_api 340e0 00080000 0179085f 7072696e 74665f69 .....y._printf_i 340f0 6e697400 0000010e 02230008 5f707269 nit......#.._pri 34100 6e746600 0000013b 02230400 0473686f ntf....;.#...sho 34110 72742075 6e736967 6e656420 696e7400 rt unsigned int. 34120 07020975 696e7431 365f7400 00000179 ...uint16_t....y 34130 046c6f6e 6720756e 7369676e 65642069 .long unsigned i 34140 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. 34150 00019d07 75617274 5f666966 6f000800 ....uart_fifo... 34160 00020b08 73746172 745f696e 64657800 ....start_index. 34170 0000018f 02230008 656e645f 696e6465 .....#..end_inde 34180 78000000 018f0223 02086f76 65727275 x......#..overru 34190 6e5f6572 72000000 01b20223 04000775 n_err......#...u 341a0 6172745f 61706900 20000002 c4085f75 art_api. ....._u 341b0 6172745f 696e6974 00000003 1b022300 art_init......#. 341c0 085f7561 72745f63 6861725f 70757400 ._uart_char_put. 341d0 00000342 02230408 5f756172 745f6368 ...B.#.._uart_ch 341e0 61725f67 65740000 00035602 2308085f ar_get....V.#.._ 341f0 75617274 5f737472 5f6f7574 00000003 uart_str_out.... 34200 5f02230c 085f7561 72745f74 61736b00 _.#.._uart_task. 34210 0000010e 02231008 5f756172 745f7374 .....#.._uart_st 34220 61747573 00000003 1b022314 085f7561 atus......#.._ua 34230 72745f63 6f6e6669 67000000 03680223 rt_config....h.# 34240 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. 34250 00037102 231c0003 0000020b 04000775 ..q.#..........u 34260 6172745f 626c6b00 10000003 15086465 art_blk.......de 34270 6275675f 6d6f6465 00000001 8f022300 bug_mode......#. 34280 08626175 64000000 018f0223 02085f75 .baud......#.._u 34290 61727400 000002c4 02230408 5f747800 art......#.._tx. 342a0 000001c0 02230800 06000001 b2010300 .....#.......... 342b0 00031504 0004756e 7369676e 65642063 ......unsigned c 342c0 68617200 07010975 696e7438 5f740000 har....uint8_t.. 342d0 00032202 01030000 03400400 03000003 .."......@...... 342e0 33040006 0000018f 01030000 03500400 3............P.. 342f0 02010300 00035d04 00020103 00000366 ......]........f 34300 04000201 03000003 6f040003 0000011c ........o....... 34310 04000600 00011501 03000003 7f040007 ................ 34320 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC 34330 54000c00 0003d708 636d645f 73747200 T.......cmd_str. 34340 00000378 02230008 68656c70 5f737472 ...x.#..help_str 34350 00000003 78022304 08636d64 5f66756e ....x.#..cmd_fun 34360 63000000 03850223 08000764 62675f61 c......#...dbg_a 34370 70690008 0000040a 085f6462 675f696e pi......._dbg_in 34380 69740000 00010e02 2300085f 6462675f it......#.._dbg_ 34390 7461736b 00000001 0e022304 000a0400 task......#..... 343a0 04756e73 69676e65 6420696e 74000704 .unsigned int... 343b0 06000004 0a010300 00041d04 000b0b03 ................ 343c0 0000042b 04000600 00040a01 03000004 ...+............ 343d0 33040006 00000115 01030000 04400400 3............@.. 343e0 076d656d 5f617069 00140000 04af085f .mem_api......._ 343f0 6d656d5f 696e6974 00000001 0e022300 mem_init......#. 34400 085f6d65 6d736574 00000004 23022304 ._memset....#.#. 34410 085f6d65 6d637079 00000004 39022308 ._memcpy....9.#. 34420 085f6d65 6d6d6f76 65000000 04390223 ._memmove....9.# 34430 0c085f6d 656d636d 70000000 04460223 .._memcmp....F.# 34440 10000c72 65676973 7465725f 64756d70 ...register_dump 34450 5f730000 01030000 04af0400 02010300 _s.............. 34460 0004c904 00020103 000004d2 04000600 ................ 34470 00011501 03000004 db04000d 686f7374 ............host 34480 69665f73 00040000 05370e48 49465f55 if_s.....7.HIF_U 34490 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... 344a0 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P 344b0 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H 344c0 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS 344d0 54494600 000004e8 06000005 37010300 TIF.........7... 344e0 00054504 00060000 03330103 00000552 ..E......3.....R 344f0 04000600 00018f01 03000005 5f040007 ............_... 34500 6d697363 5f617069 00240000 064f085f misc_api.$...O._ 34510 73797374 656d5f72 65736574 00000001 system_reset.... 34520 0e022300 085f6d61 635f7265 73657400 ..#.._mac_reset. 34530 0000010e 02230408 5f617373 6661696c .....#.._assfail 34540 00000004 cb022308 085f6d69 73616c69 ......#.._misali 34550 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle 34560 72000000 04cb0223 0c085f72 65706f72 r......#.._repor 34570 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos 34580 74000000 04d40223 10085f74 61726765 t......#.._targe 34590 745f6964 5f676574 00000004 e1022314 t_id_get......#. 345a0 085f6973 5f686f73 745f7072 6573656e ._is_host_presen 345b0 74000000 054b0223 18085f6b 62686974 t....K.#.._kbhit 345c0 00000005 5802231c 085f726f 6d5f7665 ....X.#.._rom_ve 345d0 7273696f 6e5f6765 74000000 05650223 rsion_get....e.# 345e0 20000600 00037801 03000006 4f040006 .....x.....O... 345f0 00000378 01030000 065c0400 06000001 ...x.....\...... 34600 15010300 00066904 00060000 01150103 ......i......... 34610 00000676 04000600 00011501 03000006 ...v............ 34620 83040007 73747269 6e675f61 70690018 ....string_api.. 34630 00000709 085f7374 72696e67 5f696e69 ....._string_ini 34640 74000000 010e0223 00085f73 74726370 t......#.._strcp 34650 79000000 06550223 04085f73 74726e63 y....U.#.._strnc 34660 70790000 00066202 2308085f 7374726c py....b.#.._strl 34670 656e0000 00066f02 230c085f 73747263 en....o.#.._strc 34680 6d700000 00067c02 2310085f 7374726e mp....|.#.._strn 34690 636d7000 00000689 02231400 0f000004 cmp......#...... 346a0 0d140000 07161004 00095f41 5f54494d .........._A_TIM 346b0 45525f53 50414345 00000007 0909415f ER_SPACE......A_ 346c0 74696d65 725f7400 00000716 03000007 timer_t......... 346d0 2a040002 01030000 07400400 02010300 *........@...... 346e0 00074904 0009415f 48414e44 4c450000 ..I...A_HANDLE.. 346f0 00040d02 0109415f 54494d45 525f4655 ......A_TIMER_FU 34700 4e430000 00076003 00000762 04000201 NC....`....b.... 34710 03000007 7b040007 74696d65 725f6170 ....{...timer_ap 34720 69001400 0007fa08 5f74696d 65725f69 i......._timer_i 34730 6e697400 0000010e 02230008 5f74696d nit......#.._tim 34740 65725f61 726d0000 00074202 2304085f er_arm....B.#.._ 34750 74696d65 725f6469 7361726d 00000007 timer_disarm.... 34760 4b022308 085f7469 6d65725f 73657466 K.#.._timer_setf 34770 6e000000 077d0223 0c085f74 696d6572 n....}.#.._timer 34780 5f72756e 00000001 0e022310 0009424f _run......#...BO 34790 4f4c4541 4e000000 018f0600 0007fa01 OLEAN........... 347a0 03000008 07040006 000007fa 01030000 ................ 347b0 08140400 06000007 fa010300 00082104 ..............!. 347c0 0007726f 6d705f61 70690010 00000893 ..romp_api...... 347d0 085f726f 6d705f69 6e697400 0000010e ._romp_init..... 347e0 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo 347f0 61640000 00080d02 2304085f 726f6d70 ad......#.._romp 34800 5f696e73 74616c6c 00000008 1a022308 _install......#. 34810 085f726f 6d705f64 65636f64 65000000 ._romp_decode... 34820 08270223 0c000772 6f6d5f70 61746368 .'.#...rom_patch 34830 5f737400 10000008 ef086372 63313600 _st.......crc16. 34840 0000018f 02230008 6c656e00 0000018f .....#..len..... 34850 02230208 6c645f61 64647200 000001b2 .#..ld_addr..... 34860 02230408 66756e5f 61646472 00000001 .#..fun_addr.... 34870 b2022308 08706675 6e000000 03490223 ..#..pfun....I.# 34880 0c000765 65705f72 65646972 5f616464 ...eep_redir_add 34890 72000400 00092108 6f666673 65740000 r.....!.offset.. 348a0 00018f02 23000873 697a6500 0000018f ....#..size..... 348b0 02230200 09415f55 494e5433 32000000 .#...A_UINT32... 348c0 040d0600 00040a01 03000009 2f040007 ............/... 348d0 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... 348e0 09a00863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra 348f0 6d5f696e 69740000 00093502 23000863 m_init....5.#..c 34900 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... 34910 09350223 0408636d 6e6f735f 616c6c6f .5.#..cmnos_allo 34920 6372616d 5f646562 75670000 00010e02 cram_debug...... 34930 23080002 01030000 09a00400 09415f54 #............A_T 34940 41534b4c 45545f46 554e4300 000009a2 ASKLET_FUNC..... 34950 075f7461 736b6c65 74001000 000a0108 ._tasklet....... 34960 66756e63 00000009 a9022300 08617267 func......#..arg 34970 00000004 0a022304 08737461 74650000 ......#..state.. 34980 00011502 2308086e 65787400 00000a01 ....#..next..... 34990 02230c00 03000009 bd040003 000009bd .#.............. 349a0 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. 349b0 0009bd03 00000a0f 04000201 0300000a ................ 349c0 27040002 01030000 0a300400 07746173 '........0...tas 349d0 6b6c6574 5f617069 00140000 0ac5085f klet_api......._ 349e0 7461736b 6c65745f 696e6974 00000001 tasklet_init.... 349f0 0e022300 085f7461 736b6c65 745f696e ..#.._tasklet_in 34a00 69745f74 61736b00 00000a29 02230408 it_task....).#.. 34a10 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable 34a20 0000000a 32022308 085f7461 736b6c65 ....2.#.._taskle 34a30 745f7363 68656475 6c650000 000a3202 t_schedule....2. 34a40 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. 34a50 0000010e 02231000 02010300 000ac504 .....#.......... 34a60 00060000 09210103 00000ace 04000201 .....!.......... 34a70 0300000a db040007 636c6f63 6b5f6170 ........clock_ap 34a80 69002400 000bbd08 5f636c6f 636b5f69 i.$....._clock_i 34a90 6e697400 00000ac7 02230008 5f636c6f nit......#.._clo 34aa0 636b7265 67735f69 6e697400 0000010e ckregs_init..... 34ab0 02230408 5f756172 745f6672 65717565 .#.._uart_freque 34ac0 6e637900 00000ad4 02230808 5f64656c ncy......#.._del 34ad0 61795f75 73000000 0add0223 0c085f77 ay_us......#.._w 34ae0 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... 34af0 dd022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe 34b00 65645f67 65740000 000ad402 2314085f ed_get......#.._ 34b10 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... 34b20 d4022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha 34b30 6e676500 0000010e 02231c08 5f636c6f nge......#.._clo 34b40 636b5f74 69636b00 0000010e 02232000 ck_tick......# . 34b50 06000001 b2010300 000bbd04 0009415f ..............A_ 34b60 6f6c645f 696e7472 5f740000 0001b206 old_intr_t...... 34b70 00000bca 01030000 0bdc0400 02010300 ................ 34b80 000be904 00020103 00000bf2 04000600 ................ 34b90 0001b201 0300000b fb040009 415f6973 ............A_is 34ba0 725f7400 00000c01 02010300 000c1504 r_t............. 34bb0 00060000 040d0103 00000c1e 04000201 ................ 34bc0 0300000c 2b040007 696e7472 5f617069 ....+...intr_api 34bd0 002c0000 0d4d085f 696e7472 5f696e69 .,...M._intr_ini 34be0 74000000 010e0223 00085f69 6e74725f t......#.._intr_ 34bf0 696e766f 6b655f69 73720000 000bc302 invoke_isr...... 34c00 2304085f 696e7472 5f646973 61626c65 #.._intr_disable 34c10 0000000b e2022308 085f696e 74725f72 ......#.._intr_r 34c20 6573746f 72650000 000beb02 230c085f estore......#.._ 34c30 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. 34c40 000bf402 2310085f 696e7472 5f756e6d ....#.._intr_unm 34c50 61736b5f 696e756d 0000000b f4022314 ask_inum......#. 34c60 085f696e 74725f61 74746163 685f6973 ._intr_attach_is 34c70 72000000 0c170223 18085f67 65745f69 r......#.._get_i 34c80 6e747265 6e61626c 65000000 0c240223 ntrenable....$.# 34c90 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl 34ca0 65000000 0c2d0223 20085f67 65745f69 e....-.# ._get_i 34cb0 6e747270 656e6469 6e670000 000c2402 ntrpending....$. 34cc0 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ 34cd0 696e7472 6c766c00 0000010e 02232800 intrlvl......#(. 34ce0 11040000 0d730874 696d656f 75740000 .....s.timeout.. 34cf0 0001b202 23000861 6374696f 6e000000 ....#..action... 34d00 01b20223 00001208 00000d8e 08636d64 ...#.........cmd 34d10 00000001 b2022300 1300000d 4d022304 ......#.....M.#. 34d20 0009545f 5744545f 434d4400 00000d73 ..T_WDT_CMD....s 34d30 02010300 000d9d04 00140400 000df30e ................ 34d40 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... 34d50 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. 34d60 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. 34d70 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B 34d80 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY 34d90 50450000 000da606 00000df3 01030000 PE.............. 34da0 0e040400 07776474 5f617069 001c0000 .....wdt_api.... 34db0 0ea8085f 7764745f 696e6974 00000001 ..._wdt_init.... 34dc0 0e022300 085f7764 745f656e 61626c65 ..#.._wdt_enable 34dd0 00000001 0e022304 085f7764 745f6469 ......#.._wdt_di 34de0 7361626c 65000000 010e0223 08085f77 sable......#.._w 34df0 64745f73 65740000 000d9f02 230c085f dt_set......#.._ 34e00 7764745f 7461736b 00000001 0e022310 wdt_task......#. 34e10 085f7764 745f7265 73657400 0000010e ._wdt_reset..... 34e20 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo 34e30 6f740000 000e0a02 23180014 0400000f ot......#....... 34e40 0f0e5245 545f5355 43434553 5300000e ..RET_SUCCESS... 34e50 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R 34e60 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R 34e70 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. 34e80 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO 34e90 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. 34ea0 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... 34eb0 a8030000 018f0400 0600000f 0f010300 ................ 34ec0 000f2504 00060000 0f0f0103 00000f32 ..%............2 34ed0 04000765 65705f61 70690010 00000f9b ...eep_api...... 34ee0 085f6565 705f696e 69740000 00010e02 ._eep_init...... 34ef0 2300085f 6565705f 72656164 0000000f #.._eep_read.... 34f00 2b022304 085f6565 705f7772 69746500 +.#.._eep_write. 34f10 00000f2b 02230808 5f656570 5f69735f ...+.#.._eep_is_ 34f20 65786973 74000000 0f380223 0c000775 exist....8.#...u 34f30 73625f61 70690070 00001248 085f7573 sb_api.p...H._us 34f40 625f696e 69740000 00010e02 2300085f b_init......#.._ 34f50 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... 34f60 0e022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas 34f70 6b000000 010e0223 08085f75 73625f69 k......#.._usb_i 34f80 6e69745f 70687900 0000010e 02230c08 nit_phy......#.. 34f90 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. 34fa0 00010e02 2310085f 7573625f 6570305f ....#.._usb_ep0_ 34fb0 74780000 00010e02 2314085f 7573625f tx......#.._usb_ 34fc0 6570305f 72780000 00010e02 2318085f ep0_rx......#.._ 34fd0 7573625f 6765745f 696e7465 72666163 usb_get_interfac 34fe0 65000000 081a0223 1c085f75 73625f73 e......#.._usb_s 34ff0 65745f69 6e746572 66616365 00000008 et_interface.... 35000 1a022320 085f7573 625f6765 745f636f ..# ._usb_get_co 35010 6e666967 75726174 696f6e00 0000081a nfiguration..... 35020 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con 35030 66696775 72617469 6f6e0000 00081a02 figuration...... 35040 2328085f 7573625f 7374616e 64617264 #(._usb_standard 35050 5f636d64 00000008 1a02232c 085f7573 _cmd......#,._us 35060 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... 35070 0e022330 085f7573 625f706f 7765725f ..#0._usb_power_ 35080 6f666600 0000010e 02233408 5f757362 off......#4._usb 35090 5f726573 65745f66 69666f00 0000010e _reset_fifo..... 350a0 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt 350b0 00000001 0e02233c 085f7573 625f6a75 ......#<._usb_ju 350c0 6d705f62 6f6f7400 0000010e 02234008 mp_boot......#@. 350d0 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature 350e0 00000008 1a022344 085f7573 625f7365 ......#D._usb_se 350f0 745f6665 61747572 65000000 081a0223 t_feature......# 35100 48085f75 73625f73 65745f61 64647265 H._usb_set_addre 35110 73730000 00081a02 234c085f 7573625f ss......#L._usb_ 35120 6765745f 64657363 72697074 6f720000 get_descriptor.. 35130 00081a02 2350085f 7573625f 6765745f ....#P._usb_get_ 35140 73746174 75730000 00081a02 2354085f status......#T._ 35150 7573625f 73657475 705f6465 73630000 usb_setup_desc.. 35160 00010e02 2358085f 7573625f 7265675f ....#X._usb_reg_ 35170 6f757400 0000010e 02235c08 5f757362 out......#\._usb 35180 5f737461 7475735f 696e0000 00010e02 _status_in...... 35190 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d 351a0 61746100 0000010e 02236408 5f757362 ata......#d._usb 351b0 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... 351c0 0e022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in 351d0 69740000 00010e02 236c0007 5f564445 it......#l.._VDE 351e0 53430024 000012d4 086e6578 745f6465 SC.$.....next_de 351f0 73630000 0012d402 23000862 75665f61 sc......#..buf_a 35200 64647200 000012e8 02230408 6275665f ddr......#..buf_ 35210 73697a65 00000012 ef022308 08646174 size......#..dat 35220 615f6f66 66736574 00000012 ef02230a a_offset......#. 35230 08646174 615f7369 7a650000 0012ef02 .data_size...... 35240 230c0863 6f6e7472 6f6c0000 0012ef02 #..control...... 35250 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. 35260 0012fd02 23100003 00001248 04000941 ....#......H...A 35270 5f55494e 54380000 00032203 000012db _UINT8...."..... 35280 04000941 5f55494e 54313600 00000179 ...A_UINT16....y 35290 0f000012 db140000 130a1013 00030000 ................ 352a0 12480400 09564445 53430000 00124803 .H...VDESC....H. 352b0 00001311 04000600 00131c01 03000013 ................ 352c0 23040006 000012e8 01030000 13300400 #............0.. 352d0 02010300 00133d04 00077664 6573635f ......=...vdesc_ 352e0 61706900 14000013 b5085f69 6e697400 api......._init. 352f0 00000add 02230008 5f616c6c 6f635f76 .....#.._alloc_v 35300 64657363 00000013 29022304 085f6765 desc....).#.._ge 35310 745f6877 5f646573 63000000 13360223 t_hw_desc....6.# 35320 08085f73 7761705f 76646573 63000000 .._swap_vdesc... 35330 133f0223 0c087052 65736572 76656400 .?.#..pReserved. 35340 0000040a 02231000 075f5642 55460020 .....#..._VBUF. 35350 00001415 08646573 635f6c69 73740000 .....desc_list.. 35360 00131c02 2300086e 6578745f 62756600 ....#..next_buf. 35370 00001415 02230408 6275665f 6c656e67 .....#..buf_leng 35380 74680000 0012ef02 23080872 65736572 th......#..reser 35390 76656400 0000141c 02230a08 63747800 ved......#..ctx. 353a0 000012fd 02230c00 03000013 b504000f .....#.......... 353b0 000012db 02000014 29100100 03000013 ........)....... 353c0 b5040009 56425546 00000013 b5030000 ....VBUF........ 353d0 14300400 06000014 3a010300 00144104 .0......:.....A. 353e0 00060000 143a0103 0000144e 04000201 .....:.....N.... 353f0 03000014 5b040007 76627566 5f617069 ....[...vbuf_api 35400 00140000 14d9085f 696e6974 0000000a ......._init.... 35410 dd022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf 35420 00000014 47022304 085f616c 6c6f635f ....G.#.._alloc_ 35430 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. 35440 00145402 2308085f 66726565 5f766275 ..T.#.._free_vbu 35450 66000000 145d0223 0c087052 65736572 f....].#..pReser 35460 76656400 0000040a 02231000 075f5f61 ved......#...__a 35470 64665f64 65766963 65000400 0014fb08 df_device....... 35480 64756d6d 79000000 01150223 00000300 dummy......#.... 35490 00092104 00075f5f 6164665f 646d615f ..!...__adf_dma_ 354a0 6d617000 0c000015 42086275 66000000 map.....B.buf... 354b0 143a0223 00086473 5f616464 72000000 .:.#..ds_addr... 354c0 14fb0223 04086473 5f6c656e 00000012 ...#..ds_len.... 354d0 ef022308 00120c00 00157c08 5f5f7661 ..#.......|.__va 354e0 5f73746b 00000003 78022300 085f5f76 _stk....x.#..__v 354f0 615f7265 67000000 03780223 04085f5f a_reg....x.#..__ 35500 76615f6e 64780000 00011502 23080009 va_ndx......#... 35510 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add 35520 725f7400 00000921 09616466 5f6f735f r_t....!.adf_os_ 35530 646d615f 61646472 5f740000 00157c09 dma_addr_t....|. 35540 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz 35550 655f7400 00000921 09616466 5f6f735f e_t....!.adf_os_ 35560 646d615f 73697a65 5f740000 0015ac07 dma_size_t...... 35570 5f5f646d 615f7365 67730008 00001608 __dma_segs...... 35580 08706164 64720000 00159502 2300086c .paddr......#..l 35590 656e0000 0015c502 23040009 5f5f615f en......#...__a_ 355a0 75696e74 33325f74 00000009 2109615f uint32_t....!.a_ 355b0 75696e74 33325f74 00000016 080f0000 uint32_t........ 355c0 15dc0800 00163710 00000761 64665f6f ......7....adf_o 355d0 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... 355e0 00167008 6e736567 73000000 161a0223 ..p.nsegs......# 355f0 0008646d 615f7365 67730000 00162a02 ..dma_segs....*. 35600 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. 35610 000012db 09615f75 696e7438 5f740000 .....a_uint8_t.. 35620 00167003 00001681 0400075f 5f73675f ..p........__sg_ 35630 73656773 00080000 16c20876 61646472 segs.......vaddr 35640 00000016 90022300 086c656e 00000016 ......#..len.... 35650 1a022304 000f0000 16972000 0016cf10 ..#....... ..... 35660 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist 35670 00240000 1702086e 73656773 00000016 .$.....nsegs.... 35680 1a022300 0873675f 73656773 00000016 ..#..sg_segs.... 35690 c2022304 00121000 00174b08 76656e64 ..#.......K.vend 356a0 6f720000 00161a02 23000864 65766963 or......#..devic 356b0 65000000 161a0223 04087375 6276656e e......#..subven 356c0 646f7200 0000161a 02230808 73756264 dor......#..subd 356d0 65766963 65000000 161a0223 0c00046c evice......#...l 356e0 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne 356f0 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 35700 34000000 174b095f 5f615f75 696e7436 4....K.__a_uint6 35710 345f7400 00001765 09615f75 696e7436 4_t....e.a_uint6 35720 345f7400 00001773 14040000 17d10e41 4_t....s.......A 35730 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 35740 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS 35750 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I 35760 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso 35770 75726365 5f747970 655f7400 00001795 urce_type_t..... 35780 12180000 181b0873 74617274 00000017 .......start.... 35790 85022300 08656e64 00000017 85022308 ..#..end......#. 357a0 08747970 65000000 17d10223 10000961 .type......#...a 357b0 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id 357c0 5f740000 00170203 0000181b 04001104 _t.............. 357d0 0000185a 08706369 00000018 34022300 ...Z.pci....4.#. 357e0 08726177 00000004 0a022300 00111000 .raw......#..... 357f0 00187908 70636900 0000181b 02230008 ..y.pci......#.. 35800 72617700 0000040a 02230000 09616466 raw......#...adf 35810 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... 35820 040a0961 64665f6f 735f7265 736f7572 ...adf_os_resour 35830 63655f74 00000017 ed030000 188f0400 ce_t............ 35840 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d 35850 6174615f 74000000 185a0300 0018ad04 ata_t....Z...... 35860 00030000 14d90400 095f5f61 64665f6f .........__adf_o 35870 735f6465 76696365 5f740000 0018ce09 s_device_t...... 35880 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 35890 000018d5 06000018 79010300 00190104 ........y....... 358a0 00020103 0000190e 04000961 64665f6f ...........adf_o 358b0 735f706d 5f740000 00040a02 01030000 s_pm_t.......... 358c0 19280400 14040000 19680e41 44465f4f .(.......h.ADF_O 358d0 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. 358e0 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE 358f0 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ 35900 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... 35910 19310961 64665f6f 735f6275 735f7265 .1.adf_os_bus_re 35920 675f6461 74615f74 00000018 3b030000 g_data_t....;... 35930 03220400 075f6164 665f6472 765f696e ."..._adf_drv_in 35940 666f0020 00001a45 08647276 5f617474 fo. ...E.drv_att 35950 61636800 00001907 02230008 6472765f ach......#..drv_ 35960 64657461 63680000 00191002 23040864 detach......#..d 35970 72765f73 75737065 6e640000 00192a02 rv_suspend....*. 35980 23080864 72765f72 6573756d 65000000 #..drv_resume... 35990 19100223 0c086275 735f7479 70650000 ...#..bus_type.. 359a0 00196802 23100862 75735f64 61746100 ..h.#..bus_data. 359b0 0000197f 02231408 6d6f645f 6e616d65 .....#..mod_name 359c0 00000019 9a022318 0869666e 616d6500 ......#..ifname. 359d0 0000199a 02231c00 09616466 5f6f735f .....#...adf_os_ 359e0 68616e64 6c655f74 00000004 0a030000 handle_t........ 359f0 16700400 02010201 095f5f61 64665f6f .p.......__adf_o 35a00 735f7369 7a655f74 00000004 0d140400 s_size_t........ 35a10 001a940e 415f4641 4c534500 000e415f ....A_FALSE...A_ 35a20 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t 35a30 0000001a 7a030000 15020400 095f5f61 ....z........__a 35a40 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 35a50 00001aa2 02010d61 64665f6f 735f6361 .......adf_os_ca 35a60 6368655f 73796e63 00040000 1b2c0e41 che_sync.....,.A 35a70 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. 35a80 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR 35a90 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P 35aa0 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY 35ab0 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... 35ac0 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn 35ad0 635f7400 00001ac3 02010961 64665f6f c_t........adf_o 35ae0 735f7369 7a655f74 0000001a 65060000 s_size_t....e... 35af0 1b470109 6164665f 6f735f64 6d615f6d .G..adf_os_dma_m 35b00 61705f74 0000001a a9030000 1b600400 ap_t.........`.. 35b10 06000004 0a010300 001aa904 00060000 ................ 35b20 040a0102 01060000 15950102 01047368 ..............sh 35b30 6f727420 696e7400 05020941 5f494e54 ort int....A_INT 35b40 31360000 001b9a09 5f5f615f 696e7431 16......__a_int1 35b50 365f7400 00001ba7 09615f69 6e743136 6_t......a_int16 35b60 5f740000 001bb404 7369676e 65642063 _t......signed c 35b70 68617200 05010941 5f494e54 38000000 har....A_INT8... 35b80 1bd4095f 5f615f69 6e74385f 74000000 ...__a_int8_t... 35b90 1be30961 5f696e74 385f7400 00001bef ...a_int8_t..... 35ba0 120c0000 1c660873 7570706f 72746564 .....f.supported 35bb0 00000016 1a022300 08616476 65727469 ......#..adverti 35bc0 7a656400 0000161a 02230408 73706565 zed......#..spee 35bd0 64000000 1bc50223 08086475 706c6578 d......#..duplex 35be0 0000001b ff02230a 08617574 6f6e6567 ......#..autoneg 35bf0 00000016 8102230b 000f0000 16810600 ......#......... 35c00 001c7310 05000761 64665f6e 65745f65 ..s....adf_net_e 35c10 74686164 64720006 00001c97 08616464 thaddr.......add 35c20 72000000 1c660223 0000095f 5f615f75 r....f.#...__a_u 35c30 696e7431 365f7400 000012ef 09615f75 int16_t......a_u 35c40 696e7431 365f7400 00001c97 120e0000 int16_t......... 35c50 1cfb0865 74686572 5f64686f 73740000 ...ether_dhost.. 35c60 001c6602 23000865 74686572 5f73686f ..f.#..ether_sho 35c70 73740000 001c6602 23060865 74686572 st....f.#..ether 35c80 5f747970 65000000 1ca90223 0c001214 _type......#.... 35c90 00001dbc 1569705f 76657273 696f6e00 .....ip_version. 35ca0 00001681 01000402 23001569 705f686c ........#..ip_hl 35cb0 00000016 81010404 02230008 69705f74 .........#..ip_t 35cc0 6f730000 00168102 23010869 705f6c65 os......#..ip_le 35cd0 6e000000 1ca90223 02086970 5f696400 n......#..ip_id. 35ce0 00001ca9 02230408 69705f66 7261675f .....#..ip_frag_ 35cf0 6f666600 00001ca9 02230608 69705f74 off......#..ip_t 35d00 746c0000 00168102 23080869 705f7072 tl......#..ip_pr 35d10 6f746f00 00001681 02230908 69705f63 oto......#..ip_c 35d20 6865636b 0000001c a902230a 0869705f heck......#..ip_ 35d30 73616464 72000000 161a0223 0c086970 saddr......#..ip 35d40 5f646164 64720000 00161a02 23100007 _daddr......#... 35d50 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. 35d60 0400001e 0e087470 69640000 001ca902 ......tpid...... 35d70 23001570 72696f00 00001681 01000302 #..prio......... 35d80 23021563 66690000 00168101 03010223 #..cfi.........# 35d90 02157669 64000000 1ca90204 0c022302 ..vid.........#. 35da0 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... 35db0 001e3f15 72657300 00001681 01000402 ..?.res......... 35dc0 23001576 616c0000 001ca902 040c0223 #..val.........# 35dd0 0000120c 00001e7b 0872785f 62756673 .......{.rx_bufs 35de0 697a6500 0000161a 02230008 72785f6e ize......#..rx_n 35df0 64657363 00000016 1a022304 0874785f desc......#..tx_ 35e00 6e646573 63000000 161a0223 08001208 ndesc......#.... 35e10 00001ea1 08706f6c 6c656400 00001a94 .....polled..... 35e20 02230008 706f6c6c 5f777400 0000161a .#..poll_wt..... 35e30 02230400 0f000016 81400000 1eae103f .#.......@.....? 35e40 00124600 001ed608 69665f6e 616d6500 ..F.....if_name. 35e50 00001ea1 02230008 6465765f 61646472 .....#..dev_addr 35e60 0000001c 66022340 00140400 001f0d0e ....f.#@........ 35e70 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ 35e80 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D 35e90 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... 35ea0 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask 35eb0 5f740000 001ed607 6164665f 646d615f _t......adf_dma_ 35ec0 696e666f 00080000 1f5a0864 6d615f6d info.....Z.dma_m 35ed0 61736b00 00001f0d 02230008 73675f6e ask......#..sg_n 35ee0 73656773 00000016 1a022304 00140400 segs......#..... 35ef0 001fb00e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU 35f00 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET 35f10 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 35f20 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK 35f30 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 35f40 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu 35f50 6d5f7479 70655f74 0000001f 5a120800 m_type_t....Z... 35f60 001ff308 74785f63 6b73756d 0000001f ....tx_cksum.... 35f70 b0022300 0872785f 636b7375 6d000000 ..#..rx_cksum... 35f80 1fb00223 04000961 64665f6e 65745f63 ...#...adf_net_c 35f90 6b73756d 5f696e66 6f5f7400 00001fca ksum_info_t..... 35fa0 14040000 204c0e41 44465f4e 45545f54 .... L.ADF_NET_T 35fb0 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE 35fc0 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF 35fd0 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... 35fe0 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type 35ff0 5f740000 00200d12 10000020 a008636b _t... ..... ..ck 36000 73756d5f 63617000 00001ff3 02230008 sum_cap......#.. 36010 74736f00 0000204c 02230808 766c616e tso... L.#..vlan 36020 5f737570 706f7274 65640000 00168102 _supported...... 36030 230c0012 20000021 39087478 5f706163 #... ..!9.tx_pac 36040 6b657473 00000016 1a022300 0872785f kets......#..rx_ 36050 7061636b 65747300 0000161a 02230408 packets......#.. 36060 74785f62 79746573 00000016 1a022308 tx_bytes......#. 36070 0872785f 62797465 73000000 161a0223 .rx_bytes......# 36080 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... 36090 1a022310 0872785f 64726f70 70656400 ..#..rx_dropped. 360a0 0000161a 02231408 72785f65 72726f72 .....#..rx_error 360b0 73000000 161a0223 18087478 5f657272 s......#..tx_err 360c0 6f727300 0000161a 02231c00 09616466 ors......#...adf 360d0 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. 360e0 001c7316 00002139 03000000 215e107f ..s...!9....!^.. 360f0 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc 36100 61646472 00030400 00219508 6e656c65 addr.....!..nele 36110 6d000000 161a0223 00086d63 61737400 m......#..mcast. 36120 00002150 02230400 09616466 5f6e6574 ..!P.#...adf_net 36130 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t 36140 0000001c 0d096164 665f6e65 745f636d ......adf_net_cm 36150 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... 36160 1e7b0961 64665f6e 65745f63 6d645f63 .{.adf_net_cmd_c 36170 6b73756d 5f696e66 6f5f7400 00001ff3 ksum_info_t..... 36180 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin 36190 675f696e 666f5f74 0000001e 3f096164 g_info_t....?.ad 361a0 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in 361b0 666f5f74 0000001f 24096164 665f6e65 fo_t....$.adf_ne 361c0 745f636d 645f7669 645f7400 00001ca9 t_cmd_vid_t..... 361d0 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off 361e0 6c6f6164 5f636170 5f740000 00206409 load_cap_t... d. 361f0 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat 36200 735f7400 000020a0 09616466 5f6e6574 s_t... ..adf_net 36210 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... 36220 215e0d61 64665f6e 65745f63 6d645f6d !^.adf_net_cmd_m 36230 63617374 5f636170 00040000 22d70e41 cast_cap...."..A 36240 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP 36250 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST 36260 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n 36270 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap 36280 5f740000 00228f18 03040000 23a9086c _t..."......#..l 36290 696e6b5f 696e666f 00000021 95022300 ink_info...!..#. 362a0 08706f6c 6c5f696e 666f0000 0021b202 .poll_info...!.. 362b0 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... 362c0 21cf0223 00087269 6e675f69 6e666f00 !..#..ring_info. 362d0 000021ed 02230008 646d615f 696e666f ..!..#..dma_info 362e0 00000022 0a022300 08766964 00000022 ..."..#..vid..." 362f0 26022300 086f6666 6c6f6164 5f636170 &.#..offload_cap 36300 00000022 3d022300 08737461 74730000 ..."=.#..stats.. 36310 00225c02 2300086d 63617374 5f696e66 ."\.#..mcast_inf 36320 6f000000 22750223 00086d63 6173745f o..."u.#..mcast_ 36330 63617000 000022d7 02230000 14040000 cap..."..#...... 36340 24000e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C 36350 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 36360 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW 36370 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C 36380 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY 36390 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ 363a0 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# 363b0 a9120800 00244008 72657375 6c740000 .....$@.result.. 363c0 00240002 23000876 616c0000 00161a02 .$..#..val...... 363d0 23040012 08000024 70087479 70650000 #......$p.type.. 363e0 00204c02 2300086d 73730000 001ca902 . L.#..mss...... 363f0 23040868 64725f6f 66660000 00168102 #..hdr_off...... 36400 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q 36410 68656164 000c0000 24af0868 65616400 head....$..head. 36420 0000143a 02230008 7461696c 00000014 ...:.#..tail.... 36430 3a022304 08716c65 6e000000 161a0223 :.#..qlen......# 36440 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. 36450 0000143a 03000016 90040003 0000161a ...:............ 36460 04000201 06000013 1c010600 00161a01 ................ 36470 06000016 90010600 00169001 03000012 ................ 36480 fd040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q 36490 68656164 5f740000 00247009 5f5f6164 head_t...$p.__ad 364a0 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. 364b0 0024f003 00002508 04000600 0024af01 .$....%......$.. 364c0 06000024 af011404 00002628 0e415f53 ...$......&(.A_S 364d0 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA 364e0 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S 364f0 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A 36500 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. 36510 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL 36520 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP 36530 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT 36540 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ 36550 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A 36560 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... 36570 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO 36580 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU 36590 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT 365a0 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST 365b0 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st 365c0 61747573 5f740000 00253306 00002628 atus_t...%3...&( 365d0 01060000 01150102 01096164 665f6e62 ..........adf_nb 365e0 75665f74 00000024 af140400 00268d0e uf_t...$.....&.. 365f0 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE 36600 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM 36610 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... 36620 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ 36630 74000000 26560600 00262801 02010961 t...&V...&(....a 36640 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf 36650 6f5f7400 00001637 03000026 ab040002 o_t....7...&.... 36660 01020106 00002646 01060000 24af0102 ......&F....$... 36670 01020106 00002646 01060000 24af0106 ......&F....$... 36680 00002646 01060000 24af0106 00002646 ..&F....$.....&F 36690 01020102 01060000 161a0106 00001690 ................ 366a0 01020102 01060000 1b470106 00001a94 .........G...... 366b0 01060000 1a940109 6164665f 6f735f73 ........adf_os_s 366c0 676c6973 745f7400 000016cf 03000027 glist_t........' 366d0 24040002 01020102 01060000 16900109 $............... 366e0 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 366f0 00000025 08030000 274c0400 02010300 ...%....'L...... 36700 0024f004 00020102 01020106 00002646 .$............&F 36710 01060000 24af0106 0000161a 01060000 ....$........... 36720 161a0106 00001a94 01060000 1a940106 ................ 36730 00001fb0 01060000 161a0109 6164665f ............adf_ 36740 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. 36750 0000241e 03000027 a8040002 01020109 ..$....'........ 36760 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. 36770 00244003 000027cc 04000201 02010961 .$@...'........a 36780 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. 36790 0000040a 09616466 5f6e6574 5f766c61 .....adf_net_vla 367a0 6e686472 5f740000 001dbc03 00002801 nhdr_t........(. 367b0 04000600 00262801 06000026 28010201 .....&(....&(... 367c0 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. 367d0 00002850 0864756d 6d790000 00011502 ..(P.dummy...... 367e0 23000002 01030000 28500400 02010300 #.......(P...... 367f0 00285904 00075f48 49465f43 414c4c42 .(Y..._HIF_CALLB 36800 41434b00 0c000028 ae087365 6e645f62 ACK....(..send_b 36810 75665f64 6f6e6500 00002852 02230008 uf_done...(R.#.. 36820 72656376 5f627566 00000028 5b022304 recv_buf...([.#. 36830 08636f6e 74657874 00000004 0a022308 .context......#. 36840 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. 36850 00040a09 4849465f 434f4e46 49470000 ....HIF_CONFIG.. 36860 00282f03 000028c0 04000600 0028ae01 .(/...(......(.. 36870 03000028 d7040002 01030000 28e40400 ...(........(... 36880 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... 36890 28620300 0028ed04 00020103 00002906 (b...(........). 368a0 04000600 00011501 03000029 0f040002 ...........).... 368b0 01030000 291c0400 06000001 15010300 ....)........... 368c0 00292504 00020103 00002932 04000600 .)%.......)2.... 368d0 00011501 03000029 3b040002 01030000 .......);....... 368e0 29480400 07686966 5f617069 00380000 )H...hif_api.8.. 368f0 2aa1085f 696e6974 00000028 dd022300 *.._init...(..#. 36900 085f7368 7574646f 776e0000 0028e602 ._shutdown...(.. 36910 2304085f 72656769 73746572 5f63616c #.._register_cal 36920 6c626163 6b000000 29080223 08085f67 lback...)..#.._g 36930 65745f74 6f74616c 5f637265 6469745f et_total_credit_ 36940 636f756e 74000000 29150223 0c085f73 count...)..#.._s 36950 74617274 00000028 e6022310 085f636f tart...(..#.._co 36960 6e666967 5f706970 65000000 291e0223 nfig_pipe...)..# 36970 14085f73 656e645f 62756666 65720000 .._send_buffer.. 36980 00292b02 2318085f 72657475 726e5f72 .)+.#.._return_r 36990 6563765f 62756600 00002934 02231c08 ecv_buf...)4.#.. 369a0 5f69735f 70697065 5f737570 706f7274 _is_pipe_support 369b0 65640000 00294102 2320085f 6765745f ed...)A.# ._get_ 369c0 6d61785f 6d73675f 6c656e00 00002941 max_msg_len...)A 369d0 02232408 5f676574 5f726573 65727665 .#$._get_reserve 369e0 645f6865 6164726f 6f6d0000 00291502 d_headroom...).. 369f0 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. 36a00 000028e6 02232c08 5f676574 5f646566 ..(..#,._get_def 36a10 61756c74 5f706970 65000000 294a0223 ault_pipe...)J.# 36a20 30087052 65736572 76656400 0000040a 0.pReserved..... 36a30 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. 36a40 0400002b 2a0e444d 415f454e 47494e45 ...+*.DMA_ENGINE 36a50 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN 36a60 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI 36a70 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG 36a80 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN 36a90 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E 36aa0 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ 36ab0 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm 36ac0 615f656e 67696e65 5f740000 002aa10d a_engine_t...*.. 36ad0 646d615f 69667479 70650004 00002b77 dma_iftype....+w 36ae0 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D 36af0 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ 36b00 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i 36b10 66747970 655f7400 00002b3c 06000012 ftype_t...+<.... 36b20 ef010300 002b8904 00020103 00002b96 .....+........+. 36b30 04000201 0300002b 9f040006 00000921 .......+.......! 36b40 01030000 2ba80400 06000012 ef010300 ....+........... 36b50 002bb504 00060000 12ef0103 00002bc2 .+............+. 36b60 04000600 00143a01 0300002b cf040002 ......:....+.... 36b70 01030000 2bdc0400 07646d61 5f6c6962 ....+....dma_lib 36b80 5f617069 00340000 2ce30874 785f696e _api.4..,..tx_in 36b90 69740000 002b8f02 23000874 785f7374 it...+..#..tx_st 36ba0 61727400 00002b98 02230408 72785f69 art...+..#..rx_i 36bb0 6e697400 00002b8f 02230808 72785f63 nit...+..#..rx_c 36bc0 6f6e6669 67000000 2ba10223 0c087278 onfig...+..#..rx 36bd0 5f737461 72740000 002b9802 23100869 _start...+..#..i 36be0 6e74725f 73746174 75730000 002bae02 ntr_status...+.. 36bf0 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ 36c00 bb022318 08666c75 73685f78 6d697400 ..#..flush_xmit. 36c10 00002b98 02231c08 786d6974 5f646f6e ..+..#..xmit_don 36c20 65000000 2bc80223 20087265 61705f78 e...+..# .reap_x 36c30 6d697474 65640000 002bd502 23240872 mitted...+..#$.r 36c40 6561705f 72656376 0000002b d5022328 eap_recv...+..#( 36c50 08726574 75726e5f 72656376 0000002b .return_recv...+ 36c60 de02232c 08726563 765f706b 74000000 ..#,.recv_pkt... 36c70 2bc80223 3000075f 5f706369 5f736f66 +..#0..__pci_sof 36c80 7463000c 00002d01 08737700 000028ed tc....-..sw...(. 36c90 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc 36ca0 5f740000 002ce303 00002d01 04000201 _t...,....-..... 36cb0 0300002d 1b040006 000012db 01030000 ...-............ 36cc0 2d240400 0d686966 5f706369 5f706970 -$...hif_pci_pip 36cd0 655f7478 00040000 2d840e48 49465f50 e_tx....-..HIF_P 36ce0 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI 36cf0 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. 36d00 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 36d10 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ 36d20 70697065 5f74785f 74000000 2d310600 pipe_tx_t...-1.. 36d30 002b2a01 0300002d 9b04000d 6869665f .+*....-....hif_ 36d40 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... 36d50 210e4849 465f5043 495f5049 50455f52 !.HIF_PCI_PIPE_R 36d60 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP 36d70 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ 36d80 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P 36d90 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI 36da0 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA 36db0 58000400 09686966 5f706369 5f706970 X....hif_pci_pip 36dc0 655f7278 5f740000 002da806 00002b2a e_rx_t...-....+* 36dd0 01030000 2e380400 07686966 5f706369 .....8...hif_pci 36de0 5f617069 00240000 2f160870 63695f62 _api.$../..pci_b 36df0 6f6f745f 696e6974 00000001 0e022300 oot_init......#. 36e00 08706369 5f696e69 74000000 28dd0223 .pci_init...(..# 36e10 04087063 695f7265 73657400 0000010e ..pci_reset..... 36e20 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. 36e30 00010e02 230c0870 63695f72 6561705f ....#..pci_reap_ 36e40 786d6974 74656400 00002d1d 02231008 xmitted...-..#.. 36e50 7063695f 72656170 5f726563 76000000 pci_reap_recv... 36e60 2d1d0223 14087063 695f6765 745f7069 -..#..pci_get_pi 36e70 70650000 002d2a02 23180870 63695f67 pe...-*.#..pci_g 36e80 65745f74 785f656e 67000000 2da10223 et_tx_eng...-..# 36e90 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng 36ea0 0000002e 3e022320 0007676d 61635f61 ....>.# ..gmac_a 36eb0 70690004 00002f3d 08676d61 635f626f pi..../=.gmac_bo 36ec0 6f745f69 6e697400 0000010e 02230000 ot_init......#.. 36ed0 0f000003 22060000 2f4a1005 00075f5f ....".../J....__ 36ee0 65746868 6472000e 00002f80 08647374 ethhdr..../..dst 36ef0 0000002f 3d022300 08737263 0000002f .../=.#..src.../ 36f00 3d022306 08657479 70650000 0012ef02 =.#..etype...... 36f10 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... 36f20 2fce1572 65730000 0012db01 00020223 /..res.........# 36f30 00157072 6f746f00 000012db 01020602 ..proto......... 36f40 23000872 65735f6c 6f000000 12db0223 #..res_lo......# 36f50 01087265 735f6869 00000012 ef022302 ..res_hi......#. 36f60 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... 36f70 300a0865 74680000 002f4a02 23000861 0..eth.../J.#..a 36f80 74680000 002f8002 230e0861 6c69676e th.../..#..align 36f90 5f706164 00000012 ef022312 00095f5f _pad......#...__ 36fa0 676d6163 5f686472 5f740000 002fce07 gmac_hdr_t.../.. 36fb0 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. 36fc0 30540868 64720000 00300a02 23000867 0T.hdr...0..#..g 36fd0 72616e00 000012ef 02231408 73770000 ran......#..sw.. 36fe0 0028ed02 23180007 5f415f6f 735f6c69 .(..#..._A_os_li 36ff0 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 37000 8d087665 7273696f 6e000000 01150223 ..version......# 37010 00087461 626c6500 00000115 02230400 ..table......#.. 37020 03000030 54040006 00000115 01030000 ...0T........... 37030 30940400 03000004 0d040017 5f415f63 0..........._A_c 37040 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 37050 5f746162 6c650001 b8000031 e4086861 _table.....1..ha 37060 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. 37070 0000309a 02230008 73746172 745f6273 ..0..#..start_bs 37080 73000000 30a10223 04086170 705f7374 s...0..#..app_st 37090 61727400 0000010e 02230808 6d656d00 art......#..mem. 370a0 0000044d 02230c08 6d697363 00000005 ...M.#..misc.... 370b0 6c022320 08707269 6e746600 00000142 l.# .printf....B 370c0 02234408 75617274 00000002 0b02234c .#D.uart......#L 370d0 08676d61 63000000 2f160223 6c087573 .gmac.../..#l.us 370e0 62000000 0f9b0223 7008636c 6f636b00 b......#p.clock. 370f0 00000ae4 0323e001 0874696d 65720000 .....#...timer.. 37100 00078403 23840208 696e7472 0000000c ....#...intr.... 37110 34032398 0208616c 6c6f6372 616d0000 4.#...allocram.. 37120 00093c03 23c40208 726f6d70 00000008 ..<.#...romp.... 37130 2e0323d0 02087764 745f7469 6d657200 ..#...wdt_timer. 37140 00000e11 0323e002 08656570 0000000f .....#...eep.... 37150 3f0323fc 02087374 72696e67 00000006 ?.#...string.... 37160 9003238c 03087461 736b6c65 74000000 ..#...tasklet... 37170 0a390323 a4030007 5f555342 5f464946 .9.#...._USB_FIF 37180 4f5f434f 4e464947 00100000 32570867 O_CONFIG....2W.g 37190 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. 371a0 00144702 23000872 6563765f 636f6d6d ..G.#..recv_comm 371b0 616e6400 0000145d 02230408 6765745f and....].#..get_ 371c0 6576656e 745f6275 66000000 14470223 event_buf....G.# 371d0 08087365 6e645f65 76656e74 5f646f6e ..send_event_don 371e0 65000000 145d0223 0c000955 53425f46 e....].#...USB_F 371f0 49464f5f 434f4e46 49470000 0031e403 IFO_CONFIG...1.. 37200 00003257 04000201 03000032 73040007 ..2W.......2s... 37210 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 37220 c9085f69 6e697400 00003275 02230008 .._init...2u.#.. 37230 5f656e61 626c655f 6576656e 745f6973 _enable_event_is 37240 72000000 010e0223 04087052 65736572 r......#..pReser 37250 76656400 0000040a 02230800 0f000016 ved......#...... 37260 81020000 32d61001 00075f48 54435f46 ....2....._HTC_F 37270 52414d45 5f484452 00080000 33480845 RAME_HDR....3H.E 37280 6e64706f 696e7449 44000000 16810223 ndpointID......# 37290 0008466c 61677300 00001681 02230108 ..Flags......#.. 372a0 5061796c 6f61644c 656e0000 001ca902 PayloadLen...... 372b0 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. 372c0 000032c9 02230408 486f7374 5365714e ..2..#..HostSeqN 372d0 756d0000 001ca902 23060012 02000033 um......#......3 372e0 61084d65 73736167 65494400 00001ca9 a.MessageID..... 372f0 02230000 12080000 33c4084d 65737361 .#......3..Messa 37300 67654944 0000001c a9022300 08437265 geID......#..Cre 37310 64697443 6f756e74 0000001c a9022302 ditCount......#. 37320 08437265 64697453 697a6500 00001ca9 .CreditSize..... 37330 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints 37340 00000016 81022306 085f5061 64310000 ......#.._Pad1.. 37350 00168102 23070012 0a000034 5b084d65 ....#......4[.Me 37360 73736167 65494400 00001ca9 02230008 ssageID......#.. 37370 53657276 69636549 44000000 1ca90223 ServiceID......# 37380 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag 37390 73000000 1ca90223 0408446f 776e4c69 s......#..DownLi 373a0 6e6b5069 70654944 00000016 81022306 nkPipeID......#. 373b0 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... 373c0 16810223 07085365 72766963 654d6574 ...#..ServiceMet 373d0 614c656e 67746800 00001681 02230808 aLength......#.. 373e0 5f506164 31000000 16810223 0900120a _Pad1......#.... 373f0 000034e3 084d6573 73616765 49440000 ..4..MessageID.. 37400 001ca902 23000853 65727669 63654944 ....#..ServiceID 37410 0000001c a9022302 08537461 74757300 ......#..Status. 37420 00001681 02230408 456e6470 6f696e74 .....#..Endpoint 37430 49440000 00168102 2305084d 61784d73 ID......#..MaxMs 37440 6753697a 65000000 1ca90223 06085365 gSize......#..Se 37450 72766963 654d6574 614c656e 67746800 rviceMetaLength. 37460 00001681 02230808 5f506164 31000000 .....#.._Pad1... 37470 16810223 09001202 000034fc 084d6573 ...#......4..Mes 37480 73616765 49440000 001ca902 23000012 sageID......#... 37490 04000035 38084d65 73736167 65494400 ...58.MessageID. 374a0 00001ca9 02230008 50697065 49440000 .....#..PipeID.. 374b0 00168102 23020843 72656469 74436f75 ....#..CreditCou 374c0 6e740000 00168102 23030012 04000035 nt......#......5 374d0 6f084d65 73736167 65494400 00001ca9 o.MessageID..... 374e0 02230008 50697065 49440000 00168102 .#..PipeID...... 374f0 23020853 74617475 73000000 16810223 #..Status......# 37500 03001202 00003596 08526563 6f726449 ......5..RecordI 37510 44000000 16810223 00084c65 6e677468 D......#..Length 37520 00000016 81022301 00120200 0035c008 ......#......5.. 37530 456e6470 6f696e74 49440000 00168102 EndpointID...... 37540 23000843 72656469 74730000 00168102 #..Credits...... 37550 23010012 04000036 0108456e 64706f69 #......6..Endpoi 37560 6e744944 00000016 81022300 08437265 ntID......#..Cre 37570 64697473 00000016 81022301 08546774 dits......#..Tgt 37580 43726564 69745365 714e6f00 00001ca9 CreditSeqNo..... 37590 02230200 0f000016 81040000 360e1003 .#..........6... 375a0 00120600 00364a08 50726556 616c6964 .....6J.PreValid 375b0 00000016 81022300 084c6f6f 6b416865 ......#..LookAhe 375c0 61640000 00360102 23010850 6f737456 ad...6..#..PostV 375d0 616c6964 00000016 81022305 0009706f alid......#...po 375e0 6f6c5f68 616e646c 655f7400 0000040a ol_handle_t..... 375f0 06000036 4a010300 00365d04 00020103 ...6J....6]..... 37600 0000366a 04001404 000036e8 0e504f4f ..6j......6..POO 37610 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL 37620 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S 37630 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P 37640 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E 37650 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W 37660 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO 37670 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ 37680 504f4f4c 5f494400 00003673 02010300 POOL_ID...6s.... 37690 0036f904 00060000 26460103 00003702 .6......&F....7. 376a0 04000600 00264601 03000037 0f040002 .....&F....7.... 376b0 01030000 371c0400 07627566 5f706f6f ....7....buf_poo 376c0 6c5f6170 69001c00 0037be08 5f696e69 l_api....7.._ini 376d0 74000000 36630223 00085f73 68757464 t...6c.#.._shutd 376e0 6f776e00 0000366c 02230408 5f637265 own...6l.#.._cre 376f0 6174655f 706f6f6c 00000036 fb022308 ate_pool...6..#. 37700 085f616c 6c6f635f 62756600 00003708 ._alloc_buf...7. 37710 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a 37720 6c69676e 00000037 15022310 085f6672 lign...7..#.._fr 37730 65655f62 75660000 00371e02 23140870 ee_buf...7..#..p 37740 52657365 72766564 00000004 0a022318 Reserved......#. 37750 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. 37760 0000389d 08704e65 78740000 00389d02 ..8..pNext...8.. 37770 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs 37780 67000000 39520223 04085072 6f636573 g...9R.#..Proces 37790 7353656e 64427566 66657243 6f6d706c sSendBufferCompl 377a0 65746500 0000395b 02230808 50726f63 ete...9[.#..Proc 377b0 65737343 6f6e6e65 63740000 00396f02 essConnect...9o. 377c0 230c0853 65727669 63654944 00000012 #..ServiceID.... 377d0 ef022310 08536572 76696365 466c6167 ..#..ServiceFlag 377e0 73000000 12ef0223 12084d61 78537663 s......#..MaxSvc 377f0 4d736753 697a6500 000012ef 02231408 MsgSize......#.. 37800 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL 37810 696d6974 00000012 ef022316 08536572 imit......#..Ser 37820 76696365 43747800 0000040a 02231800 viceCtx......#.. 37830 03000037 be040014 04000039 3b19454e ...7.......9;.EN 37840 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... 37850 ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E 37860 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO 37870 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 37880 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E 37890 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO 378a0 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 378b0 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E 378c0 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H 378d0 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. 378e0 0038a402 01030000 39500400 02010300 .8......9P...... 378f0 00395904 00030000 01150400 06000012 .9Y............. 37900 db010300 00396904 00030000 37be0400 .....9i.....7... 37910 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... 37920 39ee0843 72656469 7453697a 65000000 9..CreditSize... 37930 01150223 00084372 65646974 4e756d62 ...#..CreditNumb 37940 65720000 00011502 2304084f 5348616e er......#..OSHan 37950 646c6500 00001a45 02230808 48494648 dle....E.#..HIFH 37960 616e646c 65000000 28ae0223 0c08506f andle...(..#..Po 37970 6f6c4861 6e646c65 00000036 4a022310 olHandle...6J.#. 37980 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE 37990 58540002 00003a2a 08656e64 5f706f69 XT....:*.end_poi 379a0 6e740000 0012db02 23000868 74635f66 nt......#..htc_f 379b0 6c616773 00000012 db022301 00096874 lags......#...ht 379c0 635f6861 6e646c65 5f740000 00040a09 c_handle_t...... 379d0 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE 379e0 54455f43 42000000 010e0948 54435f43 TE_CB......HTC_C 379f0 4f4e4649 47000000 397d0300 003a5704 ONFIG...9}...:W. 37a00 00060000 3a2a0103 00003a6e 04000201 ....:*....:n.... 37a10 0300003a 7b040009 4854435f 53455256 ...:{...HTC_SERV 37a20 49434500 000037be 0300003a 84040002 ICE...7....:.... 37a30 01030000 3a9c0400 02010300 003aa504 ....:........:.. 37a40 00020103 00003aae 04000600 00011501 ......:......... 37a50 0300003a b7040007 6874635f 61706973 ...:....htc_apis 37a60 00340000 3c34085f 4854435f 496e6974 .4..<4._HTC_Init 37a70 0000003a 74022300 085f4854 435f5368 ...:t.#.._HTC_Sh 37a80 7574646f 776e0000 003a7d02 2304085f utdown...:}.#.._ 37a90 4854435f 52656769 73746572 53657276 HTC_RegisterServ 37aa0 69636500 00003a9e 02230808 5f485443 ice...:..#.._HTC 37ab0 5f526561 64790000 003a7d02 230c085f _Ready...:}.#.._ 37ac0 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 37ad0 73000000 3aa70223 10085f48 54435f52 s...:..#.._HTC_R 37ae0 65747572 6e427566 66657273 4c697374 eturnBuffersList 37af0 0000003a b0022314 085f4854 435f5365 ...:..#.._HTC_Se 37b00 6e644d73 67000000 3aa70223 18085f48 ndMsg...:..#.._H 37b10 54435f47 65745265 73657276 65644865 TC_GetReservedHe 37b20 6164726f 6f6d0000 003abd02 231c085f adroom...:..#.._ 37b30 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl 37b40 65720000 00285b02 2320085f 4854435f er...([.# ._HTC_ 37b50 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. 37b60 00002852 02232408 5f485443 5f436f6e ..(R.#$._HTC_Con 37b70 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs 37b80 67000000 39520223 28085f48 54435f43 g...9R.#(._HTC_C 37b90 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 37ba0 53656e64 436f6d70 6c657465 00000039 SendComplete...9 37bb0 5b02232c 08705265 73657276 65640000 [.#,.pReserved.. 37bc0 00040a02 23300007 686f7374 5f617070 ....#0..host_app 37bd0 5f617265 615f7300 0400003c 6408776d _area_s......t 37d70 75706c65 4e756d4c 0000001c a9022300 upleNumL......#. 37d80 08747570 6c654e75 6d480000 001ca902 .tupleNumH...... 37d90 23020861 76740000 003dc802 23040012 #..avt...=..#... 37da0 0100003e 2e086265 61636f6e 50656e64 ...>..beaconPend 37db0 696e6743 6f756e74 00000016 81022300 ingCount......#. 37dc0 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI 37dd0 47001000 003e9708 48746348 616e646c G....>..HtcHandl 37de0 65000000 3a2a0223 0008506f 6f6c4861 e...:*.#..PoolHa 37df0 6e646c65 00000036 4a022304 084d6178 ndle...6J.#..Max 37e00 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... 37e10 15022308 084d6178 4576656e 74457674 ..#..MaxEventEvt 37e20 73000000 01150223 0c000201 0300003e s......#.......> 37e30 97040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND 37e40 4c455200 00003e99 075f574d 495f4449 LER...>.._WMI_DI 37e50 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... 37e60 3f000870 436d6448 616e646c 65720000 ?..pCmdHandler.. 37e70 003ea002 23000843 6d644944 00000012 .>..#..CmdID.... 37e80 ef022304 08466c61 67730000 0012ef02 ..#..Flags...... 37e90 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC 37ea0 485f5441 424c4500 1000003f 6108704e H_TABLE....?a.pN 37eb0 65787400 00003f61 02230008 70436f6e ext...?a.#..pCon 37ec0 74657874 00000004 0a022304 084e756d text......#..Num 37ed0 6265724f 66456e74 72696573 00000001 berOfEntries.... 37ee0 15022308 08705461 626c6500 00003f80 ..#..pTable...?. 37ef0 02230c00 0300003f 00040009 574d495f .#.....?....WMI_ 37f00 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. 37f10 003eb503 00003f68 04000300 003f0004 .>....?h.....?.. 37f20 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX 37f30 54000000 39ee0d57 4d495f45 56545f43 T...9..WMI_EVT_C 37f40 4c415353 00040000 40181957 4d495f45 LASS....@..WMI_E 37f50 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... 37f60 ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 37f70 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI 37f80 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R 37f90 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C 37fa0 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ 37fb0 4556545f 434c4153 53000000 3fa3075f EVT_CLASS...?.._ 37fc0 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. 37fd0 0c000040 76084874 63427566 43747800 ...@v.HtcBufCtx. 37fe0 00003f8e 02230008 4576656e 74436c61 ..?..#..EventCla 37ff0 73730000 00401802 23040846 6c616773 ss...@..#..Flags 38000 00000012 ef022308 0009776d 695f6861 ......#...wmi_ha 38010 6e646c65 5f740000 00040a09 574d495f ndle_t......WMI_ 38020 5356435f 434f4e46 49470000 003e2e03 SVC_CONFIG...>.. 38030 00004088 04000600 00407601 03000040 ..@......@v....@ 38040 a3040009 574d495f 44495350 41544348 ....WMI_DISPATCH 38050 5f544142 4c450000 003f0003 000040b0 _TABLE...?....@. 38060 04000201 03000040 cf040006 00002646 .......@......&F 38070 01030000 40d80400 02010300 0040e504 ....@........@.. 38080 00060000 01150103 000040ee 04000201 ..........@..... 38090 03000040 fb040006 000012db 01030000 ...@............ 380a0 41040400 075f776d 695f7376 635f6170 A...._wmi_svc_ap 380b0 6973002c 0000424c 085f574d 495f496e is.,..BL._WMI_In 380c0 69740000 0040a902 2300085f 574d495f it...@..#.._WMI_ 380d0 52656769 73746572 44697370 61746368 RegisterDispatch 380e0 5461626c 65000000 40d10223 04085f57 Table...@..#.._W 380f0 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... 38100 40de0223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE 38110 76656e74 00000040 e702230c 085f574d vent...@..#.._WM 38120 495f4765 7450656e 64696e67 4576656e I_GetPendingEven 38130 7473436f 756e7400 000040f4 02231008 tsCount...@..#.. 38140 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet 38150 6548616e 646c6572 00000039 5b022314 eHandler...9[.#. 38160 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl 38170 45700000 0040f402 2318085f 574d495f Ep...@..#.._WMI_ 38180 53687574 646f776e 00000040 fd02231c Shutdown...@..#. 38190 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag 381a0 6548616e 646c6572 00000039 52022320 eHandler...9R.# 381b0 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon 381c0 6e656374 00000041 0a022324 08705265 nect...A..#$.pRe 381d0 73657276 65640000 00040a02 23280007 served......#(.. 381e0 7a73446d 61446573 63001400 0042ce08 zsDmaDesc....B.. 381f0 6374726c 00000001 79022300 08737461 ctrl....y.#..sta 38200 74757300 00000179 02230208 746f7461 tus....y.#..tota 38210 6c4c656e 00000001 79022304 08646174 lLen....y.#..dat 38220 6153697a 65000000 01790223 06086c61 aSize....y.#..la 38230 73744164 64720000 0042ce02 23080864 stAddr...B..#..d 38240 61746141 64647200 0000019d 02230c08 ataAddr......#.. 38250 6e657874 41646472 00000042 ce022310 nextAddr...B..#. 38260 00030000 424c0400 03000042 4c040007 ....BL.....BL... 38270 7a73446d 61517565 75650008 0000430e zsDmaQueue....C. 38280 08686561 64000000 42d50223 00087465 .head...B..#..te 38290 726d696e 61746f72 00000042 d5022304 rminator...B..#. 382a0 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. 382b0 00004372 08686561 64000000 42d50223 ..Cr.head...B..# 382c0 00087465 726d696e 61746f72 00000042 ..terminator...B 382d0 d5022304 08786d69 7465645f 6275665f ..#..xmited_buf_ 382e0 68656164 00000014 3a022308 08786d69 head....:.#..xmi 382f0 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... 38300 3a02230c 00020103 00004372 04000300 :.#.......Cr.... 38310 0042dc04 00020103 00004382 04000300 .B........C..... 38320 00430e04 00020103 00004392 04000201 .C........C..... 38330 03000043 9b040002 01030000 43a40400 ...C........C... 38340 06000014 3a010300 0043ad04 00020103 ....:....C...... 38350 000043ba 04000600 00143a01 03000043 ..C.......:....C 38360 c3040002 01030000 43d00400 06000001 ........C....... 38370 15010300 0043d904 00060000 42d50103 .....C......B... 38380 000043e6 04000201 03000043 f3040007 ..C........C.... 38390 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ 383a0 00004569 085f696e 69740000 00437402 ..Ei._init...Ct. 383b0 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu 383c0 65000000 43840223 04085f69 6e69745f e...C..#.._init_ 383d0 74785f71 75657565 00000043 94022308 tx_queue...C..#. 383e0 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu 383f0 65000000 439d0223 0c085f78 6d69745f e...C..#.._xmit_ 38400 62756600 000043a6 02231008 5f666c75 buf...C..#.._flu 38410 73685f78 6d697400 00004384 02231408 sh_xmit...C..#.. 38420 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. 38430 0043b302 2318085f 72657475 726e5f72 .C..#.._return_r 38440 6563765f 62756600 000043bc 02231c08 ecv_buf...C..#.. 38450 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf 38460 00000043 c9022320 085f7377 61705f64 ...C..# ._swap_d 38470 61746100 000043d2 02232408 5f686173 ata...C..#$._has 38480 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. 38490 0043df02 2328085f 64657363 5f64756d .C..#(._desc_dum 384a0 70000000 43840223 2c085f67 65745f70 p...C..#,._get_p 384b0 61636b65 74000000 43ec0223 30085f72 acket...C..#0._r 384c0 65636c61 696d5f70 61636b65 74000000 eclaim_packet... 384d0 43f50223 34085f70 75745f70 61636b65 C..#4._put_packe 384e0 74000000 43f50223 38087052 65736572 t...C..#8.pReser 384f0 76656400 0000040a 02233c00 095f415f ved......#<.._A_ 38500 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 38510 6e5f7461 626c655f 74000000 30a80957 n_table_t...0..W 38520 4d495f53 56435f41 50495300 00004111 MI_SVC_APIS...A. 38530 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir 38540 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. 38550 00469708 636d6e6f 73000000 45690223 .F..cmnos...Ei.# 38560 00086462 67000000 03d70323 b8030868 ..dbg......#...h 38570 69660000 00295103 23c00308 68746300 if...)Q.#...htc. 38580 00003ac4 0323f803 08776d69 5f737663 ..:..#...wmi_svc 38590 5f617069 00000045 8b0323ac 04087573 _api...E..#...us 385a0 62666966 6f5f6170 69000000 327c0323 bfifo_api...2|.# 385b0 d8040862 75665f70 6f6f6c00 00003725 ...buf_pool...7% 385c0 0323e404 08766275 66000000 14640323 .#...vbuf....d.# 385d0 80050876 64657363 00000013 46032394 ...vdesc....F.#. 385e0 0508616c 6c6f6372 616d0000 00093c03 ..allocram....<. 385f0 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. 38600 0043fc03 23b40508 646d615f 6c696200 .C..#...dma_lib. 38610 00002be5 0323f405 08686966 5f706369 ..+..#...hif_pci 38620 0000002e 450323a8 0600095f 415f6d61 ....E.#...._A_ma 38630 67706965 5f696e64 69726563 74696f6e gpie_indirection 38640 5f746162 6c655f74 00000045 9d07636d _table_t...E..cm 38650 6e6f735f 74696d65 725f7300 14000047 nos_timer_s....G 38660 35087469 6d65725f 6e657874 00000047 5.timer_next...G 38670 35022300 0874696d 65725f65 78706972 5.#..timer_expir 38680 65000000 09210223 04087469 6d65725f e....!.#..timer_ 38690 70657269 6f640000 00092102 23080874 period....!.#..t 386a0 696d6572 5f66756e 6374696f 6e000000 imer_function... 386b0 07740223 0c087469 6d65725f 61726700 .t.#..timer_arg. 386c0 0000040a 02231000 03000046 ba040003 .....#.....F.... 386d0 000046ba 04000963 6d6e6f73 5f74696d ..F....cmnos_tim 386e0 65725f74 00000046 ba030000 47430400 er_t...F....GC.. 386f0 1a74696d 65725f6c 69737400 00004756 .timer_list...GV 38700 05030050 0a340103 00000784 04000201 ...P.4.......... 38710 1b012163 6d6e6f73 5f74696d 65725f73 ..!cmnos_timer_s 38720 6574666e 00010103 92012002 9000008e etfn...... ..... 38730 2c04008e 2c130000 47e61c01 21415f74 ,...,...G...!A_t 38740 696d6572 00000007 3901521c 01217066 imer....9.R..!pf 38750 756e6374 696f6e00 00000774 01531c01 unction....t.S.. 38760 21706172 67000000 040a0154 1d707469 !parg......T.pti 38770 6d657200 00004756 001b012e 636d6e6f mer...GV....cmno 38780 735f7469 6d65725f 61726d00 01010392 s_timer_arm..... 38790 01200290 00008e2c 14008e2c 56000048 . .....,...,V..H 387a0 791c012e 415f7469 6d657200 00000739 y...A_timer....9 387b0 01521c01 2e6d696c 6c697365 636f6e64 .R...millisecond 387c0 73000000 040d0153 1d707469 6d657200 s......S.ptimer. 387d0 00004756 1d707265 76000000 47561d74 ..GV.prev...GV.t 387e0 696d6572 5f746963 6b730000 0009211d imer_ticks....!. 387f0 74696d65 725f6578 70697265 00000009 timer_expire.... 38800 211d6375 72720000 00475600 1b015363 !.curr...GV...Sc 38810 6d6e6f73 5f74696d 65725f64 69736172 mnos_timer_disar 38820 6d000101 03920120 02900000 8e2c5800 m...... .....,X. 38830 8e2c8800 0048d61c 0153415f 74696d65 .,...H...SA_time 38840 72000000 07390152 1d707469 6d657200 r....9.R.ptimer. 38850 00004756 1d707265 76000000 47561d63 ..GV.prev...GV.c 38860 75727200 00004756 001e0172 636d6e6f urr...GV...rcmno 38870 735f7469 6d65725f 696e6974 00010103 s_timer_init.... 38880 92012002 9000008e 2c88008e 2c941b01 .. .....,...,... 38890 79636d6e 6f735f74 696d6572 5f68616e ycmnos_timer_han 388a0 646c6572 00010103 92012002 9000008e dler...... ..... 388b0 2c94008e 2cc10000 49341d70 74696d65 ,...,...I4.ptime 388c0 72000000 4756001f 0189636d 6e6f735f r...GV....cmnos_ 388d0 74696d65 725f6d6f 64756c65 5f696e73 timer_module_ins 388e0 74616c6c 00010103 92012002 9000008e tall...... ..... 388f0 2cc4008e 2ce21c01 8974626c 00000047 ,...,....tbl...G 38900 74015200 00000000 493f0002 0000146e t.R.....I?.....n 38910 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac 38920 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 38930 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 38940 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. 38950 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build 38960 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 38970 636d6e6f 732f7764 742f7372 632f636d cmnos/wdt/src/cm 38980 6e6f735f 7764742e 63002f72 6f6f742f nos_wdt.c./root/ 38990 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 389a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 389b0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 389c0 6f732f77 64740078 742d7863 6320666f os/wdt.xt-xcc fo 389d0 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali 389e0 676e5f69 6e737472 75637469 6f6e733d gn_instructions= 389f0 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: 38a00 73706163 65000100 0000c521 02010300 space......!.... 38a10 00010604 0004696e 74000504 04636861 ......int....cha 38a20 72000701 05000001 16050000 01160300 r............... 38a30 00012304 00060000 010f0103 0000012f ..#............/ 38a40 04000770 72696e74 665f6170 69000800 ...printf_api... 38a50 00017308 5f707269 6e74665f 696e6974 ..s._printf_init 38a60 00000001 08022300 085f7072 696e7466 ......#.._printf 38a70 00000001 35022304 00047368 6f727420 ....5.#...short 38a80 756e7369 676e6564 20696e74 00070209 unsigned int.... 38a90 75696e74 31365f74 00000001 73046c6f uint16_t....s.lo 38aa0 6e672075 6e736967 6e656420 696e7400 ng unsigned int. 38ab0 07040975 696e7433 325f7400 00000197 ...uint32_t..... 38ac0 07756172 745f6669 666f0008 00000205 .uart_fifo...... 38ad0 08737461 72745f69 6e646578 00000001 .start_index.... 38ae0 89022300 08656e64 5f696e64 65780000 ..#..end_index.. 38af0 00018902 2302086f 76657272 756e5f65 ....#..overrun_e 38b00 72720000 0001ac02 23040007 75617274 rr......#...uart 38b10 5f617069 00200000 02be085f 75617274 _api. ....._uart 38b20 5f696e69 74000000 03150223 00085f75 _init......#.._u 38b30 6172745f 63686172 5f707574 00000003 art_char_put.... 38b40 3c022304 085f7561 72745f63 6861725f <.#.._uart_char_ 38b50 67657400 00000350 02230808 5f756172 get....P.#.._uar 38b60 745f7374 725f6f75 74000000 03590223 t_str_out....Y.# 38b70 0c085f75 6172745f 7461736b 00000001 .._uart_task.... 38b80 08022310 085f7561 72745f73 74617475 ..#.._uart_statu 38b90 73000000 03150223 14085f75 6172745f s......#.._uart_ 38ba0 636f6e66 69670000 00036202 2318085f config....b.#.._ 38bb0 75617274 5f687769 6e697400 0000036b uart_hwinit....k 38bc0 02231c00 03000002 05040007 75617274 .#..........uart 38bd0 5f626c6b 00100000 030f0864 65627567 _blk.......debug 38be0 5f6d6f64 65000000 01890223 00086261 _mode......#..ba 38bf0 75640000 00018902 2302085f 75617274 ud......#.._uart 38c00 00000002 be022304 085f7478 00000001 ......#.._tx.... 38c10 ba022308 00060000 01ac0103 0000030f ..#............. 38c20 04000475 6e736967 6e656420 63686172 ...unsigned char 38c30 00070109 75696e74 385f7400 0000031c ....uint8_t..... 38c40 02010300 00033a04 00030000 032d0400 ......:......-.. 38c50 06000001 89010300 00034a04 00020103 ..........J..... 38c60 00000357 04000201 03000003 60040002 ...W........`... 38c70 01030000 03690400 03000001 16040006 .....i.......... 38c80 0000010f 01030000 03790400 0744425f .........y...DB_ 38c90 434f4d4d 414e445f 53545255 4354000c COMMAND_STRUCT.. 38ca0 000003d1 08636d64 5f737472 00000003 .....cmd_str.... 38cb0 72022300 0868656c 705f7374 72000000 r.#..help_str... 38cc0 03720223 0408636d 645f6675 6e630000 .r.#..cmd_func.. 38cd0 00037f02 23080007 6462675f 61706900 ....#...dbg_api. 38ce0 08000004 04085f64 62675f69 6e697400 ......_dbg_init. 38cf0 00000108 02230008 5f646267 5f746173 .....#.._dbg_tas 38d00 6b000000 01080223 04000a04 0004756e k......#......un 38d10 7369676e 65642069 6e740007 04060000 signed int...... 38d20 04040103 00000417 04000b0b 03000004 ................ 38d30 25040006 00000404 01030000 042d0400 %............-.. 38d40 06000001 0f010300 00043a04 00076d65 ..........:...me 38d50 6d5f6170 69001400 0004a908 5f6d656d m_api......._mem 38d60 5f696e69 74000000 01080223 00085f6d _init......#.._m 38d70 656d7365 74000000 041d0223 04085f6d emset......#.._m 38d80 656d6370 79000000 04330223 08085f6d emcpy....3.#.._m 38d90 656d6d6f 76650000 00043302 230c085f emmove....3.#.._ 38da0 6d656d63 6d700000 00044002 2310000c memcmp....@.#... 38db0 72656769 73746572 5f64756d 705f7300 register_dump_s. 38dc0 00010300 0004a904 00020103 000004c3 ................ 38dd0 04000201 03000004 cc040006 0000010f ................ 38de0 01030000 04d50400 0d686f73 7469665f .........hostif_ 38df0 73000400 0005310e 4849465f 55534200 s.....1.HIF_USB. 38e00 000e4849 465f5043 49450001 0e484946 ..HIF_PCIE...HIF 38e10 5f474d41 4300020e 4849465f 50434900 _GMAC...HIF_PCI. 38e20 030e4849 465f4e55 4d00040e 4849465f ..HIF_NUM...HIF_ 38e30 4e4f4e45 00050009 415f484f 53544946 NONE....A_HOSTIF 38e40 00000004 e2060000 05310103 0000053f .........1.....? 38e50 04000600 00032d01 03000005 4c040006 ......-.....L... 38e60 00000189 01030000 05590400 076d6973 .........Y...mis 38e70 635f6170 69002400 00064908 5f737973 c_api.$...I._sys 38e80 74656d5f 72657365 74000000 01080223 tem_reset......# 38e90 00085f6d 61635f72 65736574 00000001 .._mac_reset.... 38ea0 08022304 085f6173 73666169 6c000000 ..#.._assfail... 38eb0 04c50223 08085f6d 6973616c 69676e65 ...#.._misaligne 38ec0 645f6c6f 61645f68 616e646c 65720000 d_load_handler.. 38ed0 0004c502 230c085f 7265706f 72745f66 ....#.._report_f 38ee0 61696c75 72655f74 6f5f686f 73740000 ailure_to_host.. 38ef0 0004ce02 2310085f 74617267 65745f69 ....#.._target_i 38f00 645f6765 74000000 04db0223 14085f69 d_get......#.._i 38f10 735f686f 73745f70 72657365 6e740000 s_host_present.. 38f20 00054502 2318085f 6b626869 74000000 ..E.#.._kbhit... 38f30 05520223 1c085f72 6f6d5f76 65727369 .R.#.._rom_versi 38f40 6f6e5f67 65740000 00055f02 23200006 on_get...._.# .. 38f50 00000372 01030000 06490400 06000003 ...r.....I...... 38f60 72010300 00065604 00060000 010f0103 r.....V......... 38f70 00000663 04000600 00010f01 03000006 ...c............ 38f80 70040006 0000010f 01030000 067d0400 p............}.. 38f90 07737472 696e675f 61706900 18000007 .string_api..... 38fa0 03085f73 7472696e 675f696e 69740000 .._string_init.. 38fb0 00010802 2300085f 73747263 70790000 ....#.._strcpy.. 38fc0 00064f02 2304085f 7374726e 63707900 ..O.#.._strncpy. 38fd0 0000065c 02230808 5f737472 6c656e00 ...\.#.._strlen. 38fe0 00000669 02230c08 5f737472 636d7000 ...i.#.._strcmp. 38ff0 00000676 02231008 5f737472 6e636d70 ...v.#.._strncmp 39000 00000006 83022314 000f0000 04071400 ......#......... 39010 00071010 0400095f 415f5449 4d45525f ......._A_TIMER_ 39020 53504143 45000000 07030941 5f74696d SPACE......A_tim 39030 65725f74 00000007 10030000 07240400 er_t.........$.. 39040 02010300 00073a04 00020103 00000743 ......:........C 39050 04000941 5f48414e 444c4500 00000407 ...A_HANDLE..... 39060 02010941 5f54494d 45525f46 554e4300 ...A_TIMER_FUNC. 39070 0000075a 03000007 5c040002 01030000 ...Z....\....... 39080 07750400 0774696d 65725f61 70690014 .u...timer_api.. 39090 000007f4 085f7469 6d65725f 696e6974 ....._timer_init 390a0 00000001 08022300 085f7469 6d65725f ......#.._timer_ 390b0 61726d00 0000073c 02230408 5f74696d arm....<.#.._tim 390c0 65725f64 69736172 6d000000 07450223 er_disarm....E.# 390d0 08085f74 696d6572 5f736574 666e0000 .._timer_setfn.. 390e0 00077702 230c085f 74696d65 725f7275 ..w.#.._timer_ru 390f0 6e000000 01080223 10000942 4f4f4c45 n......#...BOOLE 39100 414e0000 00018906 000007f4 01030000 AN.............. 39110 08010400 06000007 f4010300 00080e04 ................ 39120 00060000 07f40103 0000081b 04000772 ...............r 39130 6f6d705f 61706900 10000008 8d085f72 omp_api......._r 39140 6f6d705f 696e6974 00000001 08022300 omp_init......#. 39150 085f726f 6d705f64 6f776e6c 6f616400 ._romp_download. 39160 00000807 02230408 5f726f6d 705f696e .....#.._romp_in 39170 7374616c 6c000000 08140223 08085f72 stall......#.._r 39180 6f6d705f 6465636f 64650000 00082102 omp_decode....!. 39190 230c0007 726f6d5f 70617463 685f7374 #...rom_patch_st 391a0 00100000 08e90863 72633136 00000001 .......crc16.... 391b0 89022300 086c656e 00000001 89022302 ..#..len......#. 391c0 086c645f 61646472 00000001 ac022304 .ld_addr......#. 391d0 0866756e 5f616464 72000000 01ac0223 .fun_addr......# 391e0 08087066 756e0000 00034302 230c0007 ..pfun....C.#... 391f0 6565705f 72656469 725f6164 64720004 eep_redir_addr.. 39200 0000091b 086f6666 73657400 00000189 .....offset..... 39210 02230008 73697a65 00000001 89022302 .#..size......#. 39220 0009415f 55494e54 33320000 00040706 ..A_UINT32...... 39230 00000404 01030000 09290400 07616c6c .........)...all 39240 6f637261 6d5f6170 69000c00 00099a08 ocram_api....... 39250 636d6e6f 735f616c 6c6f6372 616d5f69 cmnos_allocram_i 39260 6e697400 0000092f 02230008 636d6e6f nit..../.#..cmno 39270 735f616c 6c6f6372 616d0000 00092f02 s_allocram..../. 39280 23040863 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra 39290 6d5f6465 62756700 00000108 02230800 m_debug......#.. 392a0 02010300 00099a04 0009415f 5441534b ..........A_TASK 392b0 4c45545f 46554e43 00000009 9c075f74 LET_FUNC......_t 392c0 61736b6c 65740010 000009fb 0866756e asklet.......fun 392d0 63000000 09a30223 00086172 67000000 c......#..arg... 392e0 04040223 04087374 61746500 0000010f ...#..state..... 392f0 02230808 6e657874 00000009 fb02230c .#..next......#. 39300 00030000 09b70400 03000009 b7040009 ................ 39310 415f7461 736b6c65 745f7400 000009b7 A_tasklet_t..... 39320 0300000a 09040002 01030000 0a210400 .............!.. 39330 02010300 000a2a04 00077461 736b6c65 ......*...taskle 39340 745f6170 69001400 000abf08 5f746173 t_api......._tas 39350 6b6c6574 5f696e69 74000000 01080223 klet_init......# 39360 00085f74 61736b6c 65745f69 6e69745f .._tasklet_init_ 39370 7461736b 0000000a 23022304 085f7461 task....#.#.._ta 39380 736b6c65 745f6469 7361626c 65000000 sklet_disable... 39390 0a2c0223 08085f74 61736b6c 65745f73 .,.#.._tasklet_s 393a0 63686564 756c6500 00000a2c 02230c08 chedule....,.#.. 393b0 5f746173 6b6c6574 5f72756e 00000001 _tasklet_run.... 393c0 08022310 00020103 00000abf 04000600 ..#............. 393d0 00091b01 0300000a c8040002 01030000 ................ 393e0 0ad50400 07636c6f 636b5f61 70690024 .....clock_api.$ 393f0 00000bb7 085f636c 6f636b5f 696e6974 ....._clock_init 39400 0000000a c1022300 085f636c 6f636b72 ......#.._clockr 39410 6567735f 696e6974 00000001 08022304 egs_init......#. 39420 085f7561 72745f66 72657175 656e6379 ._uart_frequency 39430 0000000a ce022308 085f6465 6c61795f ......#.._delay_ 39440 75730000 000ad702 230c085f 776c616e us......#.._wlan 39450 5f62616e 645f7365 74000000 0ad70223 _band_set......# 39460 10085f72 6566636c 6b5f7370 6565645f .._refclk_speed_ 39470 67657400 00000ace 02231408 5f6d696c get......#.._mil 39480 6c697365 636f6e64 73000000 0ace0223 liseconds......# 39490 18085f73 7973636c 6b5f6368 616e6765 .._sysclk_change 394a0 00000001 0802231c 085f636c 6f636b5f ......#.._clock_ 394b0 7469636b 00000001 08022320 00060000 tick......# .... 394c0 01ac0103 00000bb7 04000941 5f6f6c64 ...........A_old 394d0 5f696e74 725f7400 000001ac 0600000b _intr_t......... 394e0 c4010300 000bd604 00020103 00000be3 ................ 394f0 04000201 0300000b ec040006 000001ac ................ 39500 01030000 0bf50400 09415f69 73725f74 .........A_isr_t 39510 0000000b fb020103 00000c0f 04000600 ................ 39520 00040701 0300000c 18040002 01030000 ................ 39530 0c250400 07696e74 725f6170 69002c00 .%...intr_api.,. 39540 000d4708 5f696e74 725f696e 69740000 ..G._intr_init.. 39550 00010802 2300085f 696e7472 5f696e76 ....#.._intr_inv 39560 6f6b655f 69737200 00000bbd 02230408 oke_isr......#.. 39570 5f696e74 725f6469 7361626c 65000000 _intr_disable... 39580 0bdc0223 08085f69 6e74725f 72657374 ...#.._intr_rest 39590 6f726500 00000be5 02230c08 5f696e74 ore......#.._int 395a0 725f6d61 736b5f69 6e756d00 00000bee r_mask_inum..... 395b0 02231008 5f696e74 725f756e 6d61736b .#.._intr_unmask 395c0 5f696e75 6d000000 0bee0223 14085f69 _inum......#.._i 395d0 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. 395e0 000c1102 2318085f 6765745f 696e7472 ....#.._get_intr 395f0 656e6162 6c650000 000c1e02 231c085f enable......#.._ 39600 7365745f 696e7472 656e6162 6c650000 set_intrenable.. 39610 000c2702 2320085f 6765745f 696e7472 ..'.# ._get_intr 39620 70656e64 696e6700 00000c1e 02232408 pending......#$. 39630 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int 39640 726c766c 00000001 08022328 00110400 rlvl......#(.... 39650 000d6d08 74696d65 6f757400 000001ac ..m.timeout..... 39660 02230008 61637469 6f6e0000 0001ac02 .#..action...... 39670 23000012 0800000d 8808636d 64000000 #.........cmd... 39680 01ac0223 00130000 0d470223 04000954 ...#.....G.#...T 39690 5f574454 5f434d44 0000000d 6d020103 _WDT_CMD....m... 396a0 00000d97 04001404 00000ded 0e454e55 .............ENU 396b0 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU 396c0 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN 396d0 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E 396e0 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT 396f0 00040009 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. 39700 00000da0 0600000d ed010300 000dfe04 ................ 39710 00077764 745f6170 69001c00 000ea208 ..wdt_api....... 39720 5f776474 5f696e69 74000000 01080223 _wdt_init......# 39730 00085f77 64745f65 6e61626c 65000000 .._wdt_enable... 39740 01080223 04085f77 64745f64 69736162 ...#.._wdt_disab 39750 6c650000 00010802 2308085f 7764745f le......#.._wdt_ 39760 73657400 00000d99 02230c08 5f776474 set......#.._wdt 39770 5f746173 6b000000 01080223 10085f77 _task......#.._w 39780 64745f72 65736574 00000001 08022314 dt_reset......#. 39790 085f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. 397a0 00000e04 02231800 14040000 0f090e52 .....#.........R 397b0 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET 397c0 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ 397d0 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ 397e0 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE 397f0 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. 39800 0e524554 5f554e4b 4e4f574e 00050009 .RET_UNKNOWN.... 39810 545f4545 505f5245 54000000 0ea20300 T_EEP_RET....... 39820 00018904 00060000 0f090103 00000f1f ................ 39830 04000600 000f0901 0300000f 2c040007 ............,... 39840 6565705f 61706900 1000000f 95085f65 eep_api......._e 39850 65705f69 6e697400 00000108 02230008 ep_init......#.. 39860 5f656570 5f726561 64000000 0f250223 _eep_read....%.# 39870 04085f65 65705f77 72697465 0000000f .._eep_write.... 39880 25022308 085f6565 705f6973 5f657869 %.#.._eep_is_exi 39890 73740000 000f3202 230c0007 7573625f st....2.#...usb_ 398a0 61706900 70000012 42085f75 73625f69 api.p...B._usb_i 398b0 6e697400 00000108 02230008 5f757362 nit......#.._usb 398c0 5f726f6d 5f746173 6b000000 01080223 _rom_task......# 398d0 04085f75 73625f66 775f7461 736b0000 .._usb_fw_task.. 398e0 00010802 2308085f 7573625f 696e6974 ....#.._usb_init 398f0 5f706879 00000001 0802230c 085f7573 _phy......#.._us 39900 625f6570 305f7365 74757000 00000108 b_ep0_setup..... 39910 02231008 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. 39920 00000108 02231408 5f757362 5f657030 .....#.._usb_ep0 39930 5f727800 00000108 02231808 5f757362 _rx......#.._usb 39940 5f676574 5f696e74 65726661 63650000 _get_interface.. 39950 00081402 231c085f 7573625f 7365745f ....#.._usb_set_ 39960 696e7465 72666163 65000000 08140223 interface......# 39970 20085f75 73625f67 65745f63 6f6e6669 ._usb_get_confi 39980 67757261 74696f6e 00000008 14022324 guration......#$ 39990 085f7573 625f7365 745f636f 6e666967 ._usb_set_config 399a0 75726174 696f6e00 00000814 02232808 uration......#(. 399b0 5f757362 5f737461 6e646172 645f636d _usb_standard_cm 399c0 64000000 08140223 2c085f75 73625f76 d......#,._usb_v 399d0 656e646f 725f636d 64000000 01080223 endor_cmd......# 399e0 30085f75 73625f70 6f776572 5f6f6666 0._usb_power_off 399f0 00000001 08022334 085f7573 625f7265 ......#4._usb_re 39a00 7365745f 6669666f 00000001 08022338 set_fifo......#8 39a10 085f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... 39a20 01080223 3c085f75 73625f6a 756d705f ...#<._usb_jump_ 39a30 626f6f74 00000001 08022340 085f7573 boot......#@._us 39a40 625f636c 725f6665 61747572 65000000 b_clr_feature... 39a50 08140223 44085f75 73625f73 65745f66 ...#D._usb_set_f 39a60 65617475 72650000 00081402 2348085f eature......#H._ 39a70 7573625f 7365745f 61646472 65737300 usb_set_address. 39a80 00000814 02234c08 5f757362 5f676574 .....#L._usb_get 39a90 5f646573 63726970 746f7200 00000814 _descriptor..... 39aa0 02235008 5f757362 5f676574 5f737461 .#P._usb_get_sta 39ab0 74757300 00000814 02235408 5f757362 tus......#T._usb 39ac0 5f736574 75705f64 65736300 00000108 _setup_desc..... 39ad0 02235808 5f757362 5f726567 5f6f7574 .#X._usb_reg_out 39ae0 00000001 0802235c 085f7573 625f7374 ......#\._usb_st 39af0 61747573 5f696e00 00000108 02236008 atus_in......#`. 39b00 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data 39b10 00000001 08022364 085f7573 625f6570 ......#d._usb_ep 39b20 305f7278 5f646174 61000000 01080223 0_rx_data......# 39b30 68085f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. 39b40 00000108 02236c00 075f5644 45534300 .....#l.._VDESC. 39b50 24000012 ce086e65 78745f64 65736300 $.....next_desc. 39b60 000012ce 02230008 6275665f 61646472 .....#..buf_addr 39b70 00000012 e2022304 08627566 5f73697a ......#..buf_siz 39b80 65000000 12e90223 08086461 74615f6f e......#..data_o 39b90 66667365 74000000 12e90223 0a086461 ffset......#..da 39ba0 74615f73 697a6500 000012e9 02230c08 ta_size......#.. 39bb0 636f6e74 726f6c00 000012e9 02230e08 control......#.. 39bc0 68775f64 6573635f 62756600 000012f7 hw_desc_buf..... 39bd0 02231000 03000012 42040009 415f5549 .#......B...A_UI 39be0 4e543800 0000031c 03000012 d5040009 NT8............. 39bf0 415f5549 4e543136 00000001 730f0000 A_UINT16....s... 39c00 12d51400 00130410 13000300 00124204 ..............B. 39c10 00095644 45534300 00001242 03000013 ..VDESC....B.... 39c20 0b040006 00001316 01030000 131d0400 ................ 39c30 06000012 e2010300 00132a04 00020103 ..........*..... 39c40 00001337 04000776 64657363 5f617069 ...7...vdesc_api 39c50 00140000 13af085f 696e6974 0000000a ......._init.... 39c60 d7022300 085f616c 6c6f635f 76646573 ..#.._alloc_vdes 39c70 63000000 13230223 04085f67 65745f68 c....#.#.._get_h 39c80 775f6465 73630000 00133002 2308085f w_desc....0.#.._ 39c90 73776170 5f766465 73630000 00133902 swap_vdesc....9. 39ca0 230c0870 52657365 72766564 00000004 #..pReserved.... 39cb0 04022310 00075f56 42554600 20000014 ..#..._VBUF. ... 39cc0 0f086465 73635f6c 69737400 00001316 ..desc_list..... 39cd0 02230008 6e657874 5f627566 00000014 .#..next_buf.... 39ce0 0f022304 08627566 5f6c656e 67746800 ..#..buf_length. 39cf0 000012e9 02230808 72657365 72766564 .....#..reserved 39d00 00000014 1602230a 08637478 00000012 ......#..ctx.... 39d10 f702230c 00030000 13af0400 0f000012 ..#............. 39d20 d5020000 14231001 00030000 13af0400 .....#.......... 39d30 09564255 46000000 13af0300 00142a04 .VBUF.........*. 39d40 00060000 14340103 0000143b 04000600 .....4.....;.... 39d50 00143401 03000014 48040002 01030000 ..4.....H....... 39d60 14550400 07766275 665f6170 69001400 .U...vbuf_api... 39d70 0014d308 5f696e69 74000000 0ad70223 ...._init......# 39d80 00085f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... 39d90 14410223 04085f61 6c6c6f63 5f766275 .A.#.._alloc_vbu 39da0 665f7769 74685f73 697a6500 0000144e f_with_size....N 39db0 02230808 5f667265 655f7662 75660000 .#.._free_vbuf.. 39dc0 00145702 230c0870 52657365 72766564 ..W.#..pReserved 39dd0 00000004 04022310 00075f5f 6164665f ......#...__adf_ 39de0 64657669 63650004 000014f5 0864756d device.......dum 39df0 6d790000 00010f02 23000003 0000091b my......#....... 39e00 0400075f 5f616466 5f646d61 5f6d6170 ...__adf_dma_map 39e10 000c0000 153c0862 75660000 00143402 .....<.buf....4. 39e20 23000864 735f6164 64720000 0014f502 #..ds_addr...... 39e30 23040864 735f6c65 6e000000 12e90223 #..ds_len......# 39e40 0800120c 00001576 085f5f76 615f7374 .......v.__va_st 39e50 6b000000 03720223 00085f5f 76615f72 k....r.#..__va_r 39e60 65670000 00037202 2304085f 5f76615f eg....r.#..__va_ 39e70 6e647800 0000010f 02230800 095f5f61 ndx......#...__a 39e80 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t 39e90 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma 39ea0 5f616464 725f7400 00001576 095f5f61 _addr_t....v.__a 39eb0 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t 39ec0 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma 39ed0 5f73697a 655f7400 000015a6 075f5f64 _size_t......__d 39ee0 6d615f73 65677300 08000016 02087061 ma_segs.......pa 39ef0 64647200 0000158f 02230008 6c656e00 ddr......#..len. 39f00 000015bf 02230400 095f5f61 5f75696e .....#...__a_uin 39f10 7433325f 74000000 091b0961 5f75696e t32_t......a_uin 39f20 7433325f 74000000 16020f00 0015d608 t32_t........... 39f30 00001631 10000007 6164665f 6f735f64 ...1....adf_os_d 39f40 6d616d61 705f696e 666f000c 0000166a mamap_info.....j 39f50 086e7365 67730000 00161402 23000864 .nsegs......#..d 39f60 6d615f73 65677300 00001624 02230400 ma_segs....$.#.. 39f70 095f5f61 5f75696e 74385f74 00000012 .__a_uint8_t.... 39f80 d509615f 75696e74 385f7400 0000166a ..a_uint8_t....j 39f90 03000016 7b040007 5f5f7367 5f736567 ....{...__sg_seg 39fa0 73000800 0016bc08 76616464 72000000 s.......vaddr... 39fb0 168a0223 00086c65 6e000000 16140223 ...#..len......# 39fc0 04000f00 00169120 000016c9 10030007 ....... ........ 39fd0 6164665f 6f735f73 676c6973 74002400 adf_os_sglist.$. 39fe0 0016fc08 6e736567 73000000 16140223 ....nsegs......# 39ff0 00087367 5f736567 73000000 16bc0223 ..sg_segs......# 3a000 04001210 00001745 0876656e 646f7200 .......E.vendor. 3a010 00001614 02230008 64657669 63650000 .....#..device.. 3a020 00161402 23040873 75627665 6e646f72 ....#..subvendor 3a030 00000016 14022308 08737562 64657669 ......#..subdevi 3a040 63650000 00161402 230c0004 6c6f6e67 ce......#...long 3a050 206c6f6e 6720756e 7369676e 65642069 long unsigned i 3a060 6e740007 0809415f 55494e54 36340000 nt....A_UINT64.. 3a070 00174509 5f5f615f 75696e74 36345f74 ..E.__a_uint64_t 3a080 00000017 5f09615f 75696e74 36345f74 ...._.a_uint64_t 3a090 00000017 6d140400 0017cb0e 4144465f ....m.......ADF_ 3a0a0 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 3a0b0 5f4d454d 00000e41 44465f4f 535f5245 _MEM...ADF_OS_RE 3a0c0 534f5552 43455f54 5950455f 494f0001 SOURCE_TYPE_IO.. 3a0d0 00096164 665f6f73 5f726573 6f757263 ..adf_os_resourc 3a0e0 655f7479 70655f74 00000017 8f121800 e_type_t........ 3a0f0 00181508 73746172 74000000 177f0223 ....start......# 3a100 0008656e 64000000 177f0223 08087479 ..end......#..ty 3a110 70650000 0017cb02 23100009 6164665f pe......#...adf_ 3a120 6f735f70 63695f64 65765f69 645f7400 os_pci_dev_id_t. 3a130 000016fc 03000018 15040011 04000018 ................ 3a140 54087063 69000000 182e0223 00087261 T.pci......#..ra 3a150 77000000 04040223 00001110 00001873 w......#.......s 3a160 08706369 00000018 15022300 08726177 .pci......#..raw 3a170 00000004 04022300 00096164 665f6472 ......#...adf_dr 3a180 765f6861 6e646c65 5f740000 00040409 v_handle_t...... 3a190 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 3a1a0 74000000 17e70300 00188904 00096164 t.............ad 3a1b0 665f6f73 5f617474 6163685f 64617461 f_os_attach_data 3a1c0 5f740000 00185403 000018a7 04000300 _t....T......... 3a1d0 0014d304 00095f5f 6164665f 6f735f64 ......__adf_os_d 3a1e0 65766963 655f7400 000018c8 09616466 evice_t......adf 3a1f0 5f6f735f 64657669 63655f74 00000018 _os_device_t.... 3a200 cf060000 18730103 000018fb 04000201 .....s.......... 3a210 03000019 08040009 6164665f 6f735f70 ........adf_os_p 3a220 6d5f7400 00000404 02010300 00192204 m_t...........". 3a230 00140400 0019620e 4144465f 4f535f42 ......b.ADF_OS_B 3a240 55535f54 5950455f 50434900 010e4144 US_TYPE_PCI...AD 3a250 465f4f53 5f425553 5f545950 455f4745 F_OS_BUS_TYPE_GE 3a260 4e455249 43000200 09616466 5f6f735f NERIC....adf_os_ 3a270 6275735f 74797065 5f740000 00192b09 bus_type_t....+. 3a280 6164665f 6f735f62 75735f72 65675f64 adf_os_bus_reg_d 3a290 6174615f 74000000 18350300 00031c04 ata_t....5...... 3a2a0 00075f61 64665f64 72765f69 6e666f00 .._adf_drv_info. 3a2b0 2000001a 3f086472 765f6174 74616368 ...?.drv_attach 3a2c0 00000019 01022300 08647276 5f646574 ......#..drv_det 3a2d0 61636800 0000190a 02230408 6472765f ach......#..drv_ 3a2e0 73757370 656e6400 00001924 02230808 suspend....$.#.. 3a2f0 6472765f 72657375 6d650000 00190a02 drv_resume...... 3a300 230c0862 75735f74 79706500 00001962 #..bus_type....b 3a310 02231008 6275735f 64617461 00000019 .#..bus_data.... 3a320 79022314 086d6f64 5f6e616d 65000000 y.#..mod_name... 3a330 19940223 18086966 6e616d65 00000019 ...#..ifname.... 3a340 9402231c 00096164 665f6f73 5f68616e ..#...adf_os_han 3a350 646c655f 74000000 04040300 00166a04 dle_t.........j. 3a360 00020102 01095f5f 6164665f 6f735f73 ......__adf_os_s 3a370 697a655f 74000000 04071404 00001a8e ize_t........... 3a380 0e415f46 414c5345 00000e41 5f545255 .A_FALSE...A_TRU 3a390 45000100 09615f62 6f6f6c5f 74000000 E....a_bool_t... 3a3a0 1a740300 0014fc04 00095f5f 6164665f .t........__adf_ 3a3b0 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... 3a3c0 9c02010d 6164665f 6f735f63 61636865 ....adf_os_cache 3a3d0 5f73796e 63000400 001b260e 4144465f _sync.....&.ADF_ 3a3e0 53594e43 5f505245 52454144 00000e41 SYNC_PREREAD...A 3a3f0 44465f53 594e435f 50524557 52495445 DF_SYNC_PREWRITE 3a400 00020e41 44465f53 594e435f 504f5354 ...ADF_SYNC_POST 3a410 52454144 00010e41 44465f53 594e435f READ...ADF_SYNC_ 3a420 504f5354 57524954 45000300 09616466 POSTWRITE....adf 3a430 5f6f735f 63616368 655f7379 6e635f74 _os_cache_sync_t 3a440 0000001a bd020109 6164665f 6f735f73 ........adf_os_s 3a450 697a655f 74000000 1a5f0600 001b4101 ize_t...._....A. 3a460 09616466 5f6f735f 646d615f 6d61705f .adf_os_dma_map_ 3a470 74000000 1aa30300 001b5a04 00060000 t.........Z..... 3a480 04040103 00001aa3 04000600 00040401 ................ 3a490 02010600 00158f01 02010473 686f7274 ...........short 3a4a0 20696e74 00050209 415f494e 54313600 int....A_INT16. 3a4b0 00001b94 095f5f61 5f696e74 31365f74 .....__a_int16_t 3a4c0 0000001b a109615f 696e7431 365f7400 ......a_int16_t. 3a4d0 00001bae 04736967 6e656420 63686172 .....signed char 3a4e0 00050109 415f494e 54380000 001bce09 ....A_INT8...... 3a4f0 5f5f615f 696e7438 5f740000 001bdd09 __a_int8_t...... 3a500 615f696e 74385f74 0000001b e9120c00 a_int8_t........ 3a510 001c6008 73757070 6f727465 64000000 ..`.supported... 3a520 16140223 00086164 76657274 697a6564 ...#..advertized 3a530 00000016 14022304 08737065 65640000 ......#..speed.. 3a540 001bbf02 23080864 75706c65 78000000 ....#..duplex... 3a550 1bf90223 0a086175 746f6e65 67000000 ...#..autoneg... 3a560 167b0223 0b000f00 00167b06 00001c6d .{.#......{....m 3a570 10050007 6164665f 6e65745f 65746861 ....adf_net_etha 3a580 64647200 0600001c 91086164 64720000 ddr.......addr.. 3a590 001c6002 23000009 5f5f615f 75696e74 ..`.#...__a_uint 3a5a0 31365f74 00000012 e909615f 75696e74 16_t......a_uint 3a5b0 31365f74 0000001c 91120e00 001cf508 16_t............ 3a5c0 65746865 725f6468 6f737400 00001c60 ether_dhost....` 3a5d0 02230008 65746865 725f7368 6f737400 .#..ether_shost. 3a5e0 00001c60 02230608 65746865 725f7479 ...`.#..ether_ty 3a5f0 70650000 001ca302 230c0012 1400001d pe......#....... 3a600 b6156970 5f766572 73696f6e 00000016 ..ip_version.... 3a610 7b010004 02230015 69705f68 6c000000 {....#..ip_hl... 3a620 167b0104 04022300 0869705f 746f7300 .{....#..ip_tos. 3a630 0000167b 02230108 69705f6c 656e0000 ...{.#..ip_len.. 3a640 001ca302 23020869 705f6964 0000001c ....#..ip_id.... 3a650 a3022304 0869705f 66726167 5f6f6666 ..#..ip_frag_off 3a660 0000001c a3022306 0869705f 74746c00 ......#..ip_ttl. 3a670 0000167b 02230808 69705f70 726f746f ...{.#..ip_proto 3a680 00000016 7b022309 0869705f 63686563 ....{.#..ip_chec 3a690 6b000000 1ca30223 0a086970 5f736164 k......#..ip_sad 3a6a0 64720000 00161402 230c0869 705f6461 dr......#..ip_da 3a6b0 64647200 00001614 02231000 07616466 ddr......#...adf 3a6c0 5f6e6574 5f766c61 6e686472 00040000 _net_vlanhdr.... 3a6d0 1e080874 70696400 00001ca3 02230015 ...tpid......#.. 3a6e0 7072696f 00000016 7b010003 02230215 prio....{....#.. 3a6f0 63666900 0000167b 01030102 23021576 cfi....{....#..v 3a700 69640000 001ca302 040c0223 02000761 id.........#...a 3a710 64665f6e 65745f76 69640002 00001e39 df_net_vid.....9 3a720 15726573 00000016 7b010004 02230015 .res....{....#.. 3a730 76616c00 00001ca3 02040c02 23000012 val.........#... 3a740 0c00001e 75087278 5f627566 73697a65 ....u.rx_bufsize 3a750 00000016 14022300 0872785f 6e646573 ......#..rx_ndes 3a760 63000000 16140223 04087478 5f6e6465 c......#..tx_nde 3a770 73630000 00161402 23080012 0800001e sc......#....... 3a780 9b08706f 6c6c6564 0000001a 8e022300 ..polled......#. 3a790 08706f6c 6c5f7774 00000016 14022304 .poll_wt......#. 3a7a0 000f0000 167b4000 001ea810 3f001246 .....{@.....?..F 3a7b0 00001ed0 0869665f 6e616d65 0000001e .....if_name.... 3a7c0 9b022300 08646576 5f616464 72000000 ..#..dev_addr... 3a7d0 1c600223 40001404 00001f07 0e414446 .`.#@........ADF 3a7e0 5f4f535f 444d415f 4d41534b 5f333242 _OS_DMA_MASK_32B 3a7f0 49540000 0e414446 5f4f535f 444d415f IT...ADF_OS_DMA_ 3a800 4d41534b 5f363442 49540001 00096164 MASK_64BIT....ad 3a810 665f6f73 5f646d61 5f6d6173 6b5f7400 f_os_dma_mask_t. 3a820 00001ed0 07616466 5f646d61 5f696e66 .....adf_dma_inf 3a830 6f000800 001f5408 646d615f 6d61736b o.....T.dma_mask 3a840 0000001f 07022300 0873675f 6e736567 ......#..sg_nseg 3a850 73000000 16140223 04001404 00001faa s......#........ 3a860 0e414446 5f4e4554 5f434b53 554d5f4e .ADF_NET_CKSUM_N 3a870 4f4e4500 000e4144 465f4e45 545f434b ONE...ADF_NET_CK 3a880 53554d5f 5443505f 5544505f 49507634 SUM_TCP_UDP_IPv4 3a890 00010e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 3a8a0 5f544350 5f554450 5f495076 36000200 _TCP_UDP_IPv6... 3a8b0 09616466 5f6e6574 5f636b73 756d5f74 .adf_net_cksum_t 3a8c0 7970655f 74000000 1f541208 00001fed ype_t....T...... 3a8d0 0874785f 636b7375 6d000000 1faa0223 .tx_cksum......# 3a8e0 00087278 5f636b73 756d0000 001faa02 ..rx_cksum...... 3a8f0 23040009 6164665f 6e65745f 636b7375 #...adf_net_cksu 3a900 6d5f696e 666f5f74 0000001f c4140400 m_info_t........ 3a910 0020460e 4144465f 4e45545f 54534f5f . F.ADF_NET_TSO_ 3a920 4e4f4e45 00000e41 44465f4e 45545f54 NONE...ADF_NET_T 3a930 534f5f49 50563400 010e4144 465f4e45 SO_IPV4...ADF_NE 3a940 545f5453 4f5f414c 4c000200 09616466 T_TSO_ALL....adf 3a950 5f6e6574 5f74736f 5f747970 655f7400 _net_tso_type_t. 3a960 00002007 12100000 209a0863 6b73756d .. ..... ..cksum 3a970 5f636170 0000001f ed022300 0874736f _cap......#..tso 3a980 00000020 46022308 08766c61 6e5f7375 ... F.#..vlan_su 3a990 70706f72 74656400 0000167b 02230c00 pported....{.#.. 3a9a0 12200000 21330874 785f7061 636b6574 . ..!3.tx_packet 3a9b0 73000000 16140223 00087278 5f706163 s......#..rx_pac 3a9c0 6b657473 00000016 14022304 0874785f kets......#..tx_ 3a9d0 62797465 73000000 16140223 08087278 bytes......#..rx 3a9e0 5f627974 65730000 00161402 230c0874 _bytes......#..t 3a9f0 785f6472 6f707065 64000000 16140223 x_dropped......# 3aa00 10087278 5f64726f 70706564 00000016 ..rx_dropped.... 3aa10 14022314 0872785f 6572726f 72730000 ..#..rx_errors.. 3aa20 00161402 23180874 785f6572 726f7273 ....#..tx_errors 3aa30 00000016 1402231c 00096164 665f6e65 ......#...adf_ne 3aa40 745f6574 68616464 725f7400 00001c6d t_ethaddr_t....m 3aa50 16000021 33030000 00215810 7f001761 ...!3....!X....a 3aa60 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd 3aa70 72000304 0000218f 086e656c 656d0000 r.....!..nelem.. 3aa80 00161402 2300086d 63617374 00000021 ....#..mcast...! 3aa90 4a022304 00096164 665f6e65 745f636d J.#...adf_net_cm 3aaa0 645f6c69 6e6b5f69 6e666f5f 74000000 d_link_info_t... 3aab0 1c070961 64665f6e 65745f63 6d645f70 ...adf_net_cmd_p 3aac0 6f6c6c5f 696e666f 5f740000 001e7509 oll_info_t....u. 3aad0 6164665f 6e65745f 636d645f 636b7375 adf_net_cmd_cksu 3aae0 6d5f696e 666f5f74 0000001f ed096164 m_info_t......ad 3aaf0 665f6e65 745f636d 645f7269 6e675f69 f_net_cmd_ring_i 3ab00 6e666f5f 74000000 1e390961 64665f6e nfo_t....9.adf_n 3ab10 65745f63 6d645f64 6d615f69 6e666f5f et_cmd_dma_info_ 3ab20 74000000 1f1e0961 64665f6e 65745f63 t......adf_net_c 3ab30 6d645f76 69645f74 0000001c a3096164 md_vid_t......ad 3ab40 665f6e65 745f636d 645f6f66 666c6f61 f_net_cmd_offloa 3ab50 645f6361 705f7400 0000205e 09616466 d_cap_t... ^.adf 3ab60 5f6e6574 5f636d64 5f737461 74735f74 _net_cmd_stats_t 3ab70 00000020 9a096164 665f6e65 745f636d ... ..adf_net_cm 3ab80 645f6d63 61646472 5f740000 0021580d d_mcaddr_t...!X. 3ab90 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas 3aba0 745f6361 70000400 0022d10e 4144465f t_cap...."..ADF_ 3abb0 4e45545f 4d434153 545f5355 5000000e NET_MCAST_SUP... 3abc0 4144465f 4e45545f 4d434153 545f4e4f ADF_NET_MCAST_NO 3abd0 54535550 00010009 6164665f 6e65745f TSUP....adf_net_ 3abe0 636d645f 6d636173 745f6361 705f7400 cmd_mcast_cap_t. 3abf0 00002289 18030400 0023a308 6c696e6b .."......#..link 3ac00 5f696e66 6f000000 218f0223 0008706f _info...!..#..po 3ac10 6c6c5f69 6e666f00 000021ac 02230008 ll_info...!..#.. 3ac20 636b7375 6d5f696e 666f0000 0021c902 cksum_info...!.. 3ac30 23000872 696e675f 696e666f 00000021 #..ring_info...! 3ac40 e7022300 08646d61 5f696e66 6f000000 ..#..dma_info... 3ac50 22040223 00087669 64000000 22200223 "..#..vid..." .# 3ac60 00086f66 666c6f61 645f6361 70000000 ..offload_cap... 3ac70 22370223 00087374 61747300 00002256 "7.#..stats..."V 3ac80 02230008 6d636173 745f696e 666f0000 .#..mcast_info.. 3ac90 00226f02 2300086d 63617374 5f636170 ."o.#..mcast_cap 3aca0 00000022 d1022300 00140400 0023fa0e ..."..#......#.. 3acb0 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU 3acc0 4d5f4e4f 4e450000 0e414446 5f4e4255 M_NONE...ADF_NBU 3acd0 465f5258 5f434b53 554d5f48 5700010e F_RX_CKSUM_HW... 3ace0 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU 3acf0 4d5f554e 4e454345 53534152 59000200 M_UNNECESSARY... 3ad00 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks 3ad10 756d5f74 7970655f 74000000 23a31208 um_type_t...#... 3ad20 0000243a 08726573 756c7400 000023fa ..$:.result...#. 3ad30 02230008 76616c00 00001614 02230400 .#..val......#.. 3ad40 12080000 246a0874 79706500 00002046 ....$j.type... F 3ad50 02230008 6d737300 00001ca3 02230408 .#..mss......#.. 3ad60 6864725f 6f666600 0000167b 02230600 hdr_off....{.#.. 3ad70 075f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea 3ad80 64000c00 0024a908 68656164 00000014 d....$..head.... 3ad90 34022300 08746169 6c000000 14340223 4.#..tail....4.# 3ada0 0408716c 656e0000 00161402 23080009 ..qlen......#... 3adb0 5f5f6164 665f6e62 75665f74 00000014 __adf_nbuf_t.... 3adc0 34030000 168a0400 03000016 14040002 4............... 3add0 01060000 13160106 00001614 01060000 ................ 3ade0 168a0106 0000168a 01030000 12f70400 ................ 3adf0 095f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea 3ae00 645f7400 0000246a 095f5f61 64665f6e d_t...$j.__adf_n 3ae10 6275665f 71756575 655f7400 000024ea buf_queue_t...$. 3ae20 03000025 02040006 000024a9 01060000 ...%......$..... 3ae30 24a90114 04000026 220e415f 53544154 $......&".A_STAT 3ae40 55535f4f 4b00000e 415f5354 41545553 US_OK...A_STATUS 3ae50 5f464149 4c454400 010e415f 53544154 _FAILED...A_STAT 3ae60 55535f45 4e4f454e 5400020e 415f5354 US_ENOENT...A_ST 3ae70 41545553 5f454e4f 4d454d00 030e415f ATUS_ENOMEM...A_ 3ae80 53544154 55535f45 494e5641 4c00040e STATUS_EINVAL... 3ae90 415f5354 41545553 5f45494e 50524f47 A_STATUS_EINPROG 3aea0 52455353 00050e41 5f535441 5455535f RESS...A_STATUS_ 3aeb0 454e4f54 53555050 00060e41 5f535441 ENOTSUPP...A_STA 3aec0 5455535f 45425553 5900070e 415f5354 TUS_EBUSY...A_ST 3aed0 41545553 5f453242 49470008 0e415f53 ATUS_E2BIG...A_S 3aee0 54415455 535f4541 4444524e 4f544156 TATUS_EADDRNOTAV 3aef0 41494c00 090e415f 53544154 55535f45 AIL...A_STATUS_E 3af00 4e58494f 000a0e41 5f535441 5455535f NXIO...A_STATUS_ 3af10 45464155 4c54000b 0e415f53 54415455 EFAULT...A_STATU 3af20 535f4549 4f000c00 09615f73 74617475 S_EIO....a_statu 3af30 735f7400 0000252d 06000026 22010600 s_t...%-...&"... 3af40 00010f01 02010961 64665f6e 6275665f .......adf_nbuf_ 3af50 74000000 24a91404 00002687 0e414446 t...$.....&..ADF 3af60 5f4f535f 444d415f 544f5f44 45564943 _OS_DMA_TO_DEVIC 3af70 4500000e 4144465f 4f535f44 4d415f46 E...ADF_OS_DMA_F 3af80 524f4d5f 44455649 43450001 00096164 ROM_DEVICE....ad 3af90 665f6f73 5f646d61 5f646972 5f740000 f_os_dma_dir_t.. 3afa0 00265006 00002622 01020109 6164665f .&P...&"....adf_ 3afb0 6f735f64 6d616d61 705f696e 666f5f74 os_dmamap_info_t 3afc0 00000016 31030000 26a50400 02010201 ....1...&....... 3afd0 06000026 40010600 0024a901 02010201 ...&@....$...... 3afe0 06000026 40010600 0024a901 06000026 ...&@....$.....& 3aff0 40010600 0024a901 06000026 40010201 @....$.....&@... 3b000 02010600 00161401 06000016 8a010201 ................ 3b010 02010600 001b4101 0600001a 8e010600 ......A......... 3b020 001a8e01 09616466 5f6f735f 73676c69 .....adf_os_sgli 3b030 73745f74 00000016 c9030000 271e0400 st_t........'... 3b040 02010201 02010600 00168a01 09616466 .............adf 3b050 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 3b060 25020300 00274604 00020103 000024ea %....'F.......$. 3b070 04000201 02010201 06000026 40010600 ...........&@... 3b080 0024a901 06000016 14010600 00161401 .$.............. 3b090 0600001a 8e010600 001a8e01 0600001f ................ 3b0a0 aa010600 00161401 09616466 5f6e6275 .........adf_nbu 3b0b0 665f7278 5f636b73 756d5f74 00000024 f_rx_cksum_t...$ 3b0c0 18030000 27a20400 02010201 09616466 ....'........adf 3b0d0 5f6e6275 665f7473 6f5f7400 0000243a _nbuf_tso_t...$: 3b0e0 03000027 c6040002 01020109 6164665f ...'........adf_ 3b0f0 6e65745f 68616e64 6c655f74 00000004 net_handle_t.... 3b100 04096164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd 3b110 725f7400 00001db6 03000027 fb040006 r_t........'.... 3b120 00002622 01060000 26220102 01020107 ..&"....&"...... 3b130 5f484946 5f434f4e 46494700 04000028 _HIF_CONFIG....( 3b140 4a086475 6d6d7900 0000010f 02230000 J.dummy......#.. 3b150 02010300 00284a04 00020103 00002853 .....(J.......(S 3b160 0400075f 4849465f 43414c4c 4241434b ..._HIF_CALLBACK 3b170 000c0000 28a80873 656e645f 6275665f ....(..send_buf_ 3b180 646f6e65 00000028 4c022300 08726563 done...(L.#..rec 3b190 765f6275 66000000 28550223 0408636f v_buf...(U.#..co 3b1a0 6e746578 74000000 04040223 08000968 ntext......#...h 3b1b0 69665f68 616e646c 655f7400 00000404 if_handle_t..... 3b1c0 09484946 5f434f4e 46494700 00002829 .HIF_CONFIG...() 3b1d0 03000028 ba040006 000028a8 01030000 ...(......(..... 3b1e0 28d10400 02010300 0028de04 00094849 (........(....HI 3b1f0 465f4341 4c4c4241 434b0000 00285c03 F_CALLBACK...(\. 3b200 000028e7 04000201 03000029 00040006 ..(........).... 3b210 0000010f 01030000 29090400 02010300 ........)....... 3b220 00291604 00060000 010f0103 0000291f .)............). 3b230 04000201 03000029 2c040006 0000010f .......),....... 3b240 01030000 29350400 02010300 00294204 ....)5.......)B. 3b250 00076869 665f6170 69003800 002a9b08 ..hif_api.8..*.. 3b260 5f696e69 74000000 28d70223 00085f73 _init...(..#.._s 3b270 68757464 6f776e00 000028e0 02230408 hutdown...(..#.. 3b280 5f726567 69737465 725f6361 6c6c6261 _register_callba 3b290 636b0000 00290202 2308085f 6765745f ck...)..#.._get_ 3b2a0 746f7461 6c5f6372 65646974 5f636f75 total_credit_cou 3b2b0 6e740000 00290f02 230c085f 73746172 nt...)..#.._star 3b2c0 74000000 28e00223 10085f63 6f6e6669 t...(..#.._confi 3b2d0 675f7069 70650000 00291802 2314085f g_pipe...)..#.._ 3b2e0 73656e64 5f627566 66657200 00002925 send_buffer...)% 3b2f0 02231808 5f726574 75726e5f 72656376 .#.._return_recv 3b300 5f627566 00000029 2e02231c 085f6973 _buf...)..#.._is 3b310 5f706970 655f7375 70706f72 74656400 _pipe_supported. 3b320 0000293b 02232008 5f676574 5f6d6178 ..);.# ._get_max 3b330 5f6d7367 5f6c656e 00000029 3b022324 _msg_len...);.#$ 3b340 085f6765 745f7265 73657276 65645f68 ._get_reserved_h 3b350 65616472 6f6f6d00 0000290f 02232808 eadroom...)..#(. 3b360 5f697372 5f68616e 646c6572 00000028 _isr_handler...( 3b370 e002232c 085f6765 745f6465 6661756c ..#,._get_defaul 3b380 745f7069 70650000 00294402 23300870 t_pipe...)D.#0.p 3b390 52657365 72766564 00000004 04022334 Reserved......#4 3b3a0 000d646d 615f656e 67696e65 00040000 ..dma_engine.... 3b3b0 2b240e44 4d415f45 4e47494e 455f5258 +$.DMA_ENGINE_RX 3b3c0 3000000e 444d415f 454e4749 4e455f52 0...DMA_ENGINE_R 3b3d0 58310001 0e444d41 5f454e47 494e455f X1...DMA_ENGINE_ 3b3e0 52583200 020e444d 415f454e 47494e45 RX2...DMA_ENGINE 3b3f0 5f525833 00030e44 4d415f45 4e47494e _RX3...DMA_ENGIN 3b400 455f5458 3000040e 444d415f 454e4749 E_TX0...DMA_ENGI 3b410 4e455f54 58310005 0e444d41 5f454e47 NE_TX1...DMA_ENG 3b420 494e455f 4d415800 06000964 6d615f65 INE_MAX....dma_e 3b430 6e67696e 655f7400 00002a9b 0d646d61 ngine_t...*..dma 3b440 5f696674 79706500 0400002b 710e444d _iftype....+q.DM 3b450 415f4946 5f474d41 4300000e 444d415f A_IF_GMAC...DMA_ 3b460 49465f50 43490001 0e444d41 5f49465f IF_PCI...DMA_IF_ 3b470 50434945 00020009 646d615f 69667479 PCIE....dma_ifty 3b480 70655f74 0000002b 36060000 12e90103 pe_t...+6....... 3b490 00002b83 04000201 0300002b 90040002 ..+........+.... 3b4a0 01030000 2b990400 06000009 1b010300 ....+........... 3b4b0 002ba204 00060000 12e90103 00002baf .+............+. 3b4c0 04000600 0012e901 0300002b bc040006 ...........+.... 3b4d0 00001434 01030000 2bc90400 02010300 ...4....+....... 3b4e0 002bd604 0007646d 615f6c69 625f6170 .+....dma_lib_ap 3b4f0 69003400 002cdd08 74785f69 6e697400 i.4..,..tx_init. 3b500 00002b89 02230008 74785f73 74617274 ..+..#..tx_start 3b510 0000002b 92022304 0872785f 696e6974 ...+..#..rx_init 3b520 0000002b 89022308 0872785f 636f6e66 ...+..#..rx_conf 3b530 69670000 002b9b02 230c0872 785f7374 ig...+..#..rx_st 3b540 61727400 00002b92 02231008 696e7472 art...+..#..intr 3b550 5f737461 74757300 00002ba8 02231408 _status...+..#.. 3b560 68617264 5f786d69 74000000 2bb50223 hard_xmit...+..# 3b570 1808666c 7573685f 786d6974 0000002b ..flush_xmit...+ 3b580 9202231c 08786d69 745f646f 6e650000 ..#..xmit_done.. 3b590 002bc202 23200872 6561705f 786d6974 .+..# .reap_xmit 3b5a0 74656400 00002bcf 02232408 72656170 ted...+..#$.reap 3b5b0 5f726563 76000000 2bcf0223 28087265 _recv...+..#(.re 3b5c0 7475726e 5f726563 76000000 2bd80223 turn_recv...+..# 3b5d0 2c087265 63765f70 6b740000 002bc202 ,.recv_pkt...+.. 3b5e0 23300007 5f5f7063 695f736f 66746300 #0..__pci_softc. 3b5f0 0c00002c fb087377 00000028 e7022300 ...,..sw...(..#. 3b600 00095f5f 7063695f 736f6674 635f7400 ..__pci_softc_t. 3b610 00002cdd 0300002c fb040002 01030000 ..,....,........ 3b620 2d150400 06000012 d5010300 002d1e04 -............-.. 3b630 000d6869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t 3b640 78000400 002d7e0e 4849465f 5043495f x....-~.HIF_PCI_ 3b650 50495045 5f545830 00000e48 49465f50 PIPE_TX0...HIF_P 3b660 43495f50 4950455f 54583100 010e4849 CI_PIPE_TX1...HI 3b670 465f5043 495f5049 50455f54 585f4d41 F_PCI_PIPE_TX_MA 3b680 58000200 09686966 5f706369 5f706970 X....hif_pci_pip 3b690 655f7478 5f740000 002d2b06 00002b24 e_tx_t...-+...+$ 3b6a0 01030000 2d950400 0d686966 5f706369 ....-....hif_pci 3b6b0 5f706970 655f7278 00040000 2e1b0e48 _pipe_rx.......H 3b6c0 49465f50 43495f50 4950455f 52583000 IF_PCI_PIPE_RX0. 3b6d0 000e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 3b6e0 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP 3b6f0 455f5258 3200020e 4849465f 5043495f E_RX2...HIF_PCI_ 3b700 50495045 5f525833 00030e48 49465f50 PIPE_RX3...HIF_P 3b710 43495f50 4950455f 52585f4d 41580004 CI_PIPE_RX_MAX.. 3b720 00096869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r 3b730 785f7400 00002da2 0600002b 24010300 x_t...-....+$... 3b740 002e3204 00076869 665f7063 695f6170 ..2...hif_pci_ap 3b750 69002400 002f1008 7063695f 626f6f74 i.$../..pci_boot 3b760 5f696e69 74000000 01080223 00087063 _init......#..pc 3b770 695f696e 69740000 0028d702 23040870 i_init...(..#..p 3b780 63695f72 65736574 00000001 08022308 ci_reset......#. 3b790 08706369 5f656e61 626c6500 00000108 .pci_enable..... 3b7a0 02230c08 7063695f 72656170 5f786d69 .#..pci_reap_xmi 3b7b0 74746564 0000002d 17022310 08706369 tted...-..#..pci 3b7c0 5f726561 705f7265 63760000 002d1702 _reap_recv...-.. 3b7d0 23140870 63695f67 65745f70 69706500 #..pci_get_pipe. 3b7e0 00002d24 02231808 7063695f 6765745f ..-$.#..pci_get_ 3b7f0 74785f65 6e670000 002d9b02 231c0870 tx_eng...-..#..p 3b800 63695f67 65745f72 785f656e 67000000 ci_get_rx_eng... 3b810 2e380223 20000767 6d61635f 61706900 .8.# ..gmac_api. 3b820 0400002f 3708676d 61635f62 6f6f745f .../7.gmac_boot_ 3b830 696e6974 00000001 08022300 000f0000 init......#..... 3b840 031c0600 002f4410 0500075f 5f657468 ...../D....__eth 3b850 68647200 0e00002f 7a086473 74000000 hdr..../z.dst... 3b860 2f370223 00087372 63000000 2f370223 /7.#..src.../7.# 3b870 06086574 79706500 000012e9 02230c00 ..etype......#.. 3b880 075f5f61 74686864 72000400 002fc815 .__athhdr..../.. 3b890 72657300 000012d5 01000202 23001570 res.........#..p 3b8a0 726f746f 00000012 d5010206 02230008 roto.........#.. 3b8b0 7265735f 6c6f0000 0012d502 23010872 res_lo......#..r 3b8c0 65735f68 69000000 12e90223 0200075f es_hi......#..._ 3b8d0 5f676d61 635f6864 72001400 00300408 _gmac_hdr....0.. 3b8e0 65746800 00002f44 02230008 61746800 eth.../D.#..ath. 3b8f0 00002f7a 02230e08 616c6967 6e5f7061 ../z.#..align_pa 3b900 64000000 12e90223 1200095f 5f676d61 d......#...__gma 3b910 635f6864 725f7400 00002fc8 075f5f67 c_hdr_t.../..__g 3b920 6d61635f 736f6674 63002400 00304e08 mac_softc.$..0N. 3b930 68647200 00003004 02230008 6772616e hdr...0..#..gran 3b940 00000012 e9022314 08737700 000028e7 ......#..sw...(. 3b950 02231800 075f415f 6f735f6c 696e6b61 .#..._A_os_linka 3b960 67655f63 6865636b 00080000 30870876 ge_check....0..v 3b970 65727369 6f6e0000 00010f02 23000874 ersion......#..t 3b980 61626c65 00000001 0f022304 00030000 able......#..... 3b990 304e0400 06000001 0f010300 00308e04 0N...........0.. 3b9a0 00030000 04070400 175f415f 636d6e6f ........._A_cmno 3b9b0 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta 3b9c0 626c6500 01b80000 31de0868 616c5f6c ble.....1..hal_l 3b9d0 696e6b61 67655f63 6865636b 00000030 inkage_check...0 3b9e0 94022300 08737461 72745f62 73730000 ..#..start_bss.. 3b9f0 00309b02 23040861 70705f73 74617274 .0..#..app_start 3ba00 00000001 08022308 086d656d 00000004 ......#..mem.... 3ba10 4702230c 086d6973 63000000 05660223 G.#..misc....f.# 3ba20 20087072 696e7466 00000001 3c022344 .printf....<.#D 3ba30 08756172 74000000 02050223 4c08676d .uart......#L.gm 3ba40 61630000 002f1002 236c0875 73620000 ac.../..#l.usb.. 3ba50 000f9502 23700863 6c6f636b 0000000a ....#p.clock.... 3ba60 de0323e0 01087469 6d657200 0000077e ..#...timer....~ 3ba70 03238402 08696e74 72000000 0c2e0323 .#...intr......# 3ba80 98020861 6c6c6f63 72616d00 00000936 ...allocram....6 3ba90 0323c402 08726f6d 70000000 08280323 .#...romp....(.# 3baa0 d0020877 64745f74 696d6572 0000000e ...wdt_timer.... 3bab0 0b0323e0 02086565 70000000 0f390323 ..#...eep....9.# 3bac0 fc020873 7472696e 67000000 068a0323 ...string......# 3bad0 8c030874 61736b6c 65740000 000a3303 ...tasklet....3. 3bae0 23a40300 075f5553 425f4649 464f5f43 #...._USB_FIFO_C 3baf0 4f4e4649 47001000 00325108 6765745f ONFIG....2Q.get_ 3bb00 636f6d6d 616e645f 62756600 00001441 command_buf....A 3bb10 02230008 72656376 5f636f6d 6d616e64 .#..recv_command 3bb20 00000014 57022304 08676574 5f657665 ....W.#..get_eve 3bb30 6e745f62 75660000 00144102 23080873 nt_buf....A.#..s 3bb40 656e645f 6576656e 745f646f 6e650000 end_event_done.. 3bb50 00145702 230c0009 5553425f 4649464f ..W.#...USB_FIFO 3bb60 5f434f4e 46494700 000031de 03000032 _CONFIG...1....2 3bb70 51040002 01030000 326d0400 07757362 Q.......2m...usb 3bb80 6669666f 5f617069 000c0000 32c3085f fifo_api....2.._ 3bb90 696e6974 00000032 6f022300 085f656e init...2o.#.._en 3bba0 61626c65 5f657665 6e745f69 73720000 able_event_isr.. 3bbb0 00010802 23040870 52657365 72766564 ....#..pReserved 3bbc0 00000004 04022308 000f0000 167b0200 ......#......{.. 3bbd0 0032d010 0100075f 4854435f 4652414d .2....._HTC_FRAM 3bbe0 455f4844 52000800 00334208 456e6470 E_HDR....3B.Endp 3bbf0 6f696e74 49440000 00167b02 23000846 ointID....{.#..F 3bc00 6c616773 00000016 7b022301 08506179 lags....{.#..Pay 3bc10 6c6f6164 4c656e00 00001ca3 02230208 loadLen......#.. 3bc20 436f6e74 726f6c42 79746573 00000032 ControlBytes...2 3bc30 c3022304 08486f73 74536571 4e756d00 ..#..HostSeqNum. 3bc40 00001ca3 02230600 12020000 335b084d .....#......3[.M 3bc50 65737361 67654944 0000001c a3022300 essageID......#. 3bc60 00120800 0033be08 4d657373 61676549 .....3..MessageI 3bc70 44000000 1ca30223 00084372 65646974 D......#..Credit 3bc80 436f756e 74000000 1ca30223 02084372 Count......#..Cr 3bc90 65646974 53697a65 0000001c a3022304 editSize......#. 3bca0 084d6178 456e6470 6f696e74 73000000 .MaxEndpoints... 3bcb0 167b0223 06085f50 61643100 0000167b .{.#.._Pad1....{ 3bcc0 02230700 120a0000 3455084d 65737361 .#......4U.Messa 3bcd0 67654944 0000001c a3022300 08536572 geID......#..Ser 3bce0 76696365 49440000 001ca302 23020843 viceID......#..C 3bcf0 6f6e6e65 6374696f 6e466c61 67730000 onnectionFlags.. 3bd00 001ca302 23040844 6f776e4c 696e6b50 ....#..DownLinkP 3bd10 69706549 44000000 167b0223 06085570 ipeID....{.#..Up 3bd20 4c696e6b 50697065 49440000 00167b02 LinkPipeID....{. 3bd30 23070853 65727669 63654d65 74614c65 #..ServiceMetaLe 3bd40 6e677468 00000016 7b022308 085f5061 ngth....{.#.._Pa 3bd50 64310000 00167b02 23090012 0a000034 d1....{.#......4 3bd60 dd084d65 73736167 65494400 00001ca3 ..MessageID..... 3bd70 02230008 53657276 69636549 44000000 .#..ServiceID... 3bd80 1ca30223 02085374 61747573 00000016 ...#..Status.... 3bd90 7b022304 08456e64 706f696e 74494400 {.#..EndpointID. 3bda0 0000167b 02230508 4d61784d 73675369 ...{.#..MaxMsgSi 3bdb0 7a650000 001ca302 23060853 65727669 ze......#..Servi 3bdc0 63654d65 74614c65 6e677468 00000016 ceMetaLength.... 3bdd0 7b022308 085f5061 64310000 00167b02 {.#.._Pad1....{. 3bde0 23090012 02000034 f6084d65 73736167 #......4..Messag 3bdf0 65494400 00001ca3 02230000 12040000 eID......#...... 3be00 3532084d 65737361 67654944 0000001c 52.MessageID.... 3be10 a3022300 08506970 65494400 0000167b ..#..PipeID....{ 3be20 02230208 43726564 6974436f 756e7400 .#..CreditCount. 3be30 0000167b 02230300 12040000 3569084d ...{.#......5i.M 3be40 65737361 67654944 0000001c a3022300 essageID......#. 3be50 08506970 65494400 0000167b 02230208 .PipeID....{.#.. 3be60 53746174 75730000 00167b02 23030012 Status....{.#... 3be70 02000035 90085265 636f7264 49440000 ...5..RecordID.. 3be80 00167b02 2300084c 656e6774 68000000 ..{.#..Length... 3be90 167b0223 01001202 000035ba 08456e64 .{.#......5..End 3bea0 706f696e 74494400 0000167b 02230008 pointID....{.#.. 3beb0 43726564 69747300 0000167b 02230100 Credits....{.#.. 3bec0 12040000 35fb0845 6e64706f 696e7449 ....5..EndpointI 3bed0 44000000 167b0223 00084372 65646974 D....{.#..Credit 3bee0 73000000 167b0223 01085467 74437265 s....{.#..TgtCre 3bef0 64697453 65714e6f 0000001c a3022302 ditSeqNo......#. 3bf00 000f0000 167b0400 00360810 03001206 .....{...6...... 3bf10 00003644 08507265 56616c69 64000000 ..6D.PreValid... 3bf20 167b0223 00084c6f 6f6b4168 65616400 .{.#..LookAhead. 3bf30 000035fb 02230108 506f7374 56616c69 ..5..#..PostVali 3bf40 64000000 167b0223 05000970 6f6f6c5f d....{.#...pool_ 3bf50 68616e64 6c655f74 00000004 04060000 handle_t........ 3bf60 36440103 00003657 04000201 03000036 6D....6W.......6 3bf70 64040014 04000036 e20e504f 4f4c5f49 d......6..POOL_I 3bf80 445f4854 435f434f 4e54524f 4c00000e D_HTC_CONTROL... 3bf90 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ 3bfa0 434d445f 5245504c 5900010e 504f4f4c CMD_REPLY...POOL 3bfb0 5f49445f 574d495f 5356435f 4556454e _ID_WMI_SVC_EVEN 3bfc0 5400020e 504f4f4c 5f49445f 574c414e T...POOL_ID_WLAN 3bfd0 5f52585f 42554600 030e504f 4f4c5f49 _RX_BUF...POOL_I 3bfe0 445f4d41 58000a00 09425546 5f504f4f D_MAX....BUF_POO 3bff0 4c5f4944 00000036 6d020103 000036f3 L_ID...6m.....6. 3c000 04000600 00264001 03000036 fc040006 .....&@....6.... 3c010 00002640 01030000 37090400 02010300 ..&@....7....... 3c020 00371604 00076275 665f706f 6f6c5f61 .7....buf_pool_a 3c030 7069001c 000037b8 085f696e 69740000 pi....7.._init.. 3c040 00365d02 2300085f 73687574 646f776e .6].#.._shutdown 3c050 00000036 66022304 085f6372 65617465 ...6f.#.._create 3c060 5f706f6f 6c000000 36f50223 08085f61 _pool...6..#.._a 3c070 6c6c6f63 5f627566 00000037 0202230c lloc_buf...7..#. 3c080 085f616c 6c6f635f 6275665f 616c6967 ._alloc_buf_alig 3c090 6e000000 370f0223 10085f66 7265655f n...7..#.._free_ 3c0a0 62756600 00003718 02231408 70526573 buf...7..#..pRes 3c0b0 65727665 64000000 04040223 1800075f erved......#..._ 3c0c0 4854435f 53455256 49434500 1c000038 HTC_SERVICE....8 3c0d0 9708704e 65787400 00003897 02230008 ..pNext...8..#.. 3c0e0 50726f63 65737352 6563764d 73670000 ProcessRecvMsg.. 3c0f0 00394c02 23040850 726f6365 73735365 .9L.#..ProcessSe 3c100 6e644275 66666572 436f6d70 6c657465 ndBufferComplete 3c110 00000039 55022308 0850726f 63657373 ...9U.#..Process 3c120 436f6e6e 65637400 00003969 02230c08 Connect...9i.#.. 3c130 53657276 69636549 44000000 12e90223 ServiceID......# 3c140 10085365 72766963 65466c61 67730000 ..ServiceFlags.. 3c150 0012e902 2312084d 61785376 634d7367 ....#..MaxSvcMsg 3c160 53697a65 00000012 e9022314 08547261 Size......#..Tra 3c170 696c6572 53706343 6865636b 4c696d69 ilerSpcCheckLimi 3c180 74000000 12e90223 16085365 72766963 t......#..Servic 3c190 65437478 00000004 04022318 00030000 eCtx......#..... 3c1a0 37b80400 14040000 39351945 4e44504f 7.......95.ENDPO 3c1b0 494e545f 554e5553 454400ff ffffff0e INT_UNUSED...... 3c1c0 454e4450 4f494e54 3000000e 454e4450 ENDPOINT0...ENDP 3c1d0 4f494e54 3100010e 454e4450 4f494e54 OINT1...ENDPOINT 3c1e0 3200020e 454e4450 4f494e54 3300030e 2...ENDPOINT3... 3c1f0 454e4450 4f494e54 3400040e 454e4450 ENDPOINT4...ENDP 3c200 4f494e54 3500050e 454e4450 4f494e54 OINT5...ENDPOINT 3c210 3600060e 454e4450 4f494e54 3700070e 6...ENDPOINT7... 3c220 454e4450 4f494e54 3800080e 454e4450 ENDPOINT8...ENDP 3c230 4f494e54 5f4d4158 00160009 4854435f OINT_MAX....HTC_ 3c240 454e4450 4f494e54 5f494400 0000389e ENDPOINT_ID...8. 3c250 02010300 00394a04 00020103 00003953 .....9J.......9S 3c260 04000300 00010f04 00060000 12d50103 ................ 3c270 00003963 04000300 0037b804 00075f48 ..9c.....7...._H 3c280 54435f43 4f4e4649 47001400 0039e808 TC_CONFIG....9.. 3c290 43726564 69745369 7a650000 00010f02 CreditSize...... 3c2a0 23000843 72656469 744e756d 62657200 #..CreditNumber. 3c2b0 0000010f 02230408 4f534861 6e646c65 .....#..OSHandle 3c2c0 0000001a 3f022308 08484946 48616e64 ....?.#..HIFHand 3c2d0 6c650000 0028a802 230c0850 6f6f6c48 le...(..#..PoolH 3c2e0 616e646c 65000000 36440223 1000075f andle...6D.#..._ 3c2f0 4854435f 4255465f 434f4e54 45585400 HTC_BUF_CONTEXT. 3c300 0200003a 2408656e 645f706f 696e7400 ...:$.end_point. 3c310 000012d5 02230008 6874635f 666c6167 .....#..htc_flag 3c320 73000000 12d50223 01000968 74635f68 s......#...htc_h 3c330 616e646c 655f7400 00000404 09485443 andle_t......HTC 3c340 5f534554 55505f43 4f4d504c 4554455f _SETUP_COMPLETE_ 3c350 43420000 00010809 4854435f 434f4e46 CB......HTC_CONF 3c360 49470000 00397703 00003a51 04000600 IG...9w...:Q.... 3c370 003a2401 0300003a 68040002 01030000 .:$....:h....... 3c380 3a750400 09485443 5f534552 56494345 :u...HTC_SERVICE 3c390 00000037 b8030000 3a7e0400 02010300 ...7....:~...... 3c3a0 003a9604 00020103 00003a9f 04000201 .:........:..... 3c3b0 0300003a a8040006 0000010f 01030000 ...:............ 3c3c0 3ab10400 07687463 5f617069 73003400 :....htc_apis.4. 3c3d0 003c2e08 5f485443 5f496e69 74000000 .<.._HTC_Init... 3c3e0 3a6e0223 00085f48 54435f53 68757464 :n.#.._HTC_Shutd 3c3f0 6f776e00 00003a77 02230408 5f485443 own...:w.#.._HTC 3c400 5f526567 69737465 72536572 76696365 _RegisterService 3c410 0000003a 98022308 085f4854 435f5265 ...:..#.._HTC_Re 3c420 61647900 00003a77 02230c08 5f485443 ady...:w.#.._HTC 3c430 5f526574 75726e42 75666665 72730000 _ReturnBuffers.. 3c440 003aa102 2310085f 4854435f 52657475 .:..#.._HTC_Retu 3c450 726e4275 66666572 734c6973 74000000 rnBuffersList... 3c460 3aaa0223 14085f48 54435f53 656e644d :..#.._HTC_SendM 3c470 73670000 003aa102 2318085f 4854435f sg...:..#.._HTC_ 3c480 47657452 65736572 76656448 65616472 GetReservedHeadr 3c490 6f6f6d00 00003ab7 02231c08 5f485443 oom...:..#.._HTC 3c4a0 5f4d7367 52656376 48616e64 6c657200 _MsgRecvHandler. 3c4b0 00002855 02232008 5f485443 5f53656e ..(U.# ._HTC_Sen 3c4c0 64446f6e 6548616e 646c6572 00000028 dDoneHandler...( 3c4d0 4c022324 085f4854 435f436f 6e74726f L.#$._HTC_Contro 3c4e0 6c537663 50726f63 6573734d 73670000 lSvcProcessMsg.. 3c4f0 00394c02 2328085f 4854435f 436f6e74 .9L.#(._HTC_Cont 3c500 726f6c53 76635072 6f636573 7353656e rolSvcProcessSen 3c510 64436f6d 706c6574 65000000 39550223 dComplete...9U.# 3c520 2c087052 65736572 76656400 00000404 ,.pReserved..... 3c530 02233000 07686f73 745f6170 705f6172 .#0..host_app_ar 3c540 65615f73 00040000 3c5e0877 6d695f70 ea_s....<^.wmi_p 3c550 726f746f 636f6c5f 76657200 00001614 rotocol_ver..... 3c560 02230000 120e0000 3c950864 73744d61 .#......<..dstMa 3c570 63000000 1c600223 00087372 634d6163 c....`.#..srcMac 3c580 0000001c 60022306 08747970 654f724c ....`.#..typeOrL 3c590 656e0000 001ca302 230c000f 0000167b en......#......{ 3c5a0 0300003c a2100200 12080000 3cf20864 ...<........<..d 3c5b0 73617000 0000167b 02230008 73736170 sap....{.#..ssap 3c5c0 00000016 7b022301 08636e74 6c000000 ....{.#..cntl... 3c5d0 167b0223 02086f72 67436f64 65000000 .{.#..orgCode... 3c5e0 3c950223 03086574 68657254 79706500 <..#..etherType. 3c5f0 00001ca3 02230600 12020000 3d130872 .....#......=..r 3c600 73736900 00001bf9 02230008 696e666f ssi......#..info 3c610 00000016 7b022301 00120400 003d3a08 ....{.#......=:. 3c620 636f6d6d 616e6449 64000000 1ca30223 commandId......# 3c630 00087365 714e6f00 00001ca3 02230200 ..seqNo......#.. 3c640 0f000016 7b010000 3d471000 00120200 ....{...=G...... 3c650 003d6e08 6d736753 697a6500 0000167b .=n.msgSize....{ 3c660 02230008 6d736744 61746100 00003d3a .#..msgData...=: 3c670 02230100 12080000 3db50861 64647265 .#......=..addre 3c680 73734c00 00001ca3 02230008 61646472 ssL......#..addr 3c690 65737348 0000001c a3022302 0876616c essH......#..val 3c6a0 75654c00 00001ca3 02230408 76616c75 ueL......#..valu 3c6b0 65480000 001ca302 23060009 574d495f eH......#...WMI_ 3c6c0 41565400 00003d6e 0f00003d b5080000 AVT...=n...=.... 3c6d0 3dcf1000 00120c00 003e0608 7475706c =........>..tupl 3c6e0 654e756d 4c000000 1ca30223 00087475 eNumL......#..tu 3c6f0 706c654e 756d4800 00001ca3 02230208 pleNumH......#.. 3c700 61767400 00003dc2 02230400 12010000 avt...=..#...... 3c710 3e280862 6561636f 6e50656e 64696e67 >(.beaconPending 3c720 436f756e 74000000 167b0223 0000075f Count....{.#..._ 3c730 574d495f 5356435f 434f4e46 49470010 WMI_SVC_CONFIG.. 3c740 00003e91 08487463 48616e64 6c650000 ..>..HtcHandle.. 3c750 003a2402 23000850 6f6f6c48 616e646c .:$.#..PoolHandl 3c760 65000000 36440223 04084d61 78436d64 e...6D.#..MaxCmd 3c770 5265706c 79457674 73000000 010f0223 ReplyEvts......# 3c780 08084d61 78457665 6e744576 74730000 ..MaxEventEvts.. 3c790 00010f02 230c0002 01030000 3e910400 ....#.......>... 3c7a0 09574d49 5f434d44 5f48414e 444c4552 .WMI_CMD_HANDLER 3c7b0 0000003e 93075f57 4d495f44 49535041 ...>.._WMI_DISPA 3c7c0 5443485f 454e5452 59000800 003efa08 TCH_ENTRY....>.. 3c7d0 70436d64 48616e64 6c657200 00003e9a pCmdHandler...>. 3c7e0 02230008 436d6449 44000000 12e90223 .#..CmdID......# 3c7f0 0408466c 61677300 000012e9 02230600 ..Flags......#.. 3c800 075f574d 495f4449 53504154 43485f54 ._WMI_DISPATCH_T 3c810 41424c45 00100000 3f5b0870 4e657874 ABLE....?[.pNext 3c820 0000003f 5b022300 0870436f 6e746578 ...?[.#..pContex 3c830 74000000 04040223 04084e75 6d626572 t......#..Number 3c840 4f66456e 74726965 73000000 010f0223 OfEntries......# 3c850 08087054 61626c65 0000003f 7a02230c ..pTable...?z.#. 3c860 00030000 3efa0400 09574d49 5f444953 ....>....WMI_DIS 3c870 50415443 485f454e 54525900 00003eaf PATCH_ENTRY...>. 3c880 0300003f 62040003 00003efa 04000948 ...?b.....>....H 3c890 54435f42 55465f43 4f4e5445 58540000 TC_BUF_CONTEXT.. 3c8a0 0039e80d 574d495f 4556545f 434c4153 .9..WMI_EVT_CLAS 3c8b0 53000400 00401219 574d495f 4556545f S....@..WMI_EVT_ 3c8c0 434c4153 535f4e4f 4e4500ff ffffff0e CLASS_NONE...... 3c8d0 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM 3c8e0 445f4556 454e5400 000e574d 495f4556 D_EVENT...WMI_EV 3c8f0 545f434c 4153535f 434d445f 5245504c T_CLASS_CMD_REPL 3c900 5900010e 574d495f 4556545f 434c4153 Y...WMI_EVT_CLAS 3c910 535f4d41 58000200 09574d49 5f455654 S_MAX....WMI_EVT 3c920 5f434c41 53530000 003f9d07 5f574d49 _CLASS...?.._WMI 3c930 5f425546 5f434f4e 54455854 000c0000 _BUF_CONTEXT.... 3c940 40700848 74634275 66437478 0000003f @p.HtcBufCtx...? 3c950 88022300 08457665 6e74436c 61737300 ..#..EventClass. 3c960 00004012 02230408 466c6167 73000000 ..@..#..Flags... 3c970 12e90223 08000977 6d695f68 616e646c ...#...wmi_handl 3c980 655f7400 00000404 09574d49 5f535643 e_t......WMI_SVC 3c990 5f434f4e 46494700 00003e28 03000040 _CONFIG...>(...@ 3c9a0 82040006 00004070 01030000 409d0400 ......@p....@... 3c9b0 09574d49 5f444953 50415443 485f5441 .WMI_DISPATCH_TA 3c9c0 424c4500 00003efa 03000040 aa040002 BLE...>....@.... 3c9d0 01030000 40c90400 06000026 40010300 ....@......&@... 3c9e0 0040d204 00020103 000040df 04000600 .@........@..... 3c9f0 00010f01 03000040 e8040002 01030000 .......@........ 3ca00 40f50400 06000012 d5010300 0040fe04 @............@.. 3ca10 00075f77 6d695f73 76635f61 70697300 .._wmi_svc_apis. 3ca20 2c000042 46085f57 4d495f49 6e697400 ,..BF._WMI_Init. 3ca30 000040a3 02230008 5f574d49 5f526567 ..@..#.._WMI_Reg 3ca40 69737465 72446973 70617463 68546162 isterDispatchTab 3ca50 6c650000 0040cb02 2304085f 574d495f le...@..#.._WMI_ 3ca60 416c6c6f 63457665 6e740000 0040d802 AllocEvent...@.. 3ca70 2308085f 574d495f 53656e64 4576656e #.._WMI_SendEven 3ca80 74000000 40e10223 0c085f57 4d495f47 t...@..#.._WMI_G 3ca90 65745065 6e64696e 67457665 6e747343 etPendingEventsC 3caa0 6f756e74 00000040 ee022310 085f574d ount...@..#.._WM 3cab0 495f5365 6e64436f 6d706c65 74654861 I_SendCompleteHa 3cac0 6e646c65 72000000 39550223 14085f57 ndler...9U.#.._W 3cad0 4d495f47 6574436f 6e74726f 6c457000 MI_GetControlEp. 3cae0 000040ee 02231808 5f574d49 5f536875 ..@..#.._WMI_Shu 3caf0 74646f77 6e000000 40f70223 1c085f57 tdown...@..#.._W 3cb00 4d495f52 6563764d 65737361 67654861 MI_RecvMessageHa 3cb10 6e646c65 72000000 394c0223 20085f57 ndler...9L.# ._W 3cb20 4d495f53 65727669 6365436f 6e6e6563 MI_ServiceConnec 3cb30 74000000 41040223 24087052 65736572 t...A..#$.pReser 3cb40 76656400 00000404 02232800 077a7344 ved......#(..zsD 3cb50 6d614465 73630014 000042c8 08637472 maDesc....B..ctr 3cb60 6c000000 01730223 00087374 61747573 l....s.#..status 3cb70 00000001 73022302 08746f74 616c4c65 ....s.#..totalLe 3cb80 6e000000 01730223 04086461 74615369 n....s.#..dataSi 3cb90 7a650000 00017302 2306086c 61737441 ze....s.#..lastA 3cba0 64647200 000042c8 02230808 64617461 ddr...B..#..data 3cbb0 41646472 00000001 9702230c 086e6578 Addr......#..nex 3cbc0 74416464 72000000 42c80223 10000300 tAddr...B..#.... 3cbd0 00424604 00030000 42460400 077a7344 .BF.....BF...zsD 3cbe0 6d615175 65756500 08000043 08086865 maQueue....C..he 3cbf0 61640000 0042cf02 23000874 65726d69 ad...B..#..termi 3cc00 6e61746f 72000000 42cf0223 0400077a nator...B..#...z 3cc10 73547844 6d615175 65756500 10000043 sTxDmaQueue....C 3cc20 6c086865 61640000 0042cf02 23000874 l.head...B..#..t 3cc30 65726d69 6e61746f 72000000 42cf0223 erminator...B..# 3cc40 0408786d 69746564 5f627566 5f686561 ..xmited_buf_hea 3cc50 64000000 14340223 0808786d 69746564 d....4.#..xmited 3cc60 5f627566 5f746169 6c000000 14340223 _buf_tail....4.# 3cc70 0c000201 03000043 6c040003 000042d6 .......Cl.....B. 3cc80 04000201 03000043 7c040003 00004308 .......C|.....C. 3cc90 04000201 03000043 8c040002 01030000 .......C........ 3cca0 43950400 02010300 00439e04 00060000 C........C...... 3ccb0 14340103 000043a7 04000201 03000043 .4....C........C 3ccc0 b4040006 00001434 01030000 43bd0400 .......4....C... 3ccd0 02010300 0043ca04 00060000 010f0103 .....C.......... 3cce0 000043d3 04000600 0042cf01 03000043 ..C......B.....C 3ccf0 e0040002 01030000 43ed0400 07646d61 ........C....dma 3cd00 5f656e67 696e655f 61706900 40000045 _engine_api.@..E 3cd10 63085f69 6e697400 0000436e 02230008 c._init...Cn.#.. 3cd20 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. 3cd30 00437e02 2304085f 696e6974 5f74785f .C~.#.._init_tx_ 3cd40 71756575 65000000 438e0223 08085f63 queue...C..#.._c 3cd50 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. 3cd60 00439702 230c085f 786d6974 5f627566 .C..#.._xmit_buf 3cd70 00000043 a0022310 085f666c 7573685f ...C..#.._flush_ 3cd80 786d6974 00000043 7e022314 085f7265 xmit...C~.#.._re 3cd90 61705f72 6563765f 62756600 000043ad ap_recv_buf...C. 3cda0 02231808 5f726574 75726e5f 72656376 .#.._return_recv 3cdb0 5f627566 00000043 b602231c 085f7265 _buf...C..#.._re 3cdc0 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... 3cdd0 43c30223 20085f73 7761705f 64617461 C..# ._swap_data 3cde0 00000043 cc022324 085f6861 735f636f ...C..#$._has_co 3cdf0 6d706c5f 7061636b 65747300 000043d9 mpl_packets...C. 3ce00 02232808 5f646573 635f6475 6d700000 .#(._desc_dump.. 3ce10 00437e02 232c085f 6765745f 7061636b .C~.#,._get_pack 3ce20 65740000 0043e602 2330085f 7265636c et...C..#0._recl 3ce30 61696d5f 7061636b 65740000 0043ef02 aim_packet...C.. 3ce40 2334085f 7075745f 7061636b 65740000 #4._put_packet.. 3ce50 0043ef02 23380870 52657365 72766564 .C..#8.pReserved 3ce60 00000004 0402233c 00095f41 5f636d6e ......#<.._A_cmn 3ce70 6f735f69 6e646972 65637469 6f6e5f74 os_indirection_t 3ce80 61626c65 5f740000 0030a209 574d495f able_t...0..WMI_ 3ce90 5356435f 41504953 00000041 0b175f41 SVC_APIS...A.._A 3cea0 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect 3ceb0 696f6e5f 7461626c 6500034c 00004691 ion_table..L..F. 3cec0 08636d6e 6f730000 00456302 23000864 .cmnos...Ec.#..d 3ced0 62670000 0003d103 23b80308 68696600 bg......#...hif. 3cee0 0000294b 0323c003 08687463 0000003a ..)K.#...htc...: 3cef0 be0323f8 0308776d 695f7376 635f6170 ..#...wmi_svc_ap 3cf00 69000000 45850323 ac040875 73626669 i...E..#...usbfi 3cf10 666f5f61 70690000 00327603 23d80408 fo_api...2v.#... 3cf20 6275665f 706f6f6c 00000037 1f0323e4 buf_pool...7..#. 3cf30 04087662 75660000 00145e03 23800508 ..vbuf....^.#... 3cf40 76646573 63000000 13400323 94050861 vdesc....@.#...a 3cf50 6c6c6f63 72616d00 00000936 0323a805 llocram....6.#.. 3cf60 08646d61 5f656e67 696e6500 000043f6 .dma_engine...C. 3cf70 0323b405 08646d61 5f6c6962 0000002b .#...dma_lib...+ 3cf80 df0323f4 05086869 665f7063 69000000 ..#...hif_pci... 3cf90 2e3f0323 a8060009 5f415f6d 61677069 .?.#...._A_magpi 3cfa0 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta 3cfb0 626c655f 74000000 45971404 00004716 ble_t...E.....G. 3cfc0 0e574454 5f414354 494f4e5f 4e4f0000 .WDT_ACTION_NO.. 3cfd0 0e574454 5f414354 494f4e5f 494e5452 .WDT_ACTION_INTR 3cfe0 00010e57 44545f41 4354494f 4e5f4e4d ...WDT_ACTION_NM 3cff0 4900020e 5744545f 41435449 4f4e5f52 I...WDT_ACTION_R 3d000 45534554 00030e57 44545f41 4354494f ESET...WDT_ACTIO 3d010 4e5f554e 4b4e4f57 4e000400 09545f57 N_UNKNOWN....T_W 3d020 44545f41 4354494f 4e5f5459 50450000 DT_ACTION_TYPE.. 3d030 0046b412 0c000047 61087374 61746500 .F.....Ga.state. 3d040 000007f4 02230008 61637469 6f6e0000 .....#..action.. 3d050 00471602 23040874 696d656f 75740000 .G..#..timeout.. 3d060 0001ac02 23080009 545f5744 545f4354 ....#...T_WDT_CT 3d070 524c0000 00472d1a 7764745f 6374726c RL...G-.wdt_ctrl 3d080 00000047 61050300 500a3801 03000001 ...Ga...P.8..... 3d090 ac040003 00000e0b 04000201 03000043 ...............C 3d0a0 6c040003 0000436c 04000300 00436c04 l.....Cl.....Cl. 3d0b0 00030000 436c0400 1b011763 6d6e6f73 ....Cl.....cmnos 3d0c0 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. 3d0d0 000ded01 01039201 20029000 008e2ce4 ........ .....,. 3d0e0 008e2d0f 1c013763 6d6e6f73 5f776474 ..-...7cmnos_wdt 3d0f0 5f726573 65740001 03920120 02900000 _reset..... .... 3d100 8e2d1000 8e2d2a1d 0143636d 6e6f735f .-...-*..Ccmnos_ 3d110 7764745f 73657400 01010392 01200290 wdt_set...... .. 3d120 00008e2d 2c008e2d 54000048 391e0143 ...-,..-T..H9..C 3d130 7764745f 636d6400 00000d88 0152001f wdt_cmd......R.. 3d140 015d636d 6e6f735f 7764745f 656e6162 .]cmnos_wdt_enab 3d150 6c650001 03920130 02900000 8e2d5400 le.....0.....-T. 3d160 8e2d7200 00487220 7764745f 636d6400 .-r..Hr wdt_cmd. 3d170 00000d88 02915000 1f016c63 6d6e6f73 ......P...lcmnos 3d180 5f776474 5f646973 61626c65 00010392 _wdt_disable.... 3d190 01300290 00008e2d 74008e2d 90000048 .0.....-t..-...H 3d1a0 ac207764 745f636d 64000000 0d880291 . wdt_cmd....... 3d1b0 50001d01 7e636d6e 6f735f77 64745f69 P...~cmnos_wdt_i 3d1c0 6e697400 01010392 01300290 00008e2d nit......0.....- 3d1d0 90008e2d b8000048 e4207764 745f636d ...-...H. wdt_cm 3d1e0 64000000 0d880291 50001c01 94636d6e d.......P....cmn 3d1f0 6f735f77 64745f74 61736b00 01039201 os_wdt_task..... 3d200 20029000 008e2db8 008e2dd0 21019f63 .....-...-.!..c 3d210 6d6e6f73 5f776474 5f6d6f64 756c655f mnos_wdt_module_ 3d220 696e7374 616c6c00 01010392 01200290 install...... .. 3d230 00008e2d d0008e2d f81e019f 74626c00 ...-...-....tbl. 3d240 0000478d 01520000 00000049 a9000200 ..G..R.....I.... 3d250 00160404 012f726f 6f742f57 6f726b73 ...../root/Works 3d260 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 3d270 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 3d280 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 3d290 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 3d2a0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 3d2b0 6f6d2f63 6d6e6f73 2f756172 742f7372 om/cmnos/uart/sr 3d2c0 632f7561 72745f61 70692e63 002f726f c/uart_api.c./ro 3d2d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 3d2e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 3d2f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 3d300 636d6e6f 732f7561 72740078 742d7863 cmnos/uart.xt-xc 3d310 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT 3d320 3a616c69 676e5f69 6e737472 75637469 :align_instructi 3d330 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - 3d340 4f50543a 73706163 65000100 0000d3c7 OPT:space....... 3d350 02010300 00010704 0004696e 74000504 ..........int... 3d360 04636861 72000701 05000001 17050000 .char........... 3d370 01170300 00012404 00060000 01100103 ......$......... 3d380 00000130 04000770 72696e74 665f6170 ...0...printf_ap 3d390 69000800 00017408 5f707269 6e74665f i.....t._printf_ 3d3a0 696e6974 00000001 09022300 085f7072 init......#.._pr 3d3b0 696e7466 00000001 36022304 00047368 intf....6.#...sh 3d3c0 6f727420 756e7369 676e6564 20696e74 ort unsigned int 3d3d0 00070209 75696e74 31365f74 00000001 ....uint16_t.... 3d3e0 74046c6f 6e672075 6e736967 6e656420 t.long unsigned 3d3f0 696e7400 07040975 696e7433 325f7400 int....uint32_t. 3d400 00000198 07756172 745f6669 666f0008 .....uart_fifo.. 3d410 00000206 08737461 72745f69 6e646578 .....start_index 3d420 00000001 8a022300 08656e64 5f696e64 ......#..end_ind 3d430 65780000 00018a02 2302086f 76657272 ex......#..overr 3d440 756e5f65 72720000 0001ad02 23040007 un_err......#... 3d450 75617274 5f617069 00200000 02bf085f uart_api. ....._ 3d460 75617274 5f696e69 74000000 03160223 uart_init......# 3d470 00085f75 6172745f 63686172 5f707574 .._uart_char_put 3d480 00000003 3d022304 085f7561 72745f63 ....=.#.._uart_c 3d490 6861725f 67657400 00000351 02230808 har_get....Q.#.. 3d4a0 5f756172 745f7374 725f6f75 74000000 _uart_str_out... 3d4b0 035a0223 0c085f75 6172745f 7461736b .Z.#.._uart_task 3d4c0 00000001 09022310 085f7561 72745f73 ......#.._uart_s 3d4d0 74617475 73000000 03160223 14085f75 tatus......#.._u 3d4e0 6172745f 636f6e66 69670000 00036302 art_config....c. 3d4f0 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. 3d500 0000036c 02231c00 03000002 06040007 ...l.#.......... 3d510 75617274 5f626c6b 00100000 03100864 uart_blk.......d 3d520 65627567 5f6d6f64 65000000 018a0223 ebug_mode......# 3d530 00086261 75640000 00018a02 2302085f ..baud......#.._ 3d540 75617274 00000002 bf022304 085f7478 uart......#.._tx 3d550 00000001 bb022308 00060000 01ad0103 ......#......... 3d560 00000310 04000475 6e736967 6e656420 .......unsigned 3d570 63686172 00070109 75696e74 385f7400 char....uint8_t. 3d580 0000031d 02010300 00033b04 00030000 ..........;..... 3d590 032e0400 06000001 8a010300 00034b04 ..............K. 3d5a0 00020103 00000358 04000201 03000003 .......X........ 3d5b0 61040002 01030000 036a0400 03000001 a........j...... 3d5c0 17040006 00000110 01030000 037a0400 .............z.. 3d5d0 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU 3d5e0 4354000c 000003d2 08636d64 5f737472 CT.......cmd_str 3d5f0 00000003 73022300 0868656c 705f7374 ....s.#..help_st 3d600 72000000 03730223 0408636d 645f6675 r....s.#..cmd_fu 3d610 6e630000 00038002 23080007 6462675f nc......#...dbg_ 3d620 61706900 08000004 05085f64 62675f69 api......._dbg_i 3d630 6e697400 00000109 02230008 5f646267 nit......#.._dbg 3d640 5f746173 6b000000 01090223 04000a04 _task......#.... 3d650 0004756e 7369676e 65642069 6e740007 ..unsigned int.. 3d660 04060000 04050103 00000418 04000b0b ................ 3d670 03000004 26040006 00000405 01030000 ....&........... 3d680 042e0400 06000001 10010300 00043b04 ..............;. 3d690 00076d65 6d5f6170 69001400 0004aa08 ..mem_api....... 3d6a0 5f6d656d 5f696e69 74000000 01090223 _mem_init......# 3d6b0 00085f6d 656d7365 74000000 041e0223 .._memset......# 3d6c0 04085f6d 656d6370 79000000 04340223 .._memcpy....4.# 3d6d0 08085f6d 656d6d6f 76650000 00043402 .._memmove....4. 3d6e0 230c085f 6d656d63 6d700000 00044102 #.._memcmp....A. 3d6f0 2310000c 72656769 73746572 5f64756d #...register_dum 3d700 705f7300 00010300 0004aa04 00020103 p_s............. 3d710 000004c4 04000201 03000004 cd040006 ................ 3d720 00000110 01030000 04d60400 0d686f73 .............hos 3d730 7469665f 73000400 0005320e 4849465f tif_s.....2.HIF_ 3d740 55534200 000e4849 465f5043 49450001 USB...HIF_PCIE.. 3d750 0e484946 5f474d41 4300020e 4849465f .HIF_GMAC...HIF_ 3d760 50434900 030e4849 465f4e55 4d00040e PCI...HIF_NUM... 3d770 4849465f 4e4f4e45 00050009 415f484f HIF_NONE....A_HO 3d780 53544946 00000004 e3060000 05320103 STIF.........2.. 3d790 00000540 04000600 00032e01 03000005 ...@............ 3d7a0 4d040006 0000018a 01030000 055a0400 M............Z.. 3d7b0 076d6973 635f6170 69002400 00064a08 .misc_api.$...J. 3d7c0 5f737973 74656d5f 72657365 74000000 _system_reset... 3d7d0 01090223 00085f6d 61635f72 65736574 ...#.._mac_reset 3d7e0 00000001 09022304 085f6173 73666169 ......#.._assfai 3d7f0 6c000000 04c60223 08085f6d 6973616c l......#.._misal 3d800 69676e65 645f6c6f 61645f68 616e646c igned_load_handl 3d810 65720000 0004c602 230c085f 7265706f er......#.._repo 3d820 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho 3d830 73740000 0004cf02 2310085f 74617267 st......#.._targ 3d840 65745f69 645f6765 74000000 04dc0223 et_id_get......# 3d850 14085f69 735f686f 73745f70 72657365 .._is_host_prese 3d860 6e740000 00054602 2318085f 6b626869 nt....F.#.._kbhi 3d870 74000000 05530223 1c085f72 6f6d5f76 t....S.#.._rom_v 3d880 65727369 6f6e5f67 65740000 00056002 ersion_get....`. 3d890 23200006 00000373 01030000 064a0400 # .....s.....J.. 3d8a0 06000003 73010300 00065704 00060000 ....s.....W..... 3d8b0 01100103 00000664 04000600 00011001 .......d........ 3d8c0 03000006 71040006 00000110 01030000 ....q........... 3d8d0 067e0400 07737472 696e675f 61706900 .~...string_api. 3d8e0 18000007 04085f73 7472696e 675f696e ......_string_in 3d8f0 69740000 00010902 2300085f 73747263 it......#.._strc 3d900 70790000 00065002 2304085f 7374726e py....P.#.._strn 3d910 63707900 0000065d 02230808 5f737472 cpy....].#.._str 3d920 6c656e00 0000066a 02230c08 5f737472 len....j.#.._str 3d930 636d7000 00000677 02231008 5f737472 cmp....w.#.._str 3d940 6e636d70 00000006 84022314 000f0000 ncmp......#..... 3d950 04081400 00071110 0400095f 415f5449 ..........._A_TI 3d960 4d45525f 53504143 45000000 07040941 MER_SPACE......A 3d970 5f74696d 65725f74 00000007 11030000 _timer_t........ 3d980 07250400 02010300 00073b04 00020103 .%........;..... 3d990 00000744 04000941 5f48414e 444c4500 ...D...A_HANDLE. 3d9a0 00000408 02010941 5f54494d 45525f46 .......A_TIMER_F 3d9b0 554e4300 0000075b 03000007 5d040002 UNC....[....]... 3d9c0 01030000 07760400 0774696d 65725f61 .....v...timer_a 3d9d0 70690014 000007f5 085f7469 6d65725f pi......._timer_ 3d9e0 696e6974 00000001 09022300 085f7469 init......#.._ti 3d9f0 6d65725f 61726d00 0000073d 02230408 mer_arm....=.#.. 3da00 5f74696d 65725f64 69736172 6d000000 _timer_disarm... 3da10 07460223 08085f74 696d6572 5f736574 .F.#.._timer_set 3da20 666e0000 00077802 230c085f 74696d65 fn....x.#.._time 3da30 725f7275 6e000000 01090223 10000942 r_run......#...B 3da40 4f4f4c45 414e0000 00018a06 000007f5 OOLEAN.......... 3da50 01030000 08020400 06000007 f5010300 ................ 3da60 00080f04 00060000 07f50103 0000081c ................ 3da70 04000772 6f6d705f 61706900 10000008 ...romp_api..... 3da80 8e085f72 6f6d705f 696e6974 00000001 .._romp_init.... 3da90 09022300 085f726f 6d705f64 6f776e6c ..#.._romp_downl 3daa0 6f616400 00000808 02230408 5f726f6d oad......#.._rom 3dab0 705f696e 7374616c 6c000000 08150223 p_install......# 3dac0 08085f72 6f6d705f 6465636f 64650000 .._romp_decode.. 3dad0 00082202 230c0007 726f6d5f 70617463 ..".#...rom_patc 3dae0 685f7374 00100000 08ea0863 72633136 h_st.......crc16 3daf0 00000001 8a022300 086c656e 00000001 ......#..len.... 3db00 8a022302 086c645f 61646472 00000001 ..#..ld_addr.... 3db10 ad022304 0866756e 5f616464 72000000 ..#..fun_addr... 3db20 01ad0223 08087066 756e0000 00034402 ...#..pfun....D. 3db30 230c0007 6565705f 72656469 725f6164 #...eep_redir_ad 3db40 64720004 0000091c 086f6666 73657400 dr.......offset. 3db50 0000018a 02230008 73697a65 00000001 .....#..size.... 3db60 8a022302 0009415f 55494e54 33320000 ..#...A_UINT32.. 3db70 00040806 00000405 01030000 092a0400 .............*.. 3db80 07616c6c 6f637261 6d5f6170 69000c00 .allocram_api... 3db90 00099b08 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr 3dba0 616d5f69 6e697400 00000930 02230008 am_init....0.#.. 3dbb0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 3dbc0 00093002 23040863 6d6e6f73 5f616c6c ..0.#..cmnos_all 3dbd0 6f637261 6d5f6465 62756700 00000109 ocram_debug..... 3dbe0 02230800 02010300 00099b04 0009415f .#............A_ 3dbf0 5441534b 4c45545f 46554e43 00000009 TASKLET_FUNC.... 3dc00 9d075f74 61736b6c 65740010 000009fc .._tasklet...... 3dc10 0866756e 63000000 09a40223 00086172 .func......#..ar 3dc20 67000000 04050223 04087374 61746500 g......#..state. 3dc30 00000110 02230808 6e657874 00000009 .....#..next.... 3dc40 fc02230c 00030000 09b80400 03000009 ..#............. 3dc50 b8040009 415f7461 736b6c65 745f7400 ....A_tasklet_t. 3dc60 000009b8 0300000a 0a040002 01030000 ................ 3dc70 0a220400 02010300 000a2b04 00077461 ."........+...ta 3dc80 736b6c65 745f6170 69001400 000ac008 sklet_api....... 3dc90 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... 3dca0 01090223 00085f74 61736b6c 65745f69 ...#.._tasklet_i 3dcb0 6e69745f 7461736b 0000000a 24022304 nit_task....$.#. 3dcc0 085f7461 736b6c65 745f6469 7361626c ._tasklet_disabl 3dcd0 65000000 0a2d0223 08085f74 61736b6c e....-.#.._taskl 3dce0 65745f73 63686564 756c6500 00000a2d et_schedule....- 3dcf0 02230c08 5f746173 6b6c6574 5f72756e .#.._tasklet_run 3dd00 00000001 09022310 00020103 00000ac0 ......#......... 3dd10 04000600 00091c01 0300000a c9040002 ................ 3dd20 01030000 0ad60400 07636c6f 636b5f61 .........clock_a 3dd30 70690024 00000bb8 085f636c 6f636b5f pi.$....._clock_ 3dd40 696e6974 0000000a c2022300 085f636c init......#.._cl 3dd50 6f636b72 6567735f 696e6974 00000001 ockregs_init.... 3dd60 09022304 085f7561 72745f66 72657175 ..#.._uart_frequ 3dd70 656e6379 0000000a cf022308 085f6465 ency......#.._de 3dd80 6c61795f 75730000 000ad802 230c085f lay_us......#.._ 3dd90 776c616e 5f62616e 645f7365 74000000 wlan_band_set... 3dda0 0ad80223 10085f72 6566636c 6b5f7370 ...#.._refclk_sp 3ddb0 6565645f 67657400 00000acf 02231408 eed_get......#.. 3ddc0 5f6d696c 6c697365 636f6e64 73000000 _milliseconds... 3ddd0 0acf0223 18085f73 7973636c 6b5f6368 ...#.._sysclk_ch 3dde0 616e6765 00000001 0902231c 085f636c ange......#.._cl 3ddf0 6f636b5f 7469636b 00000001 09022320 ock_tick......# 3de00 00060000 01ad0103 00000bb8 04000941 ...............A 3de10 5f6f6c64 5f696e74 725f7400 000001ad _old_intr_t..... 3de20 0600000b c5010300 000bd704 00020103 ................ 3de30 00000be4 04000201 0300000b ed040006 ................ 3de40 000001ad 01030000 0bf60400 09415f69 .............A_i 3de50 73725f74 0000000b fc020103 00000c10 sr_t............ 3de60 04000600 00040801 0300000c 19040002 ................ 3de70 01030000 0c260400 07696e74 725f6170 .....&...intr_ap 3de80 69002c00 000d4808 5f696e74 725f696e i.,...H._intr_in 3de90 69740000 00010902 2300085f 696e7472 it......#.._intr 3dea0 5f696e76 6f6b655f 69737200 00000bbe _invoke_isr..... 3deb0 02230408 5f696e74 725f6469 7361626c .#.._intr_disabl 3dec0 65000000 0bdd0223 08085f69 6e74725f e......#.._intr_ 3ded0 72657374 6f726500 00000be6 02230c08 restore......#.. 3dee0 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. 3def0 00000bef 02231008 5f696e74 725f756e .....#.._intr_un 3df00 6d61736b 5f696e75 6d000000 0bef0223 mask_inum......# 3df10 14085f69 6e74725f 61747461 63685f69 .._intr_attach_i 3df20 73720000 000c1202 2318085f 6765745f sr......#.._get_ 3df30 696e7472 656e6162 6c650000 000c1f02 intrenable...... 3df40 231c085f 7365745f 696e7472 656e6162 #.._set_intrenab 3df50 6c650000 000c2802 2320085f 6765745f le....(.# ._get_ 3df60 696e7472 70656e64 696e6700 00000c1f intrpending..... 3df70 02232408 5f756e62 6c6f636b 5f616c6c .#$._unblock_all 3df80 5f696e74 726c766c 00000001 09022328 _intrlvl......#( 3df90 00110400 000d6e08 74696d65 6f757400 ......n.timeout. 3dfa0 000001ad 02230008 61637469 6f6e0000 .....#..action.. 3dfb0 0001ad02 23000012 0800000d 8908636d ....#.........cm 3dfc0 64000000 01ad0223 00130000 0d480223 d......#.....H.# 3dfd0 04000954 5f574454 5f434d44 0000000d ...T_WDT_CMD.... 3dfe0 6e020103 00000d98 04001404 00000dee n............... 3dff0 0e454e55 4d5f5744 545f424f 4f540001 .ENUM_WDT_BOOT.. 3e000 0e454e55 4d5f434f 4c445f42 4f4f5400 .ENUM_COLD_BOOT. 3e010 020e454e 554d5f53 5553505f 424f4f54 ..ENUM_SUSP_BOOT 3e020 00030e45 4e554d5f 554e4b4e 4f574e5f ...ENUM_UNKNOWN_ 3e030 424f4f54 00040009 545f424f 4f545f54 BOOT....T_BOOT_T 3e040 59504500 00000da1 0600000d ee010300 YPE............. 3e050 000dff04 00077764 745f6170 69001c00 ......wdt_api... 3e060 000ea308 5f776474 5f696e69 74000000 ...._wdt_init... 3e070 01090223 00085f77 64745f65 6e61626c ...#.._wdt_enabl 3e080 65000000 01090223 04085f77 64745f64 e......#.._wdt_d 3e090 69736162 6c650000 00010902 2308085f isable......#.._ 3e0a0 7764745f 73657400 00000d9a 02230c08 wdt_set......#.. 3e0b0 5f776474 5f746173 6b000000 01090223 _wdt_task......# 3e0c0 10085f77 64745f72 65736574 00000001 .._wdt_reset.... 3e0d0 09022314 085f7764 745f6c61 73745f62 ..#.._wdt_last_b 3e0e0 6f6f7400 00000e05 02231800 14040000 oot......#...... 3e0f0 0f0a0e52 45545f53 55434345 53530000 ...RET_SUCCESS.. 3e100 0e524554 5f4e4f54 5f494e49 5400010e .RET_NOT_INIT... 3e110 5245545f 4e4f545f 45584953 5400020e RET_NOT_EXIST... 3e120 5245545f 4545505f 434f5252 55505400 RET_EEP_CORRUPT. 3e130 030e5245 545f4545 505f4f56 4552464c ..RET_EEP_OVERFL 3e140 4f570004 0e524554 5f554e4b 4e4f574e OW...RET_UNKNOWN 3e150 00050009 545f4545 505f5245 54000000 ....T_EEP_RET... 3e160 0ea30300 00018a04 00060000 0f0a0103 ................ 3e170 00000f20 04000600 000f0a01 0300000f ... ............ 3e180 2d040007 6565705f 61706900 1000000f -...eep_api..... 3e190 96085f65 65705f69 6e697400 00000109 .._eep_init..... 3e1a0 02230008 5f656570 5f726561 64000000 .#.._eep_read... 3e1b0 0f260223 04085f65 65705f77 72697465 .&.#.._eep_write 3e1c0 0000000f 26022308 085f6565 705f6973 ....&.#.._eep_is 3e1d0 5f657869 73740000 000f3302 230c0007 _exist....3.#... 3e1e0 7573625f 61706900 70000012 43085f75 usb_api.p...C._u 3e1f0 73625f69 6e697400 00000109 02230008 sb_init......#.. 3e200 5f757362 5f726f6d 5f746173 6b000000 _usb_rom_task... 3e210 01090223 04085f75 73625f66 775f7461 ...#.._usb_fw_ta 3e220 736b0000 00010902 2308085f 7573625f sk......#.._usb_ 3e230 696e6974 5f706879 00000001 0902230c init_phy......#. 3e240 085f7573 625f6570 305f7365 74757000 ._usb_ep0_setup. 3e250 00000109 02231008 5f757362 5f657030 .....#.._usb_ep0 3e260 5f747800 00000109 02231408 5f757362 _tx......#.._usb 3e270 5f657030 5f727800 00000109 02231808 _ep0_rx......#.. 3e280 5f757362 5f676574 5f696e74 65726661 _usb_get_interfa 3e290 63650000 00081502 231c085f 7573625f ce......#.._usb_ 3e2a0 7365745f 696e7465 72666163 65000000 set_interface... 3e2b0 08150223 20085f75 73625f67 65745f63 ...# ._usb_get_c 3e2c0 6f6e6669 67757261 74696f6e 00000008 onfiguration.... 3e2d0 15022324 085f7573 625f7365 745f636f ..#$._usb_set_co 3e2e0 6e666967 75726174 696f6e00 00000815 nfiguration..... 3e2f0 02232808 5f757362 5f737461 6e646172 .#(._usb_standar 3e300 645f636d 64000000 08150223 2c085f75 d_cmd......#,._u 3e310 73625f76 656e646f 725f636d 64000000 sb_vendor_cmd... 3e320 01090223 30085f75 73625f70 6f776572 ...#0._usb_power 3e330 5f6f6666 00000001 09022334 085f7573 _off......#4._us 3e340 625f7265 7365745f 6669666f 00000001 b_reset_fifo.... 3e350 09022338 085f7573 625f6765 6e5f7764 ..#8._usb_gen_wd 3e360 74000000 01090223 3c085f75 73625f6a t......#<._usb_j 3e370 756d705f 626f6f74 00000001 09022340 ump_boot......#@ 3e380 085f7573 625f636c 725f6665 61747572 ._usb_clr_featur 3e390 65000000 08150223 44085f75 73625f73 e......#D._usb_s 3e3a0 65745f66 65617475 72650000 00081502 et_feature...... 3e3b0 2348085f 7573625f 7365745f 61646472 #H._usb_set_addr 3e3c0 65737300 00000815 02234c08 5f757362 ess......#L._usb 3e3d0 5f676574 5f646573 63726970 746f7200 _get_descriptor. 3e3e0 00000815 02235008 5f757362 5f676574 .....#P._usb_get 3e3f0 5f737461 74757300 00000815 02235408 _status......#T. 3e400 5f757362 5f736574 75705f64 65736300 _usb_setup_desc. 3e410 00000109 02235808 5f757362 5f726567 .....#X._usb_reg 3e420 5f6f7574 00000001 0902235c 085f7573 _out......#\._us 3e430 625f7374 61747573 5f696e00 00000109 b_status_in..... 3e440 02236008 5f757362 5f657030 5f74785f .#`._usb_ep0_tx_ 3e450 64617461 00000001 09022364 085f7573 data......#d._us 3e460 625f6570 305f7278 5f646174 61000000 b_ep0_rx_data... 3e470 01090223 68085f75 73625f63 6c6b5f69 ...#h._usb_clk_i 3e480 6e697400 00000109 02236c00 075f5644 nit......#l.._VD 3e490 45534300 24000012 cf086e65 78745f64 ESC.$.....next_d 3e4a0 65736300 000012cf 02230008 6275665f esc......#..buf_ 3e4b0 61646472 00000012 e3022304 08627566 addr......#..buf 3e4c0 5f73697a 65000000 12ea0223 08086461 _size......#..da 3e4d0 74615f6f 66667365 74000000 12ea0223 ta_offset......# 3e4e0 0a086461 74615f73 697a6500 000012ea ..data_size..... 3e4f0 02230c08 636f6e74 726f6c00 000012ea .#..control..... 3e500 02230e08 68775f64 6573635f 62756600 .#..hw_desc_buf. 3e510 000012f8 02231000 03000012 43040009 .....#......C... 3e520 415f5549 4e543800 0000031d 03000012 A_UINT8......... 3e530 d6040009 415f5549 4e543136 00000001 ....A_UINT16.... 3e540 740f0000 12d61400 00130510 13000300 t............... 3e550 00124304 00095644 45534300 00001243 ..C...VDESC....C 3e560 03000013 0c040006 00001317 01030000 ................ 3e570 131e0400 06000012 e3010300 00132b04 ..............+. 3e580 00020103 00001338 04000776 64657363 .......8...vdesc 3e590 5f617069 00140000 13b0085f 696e6974 _api......._init 3e5a0 0000000a d8022300 085f616c 6c6f635f ......#.._alloc_ 3e5b0 76646573 63000000 13240223 04085f67 vdesc....$.#.._g 3e5c0 65745f68 775f6465 73630000 00133102 et_hw_desc....1. 3e5d0 2308085f 73776170 5f766465 73630000 #.._swap_vdesc.. 3e5e0 00133a02 230c0870 52657365 72766564 ..:.#..pReserved 3e5f0 00000004 05022310 00075f56 42554600 ......#..._VBUF. 3e600 20000014 10086465 73635f6c 69737400 .....desc_list. 3e610 00001317 02230008 6e657874 5f627566 .....#..next_buf 3e620 00000014 10022304 08627566 5f6c656e ......#..buf_len 3e630 67746800 000012ea 02230808 72657365 gth......#..rese 3e640 72766564 00000014 1702230a 08637478 rved......#..ctx 3e650 00000012 f802230c 00030000 13b00400 ......#......... 3e660 0f000012 d6020000 14241001 00030000 .........$...... 3e670 13b00400 09564255 46000000 13b00300 .....VBUF....... 3e680 00142b04 00060000 14350103 0000143c ..+......5.....< 3e690 04000600 00143501 03000014 49040002 ......5.....I... 3e6a0 01030000 14560400 07766275 665f6170 .....V...vbuf_ap 3e6b0 69001400 0014d408 5f696e69 74000000 i......._init... 3e6c0 0ad80223 00085f61 6c6c6f63 5f766275 ...#.._alloc_vbu 3e6d0 66000000 14420223 04085f61 6c6c6f63 f....B.#.._alloc 3e6e0 5f766275 665f7769 74685f73 697a6500 _vbuf_with_size. 3e6f0 0000144f 02230808 5f667265 655f7662 ...O.#.._free_vb 3e700 75660000 00145802 230c0870 52657365 uf....X.#..pRese 3e710 72766564 00000004 05022310 00075f5f rved......#...__ 3e720 6164665f 64657669 63650004 000014f6 adf_device...... 3e730 0864756d 6d790000 00011002 23000003 .dummy......#... 3e740 0000091c 0400075f 5f616466 5f646d61 .......__adf_dma 3e750 5f6d6170 000c0000 153d0862 75660000 _map.....=.buf.. 3e760 00143502 23000864 735f6164 64720000 ..5.#..ds_addr.. 3e770 0014f602 23040864 735f6c65 6e000000 ....#..ds_len... 3e780 12ea0223 0800120c 00001577 085f5f76 ...#.......w.__v 3e790 615f7374 6b000000 03730223 00085f5f a_stk....s.#..__ 3e7a0 76615f72 65670000 00037302 2304085f va_reg....s.#.._ 3e7b0 5f76615f 6e647800 00000110 02230800 _va_ndx......#.. 3e7c0 095f5f61 64665f6f 735f646d 615f6164 .__adf_os_dma_ad 3e7d0 64725f74 00000009 1c096164 665f6f73 dr_t......adf_os 3e7e0 5f646d61 5f616464 725f7400 00001577 _dma_addr_t....w 3e7f0 095f5f61 64665f6f 735f646d 615f7369 .__adf_os_dma_si 3e800 7a655f74 00000009 1c096164 665f6f73 ze_t......adf_os 3e810 5f646d61 5f73697a 655f7400 000015a7 _dma_size_t..... 3e820 075f5f64 6d615f73 65677300 08000016 .__dma_segs..... 3e830 03087061 64647200 00001590 02230008 ..paddr......#.. 3e840 6c656e00 000015c0 02230400 095f5f61 len......#...__a 3e850 5f75696e 7433325f 74000000 091c0961 _uint32_t......a 3e860 5f75696e 7433325f 74000000 16030f00 _uint32_t....... 3e870 0015d708 00001632 10000007 6164665f .......2....adf_ 3e880 6f735f64 6d616d61 705f696e 666f000c os_dmamap_info.. 3e890 0000166b 086e7365 67730000 00161502 ...k.nsegs...... 3e8a0 23000864 6d615f73 65677300 00001625 #..dma_segs....% 3e8b0 02230400 095f5f61 5f75696e 74385f74 .#...__a_uint8_t 3e8c0 00000012 d609615f 75696e74 385f7400 ......a_uint8_t. 3e8d0 0000166b 03000016 7c040007 5f5f7367 ...k....|...__sg 3e8e0 5f736567 73000800 0016bd08 76616464 _segs.......vadd 3e8f0 72000000 168b0223 00086c65 6e000000 r......#..len... 3e900 16150223 04000f00 00169220 000016ca ...#....... .... 3e910 10030007 6164665f 6f735f73 676c6973 ....adf_os_sglis 3e920 74002400 0016fd08 6e736567 73000000 t.$.....nsegs... 3e930 16150223 00087367 5f736567 73000000 ...#..sg_segs... 3e940 16bd0223 04001210 00001746 0876656e ...#.......F.ven 3e950 646f7200 00001615 02230008 64657669 dor......#..devi 3e960 63650000 00161502 23040873 75627665 ce......#..subve 3e970 6e646f72 00000016 15022308 08737562 ndor......#..sub 3e980 64657669 63650000 00161502 230c0004 device......#... 3e990 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign 3e9a0 65642069 6e740007 0809415f 55494e54 ed int....A_UINT 3e9b0 36340000 00174609 5f5f615f 75696e74 64....F.__a_uint 3e9c0 36345f74 00000017 6009615f 75696e74 64_t....`.a_uint 3e9d0 36345f74 00000017 6e140400 0017cc0e 64_t....n....... 3e9e0 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ 3e9f0 54595045 5f4d454d 00000e41 44465f4f TYPE_MEM...ADF_O 3ea00 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ 3ea10 494f0001 00096164 665f6f73 5f726573 IO....adf_os_res 3ea20 6f757263 655f7479 70655f74 00000017 ource_type_t.... 3ea30 90121800 00181608 73746172 74000000 ........start... 3ea40 17800223 0008656e 64000000 17800223 ...#..end......# 3ea50 08087479 70650000 0017cc02 23100009 ..type......#... 3ea60 6164665f 6f735f70 63695f64 65765f69 adf_os_pci_dev_i 3ea70 645f7400 000016fd 03000018 16040011 d_t............. 3ea80 04000018 55087063 69000000 182f0223 ....U.pci..../.# 3ea90 00087261 77000000 04050223 00001110 ..raw......#.... 3eaa0 00001874 08706369 00000018 16022300 ...t.pci......#. 3eab0 08726177 00000004 05022300 00096164 .raw......#...ad 3eac0 665f6472 765f6861 6e646c65 5f740000 f_drv_handle_t.. 3ead0 00040509 6164665f 6f735f72 65736f75 ....adf_os_resou 3eae0 7263655f 74000000 17e80300 00188a04 rce_t........... 3eaf0 00096164 665f6f73 5f617474 6163685f ..adf_os_attach_ 3eb00 64617461 5f740000 00185503 000018a8 data_t....U..... 3eb10 04000300 0014d404 00095f5f 6164665f ..........__adf_ 3eb20 6f735f64 65766963 655f7400 000018c9 os_device_t..... 3eb30 09616466 5f6f735f 64657669 63655f74 .adf_os_device_t 3eb40 00000018 d0060000 18740103 000018fc .........t...... 3eb50 04000201 03000019 09040009 6164665f ............adf_ 3eb60 6f735f70 6d5f7400 00000405 02010300 os_pm_t......... 3eb70 00192304 00140400 0019630e 4144465f ..#.......c.ADF_ 3eb80 4f535f42 55535f54 5950455f 50434900 OS_BUS_TYPE_PCI. 3eb90 010e4144 465f4f53 5f425553 5f545950 ..ADF_OS_BUS_TYP 3eba0 455f4745 4e455249 43000200 09616466 E_GENERIC....adf 3ebb0 5f6f735f 6275735f 74797065 5f740000 _os_bus_type_t.. 3ebc0 00192c09 6164665f 6f735f62 75735f72 ..,.adf_os_bus_r 3ebd0 65675f64 6174615f 74000000 18360300 eg_data_t....6.. 3ebe0 00031d04 00075f61 64665f64 72765f69 ......_adf_drv_i 3ebf0 6e666f00 2000001a 40086472 765f6174 nfo. ...@.drv_at 3ec00 74616368 00000019 02022300 08647276 tach......#..drv 3ec10 5f646574 61636800 0000190b 02230408 _detach......#.. 3ec20 6472765f 73757370 656e6400 00001925 drv_suspend....% 3ec30 02230808 6472765f 72657375 6d650000 .#..drv_resume.. 3ec40 00190b02 230c0862 75735f74 79706500 ....#..bus_type. 3ec50 00001963 02231008 6275735f 64617461 ...c.#..bus_data 3ec60 00000019 7a022314 086d6f64 5f6e616d ....z.#..mod_nam 3ec70 65000000 19950223 18086966 6e616d65 e......#..ifname 3ec80 00000019 9502231c 00096164 665f6f73 ......#...adf_os 3ec90 5f68616e 646c655f 74000000 04050300 _handle_t....... 3eca0 00166b04 00020102 01095f5f 6164665f ..k.......__adf_ 3ecb0 6f735f73 697a655f 74000000 04081404 os_size_t....... 3ecc0 00001a8f 0e415f46 414c5345 00000e41 .....A_FALSE...A 3ecd0 5f545255 45000100 09615f62 6f6f6c5f _TRUE....a_bool_ 3ece0 74000000 1a750300 0014fd04 00095f5f t....u........__ 3ecf0 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t 3ed00 0000001a 9d02010d 6164665f 6f735f63 ........adf_os_c 3ed10 61636865 5f73796e 63000400 001b270e ache_sync.....'. 3ed20 4144465f 53594e43 5f505245 52454144 ADF_SYNC_PREREAD 3ed30 00000e41 44465f53 594e435f 50524557 ...ADF_SYNC_PREW 3ed40 52495445 00020e41 44465f53 594e435f RITE...ADF_SYNC_ 3ed50 504f5354 52454144 00010e41 44465f53 POSTREAD...ADF_S 3ed60 594e435f 504f5354 57524954 45000300 YNC_POSTWRITE... 3ed70 09616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy 3ed80 6e635f74 0000001a be020109 6164665f nc_t........adf_ 3ed90 6f735f73 697a655f 74000000 1a600600 os_size_t....`.. 3eda0 001b4201 09616466 5f6f735f 646d615f ..B..adf_os_dma_ 3edb0 6d61705f 74000000 1aa40300 001b5b04 map_t.........[. 3edc0 00060000 04050103 00001aa4 04000600 ................ 3edd0 00040501 02010600 00159001 02010473 ...............s 3ede0 686f7274 20696e74 00050209 415f494e hort int....A_IN 3edf0 54313600 00001b95 095f5f61 5f696e74 T16......__a_int 3ee00 31365f74 0000001b a209615f 696e7431 16_t......a_int1 3ee10 365f7400 00001baf 04736967 6e656420 6_t......signed 3ee20 63686172 00050109 415f494e 54380000 char....A_INT8.. 3ee30 001bcf09 5f5f615f 696e7438 5f740000 ....__a_int8_t.. 3ee40 001bde09 615f696e 74385f74 0000001b ....a_int8_t.... 3ee50 ea120c00 001c6108 73757070 6f727465 ......a.supporte 3ee60 64000000 16150223 00086164 76657274 d......#..advert 3ee70 697a6564 00000016 15022304 08737065 ized......#..spe 3ee80 65640000 001bc002 23080864 75706c65 ed......#..duple 3ee90 78000000 1bfa0223 0a086175 746f6e65 x......#..autone 3eea0 67000000 167c0223 0b000f00 00167c06 g....|.#......|. 3eeb0 00001c6e 10050007 6164665f 6e65745f ...n....adf_net_ 3eec0 65746861 64647200 0600001c 92086164 ethaddr.......ad 3eed0 64720000 001c6102 23000009 5f5f615f dr....a.#...__a_ 3eee0 75696e74 31365f74 00000012 ea09615f uint16_t......a_ 3eef0 75696e74 31365f74 0000001c 92120e00 uint16_t........ 3ef00 001cf608 65746865 725f6468 6f737400 ....ether_dhost. 3ef10 00001c61 02230008 65746865 725f7368 ...a.#..ether_sh 3ef20 6f737400 00001c61 02230608 65746865 ost....a.#..ethe 3ef30 725f7479 70650000 001ca402 230c0012 r_type......#... 3ef40 1400001d b7156970 5f766572 73696f6e ......ip_version 3ef50 00000016 7c010004 02230015 69705f68 ....|....#..ip_h 3ef60 6c000000 167c0104 04022300 0869705f l....|....#..ip_ 3ef70 746f7300 0000167c 02230108 69705f6c tos....|.#..ip_l 3ef80 656e0000 001ca402 23020869 705f6964 en......#..ip_id 3ef90 0000001c a4022304 0869705f 66726167 ......#..ip_frag 3efa0 5f6f6666 0000001c a4022306 0869705f _off......#..ip_ 3efb0 74746c00 0000167c 02230808 69705f70 ttl....|.#..ip_p 3efc0 726f746f 00000016 7c022309 0869705f roto....|.#..ip_ 3efd0 63686563 6b000000 1ca40223 0a086970 check......#..ip 3efe0 5f736164 64720000 00161502 230c0869 _saddr......#..i 3eff0 705f6461 64647200 00001615 02231000 p_daddr......#.. 3f000 07616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr 3f010 00040000 1e090874 70696400 00001ca4 .......tpid..... 3f020 02230015 7072696f 00000016 7c010003 .#..prio....|... 3f030 02230215 63666900 0000167c 01030102 .#..cfi....|.... 3f040 23021576 69640000 001ca402 040c0223 #..vid.........# 3f050 02000761 64665f6e 65745f76 69640002 ...adf_net_vid.. 3f060 00001e3a 15726573 00000016 7c010004 ...:.res....|... 3f070 02230015 76616c00 00001ca4 02040c02 .#..val......... 3f080 23000012 0c00001e 76087278 5f627566 #.......v.rx_buf 3f090 73697a65 00000016 15022300 0872785f size......#..rx_ 3f0a0 6e646573 63000000 16150223 04087478 ndesc......#..tx 3f0b0 5f6e6465 73630000 00161502 23080012 _ndesc......#... 3f0c0 0800001e 9c08706f 6c6c6564 0000001a ......polled.... 3f0d0 8f022300 08706f6c 6c5f7774 00000016 ..#..poll_wt.... 3f0e0 15022304 000f0000 167c4000 001ea910 ..#......|@..... 3f0f0 3f001246 00001ed1 0869665f 6e616d65 ?..F.....if_name 3f100 0000001e 9c022300 08646576 5f616464 ......#..dev_add 3f110 72000000 1c610223 40001404 00001f08 r....a.#@....... 3f120 0e414446 5f4f535f 444d415f 4d41534b .ADF_OS_DMA_MASK 3f130 5f333242 49540000 0e414446 5f4f535f _32BIT...ADF_OS_ 3f140 444d415f 4d41534b 5f363442 49540001 DMA_MASK_64BIT.. 3f150 00096164 665f6f73 5f646d61 5f6d6173 ..adf_os_dma_mas 3f160 6b5f7400 00001ed1 07616466 5f646d61 k_t......adf_dma 3f170 5f696e66 6f000800 001f5508 646d615f _info.....U.dma_ 3f180 6d61736b 0000001f 08022300 0873675f mask......#..sg_ 3f190 6e736567 73000000 16150223 04001404 nsegs......#.... 3f1a0 00001fab 0e414446 5f4e4554 5f434b53 .....ADF_NET_CKS 3f1b0 554d5f4e 4f4e4500 000e4144 465f4e45 UM_NONE...ADF_NE 3f1c0 545f434b 53554d5f 5443505f 5544505f T_CKSUM_TCP_UDP_ 3f1d0 49507634 00010e41 44465f4e 45545f43 IPv4...ADF_NET_C 3f1e0 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv 3f1f0 36000200 09616466 5f6e6574 5f636b73 6....adf_net_cks 3f200 756d5f74 7970655f 74000000 1f551208 um_type_t....U.. 3f210 00001fee 0874785f 636b7375 6d000000 .....tx_cksum... 3f220 1fab0223 00087278 5f636b73 756d0000 ...#..rx_cksum.. 3f230 001fab02 23040009 6164665f 6e65745f ....#...adf_net_ 3f240 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... 3f250 c5140400 0020470e 4144465f 4e45545f ..... G.ADF_NET_ 3f260 54534f5f 4e4f4e45 00000e41 44465f4e TSO_NONE...ADF_N 3f270 45545f54 534f5f49 50563400 010e4144 ET_TSO_IPV4...AD 3f280 465f4e45 545f5453 4f5f414c 4c000200 F_NET_TSO_ALL... 3f290 09616466 5f6e6574 5f74736f 5f747970 .adf_net_tso_typ 3f2a0 655f7400 00002008 12100000 209b0863 e_t... ..... ..c 3f2b0 6b73756d 5f636170 0000001f ee022300 ksum_cap......#. 3f2c0 0874736f 00000020 47022308 08766c61 .tso... G.#..vla 3f2d0 6e5f7375 70706f72 74656400 0000167c n_supported....| 3f2e0 02230c00 12200000 21340874 785f7061 .#... ..!4.tx_pa 3f2f0 636b6574 73000000 16150223 00087278 ckets......#..rx 3f300 5f706163 6b657473 00000016 15022304 _packets......#. 3f310 0874785f 62797465 73000000 16150223 .tx_bytes......# 3f320 08087278 5f627974 65730000 00161502 ..rx_bytes...... 3f330 230c0874 785f6472 6f707065 64000000 #..tx_dropped... 3f340 16150223 10087278 5f64726f 70706564 ...#..rx_dropped 3f350 00000016 15022314 0872785f 6572726f ......#..rx_erro 3f360 72730000 00161502 23180874 785f6572 rs......#..tx_er 3f370 726f7273 00000016 1502231c 00096164 rors......#...ad 3f380 665f6e65 745f6574 68616464 725f7400 f_net_ethaddr_t. 3f390 00001c6e 16000021 34030000 00215910 ...n...!4....!Y. 3f3a0 7f001761 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m 3f3b0 63616464 72000304 00002190 086e656c caddr.....!..nel 3f3c0 656d0000 00161502 2300086d 63617374 em......#..mcast 3f3d0 00000021 4b022304 00096164 665f6e65 ...!K.#...adf_ne 3f3e0 745f636d 645f6c69 6e6b5f69 6e666f5f t_cmd_link_info_ 3f3f0 74000000 1c080961 64665f6e 65745f63 t......adf_net_c 3f400 6d645f70 6f6c6c5f 696e666f 5f740000 md_poll_info_t.. 3f410 001e7609 6164665f 6e65745f 636d645f ..v.adf_net_cmd_ 3f420 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... 3f430 ee096164 665f6e65 745f636d 645f7269 ..adf_net_cmd_ri 3f440 6e675f69 6e666f5f 74000000 1e3a0961 ng_info_t....:.a 3f450 64665f6e 65745f63 6d645f64 6d615f69 df_net_cmd_dma_i 3f460 6e666f5f 74000000 1f1f0961 64665f6e nfo_t......adf_n 3f470 65745f63 6d645f76 69645f74 0000001c et_cmd_vid_t.... 3f480 a4096164 665f6e65 745f636d 645f6f66 ..adf_net_cmd_of 3f490 666c6f61 645f6361 705f7400 0000205f fload_cap_t... _ 3f4a0 09616466 5f6e6574 5f636d64 5f737461 .adf_net_cmd_sta 3f4b0 74735f74 00000020 9b096164 665f6e65 ts_t... ..adf_ne 3f4c0 745f636d 645f6d63 61646472 5f740000 t_cmd_mcaddr_t.. 3f4d0 0021590d 6164665f 6e65745f 636d645f .!Y.adf_net_cmd_ 3f4e0 6d636173 745f6361 70000400 0022d20e mcast_cap....".. 3f4f0 4144465f 4e45545f 4d434153 545f5355 ADF_NET_MCAST_SU 3f500 5000000e 4144465f 4e45545f 4d434153 P...ADF_NET_MCAS 3f510 545f4e4f 54535550 00010009 6164665f T_NOTSUP....adf_ 3f520 6e65745f 636d645f 6d636173 745f6361 net_cmd_mcast_ca 3f530 705f7400 0000228a 18030400 0023a408 p_t..."......#.. 3f540 6c696e6b 5f696e66 6f000000 21900223 link_info...!..# 3f550 0008706f 6c6c5f69 6e666f00 000021ad ..poll_info...!. 3f560 02230008 636b7375 6d5f696e 666f0000 .#..cksum_info.. 3f570 0021ca02 23000872 696e675f 696e666f .!..#..ring_info 3f580 00000021 e8022300 08646d61 5f696e66 ...!..#..dma_inf 3f590 6f000000 22050223 00087669 64000000 o..."..#..vid... 3f5a0 22210223 00086f66 666c6f61 645f6361 "!.#..offload_ca 3f5b0 70000000 22380223 00087374 61747300 p..."8.#..stats. 3f5c0 00002257 02230008 6d636173 745f696e .."W.#..mcast_in 3f5d0 666f0000 00227002 2300086d 63617374 fo..."p.#..mcast 3f5e0 5f636170 00000022 d2022300 00140400 _cap..."..#..... 3f5f0 0023fb0e 4144465f 4e425546 5f52585f .#..ADF_NBUF_RX_ 3f600 434b5355 4d5f4e4f 4e450000 0e414446 CKSUM_NONE...ADF 3f610 5f4e4255 465f5258 5f434b53 554d5f48 _NBUF_RX_CKSUM_H 3f620 5700010e 4144465f 4e425546 5f52585f W...ADF_NBUF_RX_ 3f630 434b5355 4d5f554e 4e454345 53534152 CKSUM_UNNECESSAR 3f640 59000200 09616466 5f6e6275 665f7278 Y....adf_nbuf_rx 3f650 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... 3f660 23a41208 0000243b 08726573 756c7400 #.....$;.result. 3f670 000023fb 02230008 76616c00 00001615 ..#..#..val..... 3f680 02230400 12080000 246b0874 79706500 .#......$k.type. 3f690 00002047 02230008 6d737300 00001ca4 .. G.#..mss..... 3f6a0 02230408 6864725f 6f666600 0000167c .#..hdr_off....| 3f6b0 02230600 075f5f61 64665f6e 6275665f .#...__adf_nbuf_ 3f6c0 71686561 64000c00 0024aa08 68656164 qhead....$..head 3f6d0 00000014 35022300 08746169 6c000000 ....5.#..tail... 3f6e0 14350223 0408716c 656e0000 00161502 .5.#..qlen...... 3f6f0 23080009 5f5f6164 665f6e62 75665f74 #...__adf_nbuf_t 3f700 00000014 35030000 168b0400 03000016 ....5........... 3f710 15040002 01060000 13170106 00001615 ................ 3f720 01060000 168b0106 0000168b 01030000 ................ 3f730 12f80400 095f5f61 64665f6e 6275665f .....__adf_nbuf_ 3f740 71686561 645f7400 0000246b 095f5f61 qhead_t...$k.__a 3f750 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 3f760 000024eb 03000025 03040006 000024aa ..$....%......$. 3f770 01060000 24aa0114 04000026 230e415f ....$......&#.A_ 3f780 53544154 55535f4f 4b00000e 415f5354 STATUS_OK...A_ST 3f790 41545553 5f464149 4c454400 010e415f ATUS_FAILED...A_ 3f7a0 53544154 55535f45 4e4f454e 5400020e STATUS_ENOENT... 3f7b0 415f5354 41545553 5f454e4f 4d454d00 A_STATUS_ENOMEM. 3f7c0 030e415f 53544154 55535f45 494e5641 ..A_STATUS_EINVA 3f7d0 4c00040e 415f5354 41545553 5f45494e L...A_STATUS_EIN 3f7e0 50524f47 52455353 00050e41 5f535441 PROGRESS...A_STA 3f7f0 5455535f 454e4f54 53555050 00060e41 TUS_ENOTSUPP...A 3f800 5f535441 5455535f 45425553 5900070e _STATUS_EBUSY... 3f810 415f5354 41545553 5f453242 49470008 A_STATUS_E2BIG.. 3f820 0e415f53 54415455 535f4541 4444524e .A_STATUS_EADDRN 3f830 4f544156 41494c00 090e415f 53544154 OTAVAIL...A_STAT 3f840 55535f45 4e58494f 000a0e41 5f535441 US_ENXIO...A_STA 3f850 5455535f 45464155 4c54000b 0e415f53 TUS_EFAULT...A_S 3f860 54415455 535f4549 4f000c00 09615f73 TATUS_EIO....a_s 3f870 74617475 735f7400 0000252e 06000026 tatus_t...%....& 3f880 23010600 00011001 02010961 64665f6e #..........adf_n 3f890 6275665f 74000000 24aa1404 00002688 buf_t...$.....&. 3f8a0 0e414446 5f4f535f 444d415f 544f5f44 .ADF_OS_DMA_TO_D 3f8b0 45564943 4500000e 4144465f 4f535f44 EVICE...ADF_OS_D 3f8c0 4d415f46 524f4d5f 44455649 43450001 MA_FROM_DEVICE.. 3f8d0 00096164 665f6f73 5f646d61 5f646972 ..adf_os_dma_dir 3f8e0 5f740000 00265106 00002623 01020109 _t...&Q...&#.... 3f8f0 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in 3f900 666f5f74 00000016 32030000 26a60400 fo_t....2...&... 3f910 02010201 06000026 41010600 0024aa01 .......&A....$.. 3f920 02010201 06000026 41010600 0024aa01 .......&A....$.. 3f930 06000026 41010600 0024aa01 06000026 ...&A....$.....& 3f940 41010201 02010600 00161501 06000016 A............... 3f950 8b010201 02010600 001b4201 0600001a ..........B..... 3f960 8f010600 001a8f01 09616466 5f6f735f .........adf_os_ 3f970 73676c69 73745f74 00000016 ca030000 sglist_t........ 3f980 271f0400 02010201 02010600 00168b01 '............... 3f990 09616466 5f6e6275 665f7175 6575655f .adf_nbuf_queue_ 3f9a0 74000000 25030300 00274704 00020103 t...%....'G..... 3f9b0 000024eb 04000201 02010201 06000026 ..$............& 3f9c0 41010600 0024aa01 06000016 15010600 A....$.......... 3f9d0 00161501 0600001a 8f010600 001a8f01 ................ 3f9e0 0600001f ab010600 00161501 09616466 .............adf 3f9f0 5f6e6275 665f7278 5f636b73 756d5f74 _nbuf_rx_cksum_t 3fa00 00000024 19030000 27a30400 02010201 ...$....'....... 3fa10 09616466 5f6e6275 665f7473 6f5f7400 .adf_nbuf_tso_t. 3fa20 0000243b 03000027 c7040002 01020109 ..$;...'........ 3fa30 6164665f 6e65745f 68616e64 6c655f74 adf_net_handle_t 3fa40 00000004 05096164 665f6e65 745f766c ......adf_net_vl 3fa50 616e6864 725f7400 00001db7 03000027 anhdr_t........' 3fa60 fc040006 00002623 01060000 26230102 ......&#....&#.. 3fa70 01020107 5f484946 5f434f4e 46494700 ...._HIF_CONFIG. 3fa80 04000028 4b086475 6d6d7900 00000110 ...(K.dummy..... 3fa90 02230000 02010300 00284b04 00020103 .#.......(K..... 3faa0 00002854 0400075f 4849465f 43414c4c ..(T..._HIF_CALL 3fab0 4241434b 000c0000 28a90873 656e645f BACK....(..send_ 3fac0 6275665f 646f6e65 00000028 4d022300 buf_done...(M.#. 3fad0 08726563 765f6275 66000000 28560223 .recv_buf...(V.# 3fae0 0408636f 6e746578 74000000 04050223 ..context......# 3faf0 08000968 69665f68 616e646c 655f7400 ...hif_handle_t. 3fb00 00000405 09484946 5f434f4e 46494700 .....HIF_CONFIG. 3fb10 0000282a 03000028 bb040006 000028a9 ..(*...(......(. 3fb20 01030000 28d20400 02010300 0028df04 ....(........(.. 3fb30 00094849 465f4341 4c4c4241 434b0000 ..HIF_CALLBACK.. 3fb40 00285d03 000028e8 04000201 03000029 .(]...(........) 3fb50 01040006 00000110 01030000 290a0400 ............)... 3fb60 02010300 00291704 00060000 01100103 .....).......... 3fb70 00002920 04000201 03000029 2d040006 ..) .......)-... 3fb80 00000110 01030000 29360400 02010300 ........)6...... 3fb90 00294304 00076869 665f6170 69003800 .)C...hif_api.8. 3fba0 002a9c08 5f696e69 74000000 28d80223 .*.._init...(..# 3fbb0 00085f73 68757464 6f776e00 000028e1 .._shutdown...(. 3fbc0 02230408 5f726567 69737465 725f6361 .#.._register_ca 3fbd0 6c6c6261 636b0000 00290302 2308085f llback...)..#.._ 3fbe0 6765745f 746f7461 6c5f6372 65646974 get_total_credit 3fbf0 5f636f75 6e740000 00291002 230c085f _count...)..#.._ 3fc00 73746172 74000000 28e10223 10085f63 start...(..#.._c 3fc10 6f6e6669 675f7069 70650000 00291902 onfig_pipe...).. 3fc20 2314085f 73656e64 5f627566 66657200 #.._send_buffer. 3fc30 00002926 02231808 5f726574 75726e5f ..)&.#.._return_ 3fc40 72656376 5f627566 00000029 2f02231c recv_buf...)/.#. 3fc50 085f6973 5f706970 655f7375 70706f72 ._is_pipe_suppor 3fc60 74656400 0000293c 02232008 5f676574 ted...)<.# ._get 3fc70 5f6d6178 5f6d7367 5f6c656e 00000029 _max_msg_len...) 3fc80 3c022324 085f6765 745f7265 73657276 <.#$._get_reserv 3fc90 65645f68 65616472 6f6f6d00 00002910 ed_headroom...). 3fca0 02232808 5f697372 5f68616e 646c6572 .#(._isr_handler 3fcb0 00000028 e102232c 085f6765 745f6465 ...(..#,._get_de 3fcc0 6661756c 745f7069 70650000 00294502 fault_pipe...)E. 3fcd0 23300870 52657365 72766564 00000004 #0.pReserved.... 3fce0 05022334 000d646d 615f656e 67696e65 ..#4..dma_engine 3fcf0 00040000 2b250e44 4d415f45 4e47494e ....+%.DMA_ENGIN 3fd00 455f5258 3000000e 444d415f 454e4749 E_RX0...DMA_ENGI 3fd10 4e455f52 58310001 0e444d41 5f454e47 NE_RX1...DMA_ENG 3fd20 494e455f 52583200 020e444d 415f454e INE_RX2...DMA_EN 3fd30 47494e45 5f525833 00030e44 4d415f45 GINE_RX3...DMA_E 3fd40 4e47494e 455f5458 3000040e 444d415f NGINE_TX0...DMA_ 3fd50 454e4749 4e455f54 58310005 0e444d41 ENGINE_TX1...DMA 3fd60 5f454e47 494e455f 4d415800 06000964 _ENGINE_MAX....d 3fd70 6d615f65 6e67696e 655f7400 00002a9c ma_engine_t...*. 3fd80 0d646d61 5f696674 79706500 0400002b .dma_iftype....+ 3fd90 720e444d 415f4946 5f474d41 4300000e r.DMA_IF_GMAC... 3fda0 444d415f 49465f50 43490001 0e444d41 DMA_IF_PCI...DMA 3fdb0 5f49465f 50434945 00020009 646d615f _IF_PCIE....dma_ 3fdc0 69667479 70655f74 0000002b 37060000 iftype_t...+7... 3fdd0 12ea0103 00002b84 04000201 0300002b ......+........+ 3fde0 91040002 01030000 2b9a0400 06000009 ........+....... 3fdf0 1c010300 002ba304 00060000 12ea0103 .....+.......... 3fe00 00002bb0 04000600 0012ea01 0300002b ..+............+ 3fe10 bd040006 00001435 01030000 2bca0400 .......5....+... 3fe20 02010300 002bd704 0007646d 615f6c69 .....+....dma_li 3fe30 625f6170 69003400 002cde08 74785f69 b_api.4..,..tx_i 3fe40 6e697400 00002b8a 02230008 74785f73 nit...+..#..tx_s 3fe50 74617274 0000002b 93022304 0872785f tart...+..#..rx_ 3fe60 696e6974 0000002b 8a022308 0872785f init...+..#..rx_ 3fe70 636f6e66 69670000 002b9c02 230c0872 config...+..#..r 3fe80 785f7374 61727400 00002b93 02231008 x_start...+..#.. 3fe90 696e7472 5f737461 74757300 00002ba9 intr_status...+. 3fea0 02231408 68617264 5f786d69 74000000 .#..hard_xmit... 3feb0 2bb60223 1808666c 7573685f 786d6974 +..#..flush_xmit 3fec0 0000002b 9302231c 08786d69 745f646f ...+..#..xmit_do 3fed0 6e650000 002bc302 23200872 6561705f ne...+..# .reap_ 3fee0 786d6974 74656400 00002bd0 02232408 xmitted...+..#$. 3fef0 72656170 5f726563 76000000 2bd00223 reap_recv...+..# 3ff00 28087265 7475726e 5f726563 76000000 (.return_recv... 3ff10 2bd90223 2c087265 63765f70 6b740000 +..#,.recv_pkt.. 3ff20 002bc302 23300007 5f5f7063 695f736f .+..#0..__pci_so 3ff30 66746300 0c00002c fc087377 00000028 ftc....,..sw...( 3ff40 e8022300 00095f5f 7063695f 736f6674 ..#...__pci_soft 3ff50 635f7400 00002cde 0300002c fc040002 c_t...,....,.... 3ff60 01030000 2d160400 06000012 d6010300 ....-........... 3ff70 002d1f04 000d6869 665f7063 695f7069 .-....hif_pci_pi 3ff80 70655f74 78000400 002d7f0e 4849465f pe_tx....-..HIF_ 3ff90 5043495f 50495045 5f545830 00000e48 PCI_PIPE_TX0...H 3ffa0 49465f50 43495f50 4950455f 54583100 IF_PCI_PIPE_TX1. 3ffb0 010e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T 3ffc0 585f4d41 58000200 09686966 5f706369 X_MAX....hif_pci 3ffd0 5f706970 655f7478 5f740000 002d2c06 _pipe_tx_t...-,. 3ffe0 00002b25 01030000 2d960400 0d686966 ..+%....-....hif 3fff0 5f706369 5f706970 655f7278 00040000 _pci_pipe_rx.... 40000 2e1c0e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 40010 52583000 000e4849 465f5043 495f5049 RX0...HIF_PCI_PI 40020 50455f52 58310001 0e484946 5f504349 PE_RX1...HIF_PCI 40030 5f504950 455f5258 3200020e 4849465f _PIPE_RX2...HIF_ 40040 5043495f 50495045 5f525833 00030e48 PCI_PIPE_RX3...H 40050 49465f50 43495f50 4950455f 52585f4d IF_PCI_PIPE_RX_M 40060 41580004 00096869 665f7063 695f7069 AX....hif_pci_pi 40070 70655f72 785f7400 00002da3 0600002b pe_rx_t...-....+ 40080 25010300 002e3304 00076869 665f7063 %.....3...hif_pc 40090 695f6170 69002400 002f1108 7063695f i_api.$../..pci_ 400a0 626f6f74 5f696e69 74000000 01090223 boot_init......# 400b0 00087063 695f696e 69740000 0028d802 ..pci_init...(.. 400c0 23040870 63695f72 65736574 00000001 #..pci_reset.... 400d0 09022308 08706369 5f656e61 626c6500 ..#..pci_enable. 400e0 00000109 02230c08 7063695f 72656170 .....#..pci_reap 400f0 5f786d69 74746564 0000002d 18022310 _xmitted...-..#. 40100 08706369 5f726561 705f7265 63760000 .pci_reap_recv.. 40110 002d1802 23140870 63695f67 65745f70 .-..#..pci_get_p 40120 69706500 00002d25 02231808 7063695f ipe...-%.#..pci_ 40130 6765745f 74785f65 6e670000 002d9c02 get_tx_eng...-.. 40140 231c0870 63695f67 65745f72 785f656e #..pci_get_rx_en 40150 67000000 2e390223 20000767 6d61635f g....9.# ..gmac_ 40160 61706900 0400002f 3808676d 61635f62 api..../8.gmac_b 40170 6f6f745f 696e6974 00000001 09022300 oot_init......#. 40180 000f0000 031d0600 002f4510 0500075f ........./E...._ 40190 5f657468 68647200 0e00002f 7b086473 _ethhdr..../{.ds 401a0 74000000 2f380223 00087372 63000000 t.../8.#..src... 401b0 2f380223 06086574 79706500 000012ea /8.#..etype..... 401c0 02230c00 075f5f61 74686864 72000400 .#...__athhdr... 401d0 002fc915 72657300 000012d6 01000202 ./..res......... 401e0 23001570 726f746f 00000012 d6010206 #..proto........ 401f0 02230008 7265735f 6c6f0000 0012d602 .#..res_lo...... 40200 23010872 65735f68 69000000 12ea0223 #..res_hi......# 40210 0200075f 5f676d61 635f6864 72001400 ...__gmac_hdr... 40220 00300508 65746800 00002f45 02230008 .0..eth.../E.#.. 40230 61746800 00002f7b 02230e08 616c6967 ath.../{.#..alig 40240 6e5f7061 64000000 12ea0223 1200095f n_pad......#..._ 40250 5f676d61 635f6864 725f7400 00002fc9 _gmac_hdr_t.../. 40260 075f5f67 6d61635f 736f6674 63002400 .__gmac_softc.$. 40270 00304f08 68647200 00003005 02230008 .0O.hdr...0..#.. 40280 6772616e 00000012 ea022314 08737700 gran......#..sw. 40290 000028e8 02231800 075f415f 6f735f6c ..(..#..._A_os_l 402a0 696e6b61 67655f63 6865636b 00080000 inkage_check.... 402b0 30880876 65727369 6f6e0000 00011002 0..version...... 402c0 23000874 61626c65 00000001 10022304 #..table......#. 402d0 00030000 304f0400 06000001 10010300 ....0O.......... 402e0 00308f04 00030000 04080400 175f415f .0..........._A_ 402f0 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 40300 6e5f7461 626c6500 01b80000 31df0868 n_table.....1..h 40310 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check 40320 00000030 95022300 08737461 72745f62 ...0..#..start_b 40330 73730000 00309c02 23040861 70705f73 ss...0..#..app_s 40340 74617274 00000001 09022308 086d656d tart......#..mem 40350 00000004 4802230c 086d6973 63000000 ....H.#..misc... 40360 05670223 20087072 696e7466 00000001 .g.# .printf.... 40370 3d022344 08756172 74000000 02060223 =.#D.uart......# 40380 4c08676d 61630000 002f1102 236c0875 L.gmac.../..#l.u 40390 73620000 000f9602 23700863 6c6f636b sb......#p.clock 403a0 0000000a df0323e0 01087469 6d657200 ......#...timer. 403b0 0000077f 03238402 08696e74 72000000 .....#...intr... 403c0 0c2f0323 98020861 6c6c6f63 72616d00 ./.#...allocram. 403d0 00000937 0323c402 08726f6d 70000000 ...7.#...romp... 403e0 08290323 d0020877 64745f74 696d6572 .).#...wdt_timer 403f0 0000000e 0c0323e0 02086565 70000000 ......#...eep... 40400 0f3a0323 fc020873 7472696e 67000000 .:.#...string... 40410 068b0323 8c030874 61736b6c 65740000 ...#...tasklet.. 40420 000a3403 23a40300 075f5553 425f4649 ..4.#...._USB_FI 40430 464f5f43 4f4e4649 47001000 00325208 FO_CONFIG....2R. 40440 6765745f 636f6d6d 616e645f 62756600 get_command_buf. 40450 00001442 02230008 72656376 5f636f6d ...B.#..recv_com 40460 6d616e64 00000014 58022304 08676574 mand....X.#..get 40470 5f657665 6e745f62 75660000 00144202 _event_buf....B. 40480 23080873 656e645f 6576656e 745f646f #..send_event_do 40490 6e650000 00145802 230c0009 5553425f ne....X.#...USB_ 404a0 4649464f 5f434f4e 46494700 000031df FIFO_CONFIG...1. 404b0 03000032 52040002 01030000 326e0400 ...2R.......2n.. 404c0 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... 404d0 32c4085f 696e6974 00000032 70022300 2.._init...2p.#. 404e0 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i 404f0 73720000 00010902 23040870 52657365 sr......#..pRese 40500 72766564 00000004 05022308 000f0000 rved......#..... 40510 167c0200 0032d110 0100075f 4854435f .|...2....._HTC_ 40520 4652414d 455f4844 52000800 00334308 FRAME_HDR....3C. 40530 456e6470 6f696e74 49440000 00167c02 EndpointID....|. 40540 23000846 6c616773 00000016 7c022301 #..Flags....|.#. 40550 08506179 6c6f6164 4c656e00 00001ca4 .PayloadLen..... 40560 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes 40570 00000032 c4022304 08486f73 74536571 ...2..#..HostSeq 40580 4e756d00 00001ca4 02230600 12020000 Num......#...... 40590 335c084d 65737361 67654944 0000001c 3\.MessageID.... 405a0 a4022300 00120800 0033bf08 4d657373 ..#......3..Mess 405b0 61676549 44000000 1ca40223 00084372 ageID......#..Cr 405c0 65646974 436f756e 74000000 1ca40223 editCount......# 405d0 02084372 65646974 53697a65 0000001c ..CreditSize.... 405e0 a4022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint 405f0 73000000 167c0223 06085f50 61643100 s....|.#.._Pad1. 40600 0000167c 02230700 120a0000 3456084d ...|.#......4V.M 40610 65737361 67654944 0000001c a4022300 essageID......#. 40620 08536572 76696365 49440000 001ca402 .ServiceID...... 40630 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla 40640 67730000 001ca402 23040844 6f776e4c gs......#..DownL 40650 696e6b50 69706549 44000000 167c0223 inkPipeID....|.# 40660 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. 40670 00167c02 23070853 65727669 63654d65 ..|.#..ServiceMe 40680 74614c65 6e677468 00000016 7c022308 taLength....|.#. 40690 085f5061 64310000 00167c02 23090012 ._Pad1....|.#... 406a0 0a000034 de084d65 73736167 65494400 ...4..MessageID. 406b0 00001ca4 02230008 53657276 69636549 .....#..ServiceI 406c0 44000000 1ca40223 02085374 61747573 D......#..Status 406d0 00000016 7c022304 08456e64 706f696e ....|.#..Endpoin 406e0 74494400 0000167c 02230508 4d61784d tID....|.#..MaxM 406f0 73675369 7a650000 001ca402 23060853 sgSize......#..S 40700 65727669 63654d65 74614c65 6e677468 erviceMetaLength 40710 00000016 7c022308 085f5061 64310000 ....|.#.._Pad1.. 40720 00167c02 23090012 02000034 f7084d65 ..|.#......4..Me 40730 73736167 65494400 00001ca4 02230000 ssageID......#.. 40740 12040000 3533084d 65737361 67654944 ....53.MessageID 40750 0000001c a4022300 08506970 65494400 ......#..PipeID. 40760 0000167c 02230208 43726564 6974436f ...|.#..CreditCo 40770 756e7400 0000167c 02230300 12040000 unt....|.#...... 40780 356a084d 65737361 67654944 0000001c 5j.MessageID.... 40790 a4022300 08506970 65494400 0000167c ..#..PipeID....| 407a0 02230208 53746174 75730000 00167c02 .#..Status....|. 407b0 23030012 02000035 91085265 636f7264 #......5..Record 407c0 49440000 00167c02 2300084c 656e6774 ID....|.#..Lengt 407d0 68000000 167c0223 01001202 000035bb h....|.#......5. 407e0 08456e64 706f696e 74494400 0000167c .EndpointID....| 407f0 02230008 43726564 69747300 0000167c .#..Credits....| 40800 02230100 12040000 35fc0845 6e64706f .#......5..Endpo 40810 696e7449 44000000 167c0223 00084372 intID....|.#..Cr 40820 65646974 73000000 167c0223 01085467 edits....|.#..Tg 40830 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... 40840 a4022302 000f0000 167c0400 00360910 ..#......|...6.. 40850 03001206 00003645 08507265 56616c69 ......6E.PreVali 40860 64000000 167c0223 00084c6f 6f6b4168 d....|.#..LookAh 40870 65616400 000035fc 02230108 506f7374 ead...5..#..Post 40880 56616c69 64000000 167c0223 05000970 Valid....|.#...p 40890 6f6f6c5f 68616e64 6c655f74 00000004 ool_handle_t.... 408a0 05060000 36450103 00003658 04000201 ....6E....6X.... 408b0 03000036 65040014 04000036 e30e504f ...6e......6..PO 408c0 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO 408d0 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ 408e0 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... 408f0 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ 40900 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ 40910 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO 40920 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF 40930 5f504f4f 4c5f4944 00000036 6e020103 _POOL_ID...6n... 40940 000036f4 04000600 00264101 03000036 ..6......&A....6 40950 fd040006 00002641 01030000 370a0400 ......&A....7... 40960 02010300 00371704 00076275 665f706f .....7....buf_po 40970 6f6c5f61 7069001c 000037b9 085f696e ol_api....7.._in 40980 69740000 00365e02 2300085f 73687574 it...6^.#.._shut 40990 646f776e 00000036 67022304 085f6372 down...6g.#.._cr 409a0 65617465 5f706f6f 6c000000 36f60223 eate_pool...6..# 409b0 08085f61 6c6c6f63 5f627566 00000037 .._alloc_buf...7 409c0 0302230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ 409d0 616c6967 6e000000 37100223 10085f66 align...7..#.._f 409e0 7265655f 62756600 00003719 02231408 ree_buf...7..#.. 409f0 70526573 65727665 64000000 04050223 pReserved......# 40a00 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. 40a10 1c000038 9808704e 65787400 00003898 ...8..pNext...8. 40a20 02230008 50726f63 65737352 6563764d .#..ProcessRecvM 40a30 73670000 00394d02 23040850 726f6365 sg...9M.#..Proce 40a40 73735365 6e644275 66666572 436f6d70 ssSendBufferComp 40a50 6c657465 00000039 56022308 0850726f lete...9V.#..Pro 40a60 63657373 436f6e6e 65637400 0000396a cessConnect...9j 40a70 02230c08 53657276 69636549 44000000 .#..ServiceID... 40a80 12ea0223 10085365 72766963 65466c61 ...#..ServiceFla 40a90 67730000 0012ea02 2312084d 61785376 gs......#..MaxSv 40aa0 634d7367 53697a65 00000012 ea022314 cMsgSize......#. 40ab0 08547261 696c6572 53706343 6865636b .TrailerSpcCheck 40ac0 4c696d69 74000000 12ea0223 16085365 Limit......#..Se 40ad0 72766963 65437478 00000004 05022318 rviceCtx......#. 40ae0 00030000 37b90400 14040000 39361945 ....7.......96.E 40af0 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. 40b00 ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... 40b10 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP 40b20 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT 40b30 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... 40b40 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP 40b50 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT 40b60 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... 40b70 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... 40b80 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. 40b90 0000389f 02010300 00394b04 00020103 ..8......9K..... 40ba0 00003954 04000300 00011004 00060000 ..9T............ 40bb0 12d60103 00003964 04000300 0037b904 ......9d.....7.. 40bc0 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... 40bd0 0039e908 43726564 69745369 7a650000 .9..CreditSize.. 40be0 00011002 23000843 72656469 744e756d ....#..CreditNum 40bf0 62657200 00000110 02230408 4f534861 ber......#..OSHa 40c00 6e646c65 0000001a 40022308 08484946 ndle....@.#..HIF 40c10 48616e64 6c650000 0028a902 230c0850 Handle...(..#..P 40c20 6f6f6c48 616e646c 65000000 36450223 oolHandle...6E.# 40c30 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT 40c40 45585400 0200003a 2508656e 645f706f EXT....:%.end_po 40c50 696e7400 000012d6 02230008 6874635f int......#..htc_ 40c60 666c6167 73000000 12d60223 01000968 flags......#...h 40c70 74635f68 616e646c 655f7400 00000405 tc_handle_t..... 40c80 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL 40c90 4554455f 43420000 00010909 4854435f ETE_CB......HTC_ 40ca0 434f4e46 49470000 00397803 00003a52 CONFIG...9x...:R 40cb0 04000600 003a2501 0300003a 69040002 .....:%....:i... 40cc0 01030000 3a760400 09485443 5f534552 ....:v...HTC_SER 40cd0 56494345 00000037 b9030000 3a7f0400 VICE...7....:... 40ce0 02010300 003a9704 00020103 00003aa0 .....:........:. 40cf0 04000201 0300003a a9040006 00000110 .......:........ 40d00 01030000 3ab20400 07687463 5f617069 ....:....htc_api 40d10 73003400 003c2f08 5f485443 5f496e69 s.4.... 41020 7475706c 654e756d 4c000000 1ca40223 tupleNumL......# 41030 00087475 706c654e 756d4800 00001ca4 ..tupleNumH..... 41040 02230208 61767400 00003dc3 02230400 .#..avt...=..#.. 41050 12010000 3e290862 6561636f 6e50656e ....>).beaconPen 41060 64696e67 436f756e 74000000 167c0223 dingCount....|.# 41070 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF 41080 49470010 00003e92 08487463 48616e64 IG....>..HtcHand 41090 6c650000 003a2502 23000850 6f6f6c48 le...:%.#..PoolH 410a0 616e646c 65000000 36450223 04084d61 andle...6E.#..Ma 410b0 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... 410c0 01100223 08084d61 78457665 6e744576 ...#..MaxEventEv 410d0 74730000 00011002 230c0002 01030000 ts......#....... 410e0 3e920400 09574d49 5f434d44 5f48414e >....WMI_CMD_HAN 410f0 444c4552 0000003e 94075f57 4d495f44 DLER...>.._WMI_D 41100 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... 41110 003efb08 70436d64 48616e64 6c657200 .>..pCmdHandler. 41120 00003e9b 02230008 436d6449 44000000 ..>..#..CmdID... 41130 12ea0223 0408466c 61677300 000012ea ...#..Flags..... 41140 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT 41150 43485f54 41424c45 00100000 3f5c0870 CH_TABLE....?\.p 41160 4e657874 0000003f 5c022300 0870436f Next...?\.#..pCo 41170 6e746578 74000000 04050223 04084e75 ntext......#..Nu 41180 6d626572 4f66456e 74726965 73000000 mberOfEntries... 41190 01100223 08087054 61626c65 0000003f ...#..pTable...? 411a0 7b02230c 00030000 3efb0400 09574d49 {.#.....>....WMI 411b0 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. 411c0 00003eb0 0300003f 63040003 00003efb ..>....?c.....>. 411d0 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE 411e0 58540000 0039e90d 574d495f 4556545f XT...9..WMI_EVT_ 411f0 434c4153 53000400 00401319 574d495f CLASS....@..WMI_ 41200 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. 41210 ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS 41220 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM 41230 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ 41240 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ 41250 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI 41260 5f455654 5f434c41 53530000 003f9e07 _EVT_CLASS...?.. 41270 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT 41280 000c0000 40710848 74634275 66437478 ....@q.HtcBufCtx 41290 0000003f 89022300 08457665 6e74436c ...?..#..EventCl 412a0 61737300 00004013 02230408 466c6167 ass...@..#..Flag 412b0 73000000 12ea0223 08000977 6d695f68 s......#...wmi_h 412c0 616e646c 655f7400 00000405 09574d49 andle_t......WMI 412d0 5f535643 5f434f4e 46494700 00003e29 _SVC_CONFIG...>) 412e0 03000040 83040006 00004071 01030000 ...@......@q.... 412f0 409e0400 09574d49 5f444953 50415443 @....WMI_DISPATC 41300 485f5441 424c4500 00003efb 03000040 H_TABLE...>....@ 41310 ab040002 01030000 40ca0400 06000026 ........@......& 41320 41010300 0040d304 00020103 000040e0 A....@........@. 41330 04000600 00011001 03000040 e9040002 ...........@.... 41340 01030000 40f60400 06000012 d6010300 ....@........... 41350 0040ff04 00075f77 6d695f73 76635f61 .@...._wmi_svc_a 41360 70697300 2c000042 47085f57 4d495f49 pis.,..BG._WMI_I 41370 6e697400 000040a4 02230008 5f574d49 nit...@..#.._WMI 41380 5f526567 69737465 72446973 70617463 _RegisterDispatc 41390 68546162 6c650000 0040cc02 2304085f hTable...@..#.._ 413a0 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. 413b0 0040d902 2308085f 574d495f 53656e64 .@..#.._WMI_Send 413c0 4576656e 74000000 40e20223 0c085f57 Event...@..#.._W 413d0 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve 413e0 6e747343 6f756e74 00000040 ef022310 ntsCount...@..#. 413f0 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple 41400 74654861 6e646c65 72000000 39560223 teHandler...9V.# 41410 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro 41420 6c457000 000040ef 02231808 5f574d49 lEp...@..#.._WMI 41430 5f536875 74646f77 6e000000 40f80223 _Shutdown...@..# 41440 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa 41450 67654861 6e646c65 72000000 394d0223 geHandler...9M.# 41460 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo 41470 6e6e6563 74000000 41050223 24087052 nnect...A..#$.pR 41480 65736572 76656400 00000405 02232800 eserved......#(. 41490 077a7344 6d614465 73630014 000042c9 .zsDmaDesc....B. 414a0 08637472 6c000000 01740223 00087374 .ctrl....t.#..st 414b0 61747573 00000001 74022302 08746f74 atus....t.#..tot 414c0 616c4c65 6e000000 01740223 04086461 alLen....t.#..da 414d0 74615369 7a650000 00017402 2306086c taSize....t.#..l 414e0 61737441 64647200 000042c9 02230808 astAddr...B..#.. 414f0 64617461 41646472 00000001 9802230c dataAddr......#. 41500 086e6578 74416464 72000000 42c90223 .nextAddr...B..# 41510 10000300 00424704 00030000 42470400 .....BG.....BG.. 41520 077a7344 6d615175 65756500 08000043 .zsDmaQueue....C 41530 09086865 61640000 0042d002 23000874 ..head...B..#..t 41540 65726d69 6e61746f 72000000 42d00223 erminator...B..# 41550 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. 41560 10000043 6d086865 61640000 0042d002 ...Cm.head...B.. 41570 23000874 65726d69 6e61746f 72000000 #..terminator... 41580 42d00223 0408786d 69746564 5f627566 B..#..xmited_buf 41590 5f686561 64000000 14350223 0808786d _head....5.#..xm 415a0 69746564 5f627566 5f746169 6c000000 ited_buf_tail... 415b0 14350223 0c000201 03000043 6d040003 .5.#.......Cm... 415c0 000042d7 04000201 03000043 7d040003 ..B........C}... 415d0 00004309 04000201 03000043 8d040002 ..C........C.... 415e0 01030000 43960400 02010300 00439f04 ....C........C.. 415f0 00060000 14350103 000043a8 04000201 .....5....C..... 41600 03000043 b5040006 00001435 01030000 ...C.......5.... 41610 43be0400 02010300 0043cb04 00060000 C........C...... 41620 01100103 000043d4 04000600 0042d001 ......C......B.. 41630 03000043 e1040002 01030000 43ee0400 ...C........C... 41640 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. 41650 40000045 64085f69 6e697400 0000436f @..Ed._init...Co 41660 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que 41670 75650000 00437f02 2304085f 696e6974 ue...C..#.._init 41680 5f74785f 71756575 65000000 438f0223 _tx_queue...C..# 41690 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que 416a0 75650000 00439802 230c085f 786d6974 ue...C..#.._xmit 416b0 5f627566 00000043 a1022310 085f666c _buf...C..#.._fl 416c0 7573685f 786d6974 00000043 7f022314 ush_xmit...C..#. 416d0 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. 416e0 000043ae 02231808 5f726574 75726e5f ..C..#.._return_ 416f0 72656376 5f627566 00000043 b702231c recv_buf...C..#. 41700 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu 41710 66000000 43c40223 20085f73 7761705f f...C..# ._swap_ 41720 64617461 00000043 cd022324 085f6861 data...C..#$._ha 41730 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. 41740 000043da 02232808 5f646573 635f6475 ..C..#(._desc_du 41750 6d700000 00437f02 232c085f 6765745f mp...C..#,._get_ 41760 7061636b 65740000 0043e702 2330085f packet...C..#0._ 41770 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. 41780 0043f002 2334085f 7075745f 7061636b .C..#4._put_pack 41790 65740000 0043f002 23380870 52657365 et...C..#8.pRese 417a0 72766564 00000004 0502233c 00095f41 rved......#<.._A 417b0 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 417c0 6f6e5f74 61626c65 5f740000 0030a309 on_table_t...0.. 417d0 574d495f 5356435f 41504953 00000041 WMI_SVC_APIS...A 417e0 0c175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 417f0 72656374 696f6e5f 7461626c 6500034c rection_table..L 41800 00004692 08636d6e 6f730000 00456402 ..F..cmnos...Ed. 41810 23000864 62670000 0003d203 23b80308 #..dbg......#... 41820 68696600 0000294c 0323c003 08687463 hif...)L.#...htc 41830 0000003a bf0323f8 0308776d 695f7376 ...:..#...wmi_sv 41840 635f6170 69000000 45860323 ac040875 c_api...E..#...u 41850 73626669 666f5f61 70690000 00327703 sbfifo_api...2w. 41860 23d80408 6275665f 706f6f6c 00000037 #...buf_pool...7 41870 200323e4 04087662 75660000 00145f03 .#...vbuf...._. 41880 23800508 76646573 63000000 13410323 #...vdesc....A.# 41890 94050861 6c6c6f63 72616d00 00000937 ...allocram....7 418a0 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. 418b0 000043f7 0323b405 08646d61 5f6c6962 ..C..#...dma_lib 418c0 0000002b e00323f4 05086869 665f7063 ...+..#...hif_pc 418d0 69000000 2e400323 a8060009 5f415f6d i....@.#...._A_m 418e0 61677069 655f696e 64697265 6374696f agpie_indirectio 418f0 6e5f7461 626c655f 74000000 45980300 n_table_t...E... 41900 0001ad04 001a7561 72745f63 746c5f62 ......uart_ctl_b 41910 6c6b0000 0002c605 0300500a 44060000 lk........P.D... 41920 01ad0102 01030000 46d40400 1b01195f ........F......_ 41930 75617274 5f696e69 74000000 01ad0101 uart_init....... 41940 03920120 02900000 8e2df800 8e2e3600 ... .....-....6. 41950 0047151c 5f6c6372 00000001 ad001d01 .G.._lcr........ 41960 405f7561 72745f63 6861725f 7075745f @_uart_char_put_ 41970 6e6f7468 696e6700 01010392 01200290 nothing...... .. 41980 00008e2e 38008e2e 3d000047 511e0140 ....8...=..GQ..@ 41990 63680000 00032e01 52001b01 4a5f7561 ch......R...J_ua 419a0 72745f63 6861725f 6765745f 6e6f7468 rt_char_get_noth 419b0 696e6700 0000018a 01010392 01200290 ing.......... .. 419c0 00008e2e 40008e2e 47000047 911e014a ....@...G..G...J 419d0 63680000 00034401 52001d01 545f7561 ch....D.R...T_ua 419e0 72745f63 6861725f 70757400 01010392 rt_char_put..... 419f0 01200290 00008e2e 48008e2e 74000047 . ......H...t..G 41a00 d51e0154 63680000 00032e01 521c6900 ...Tch......R.i. 41a10 00000110 1c6c7372 00000001 ad001d01 .....lsr........ 41a20 8e5f7561 72745f63 6861725f 7075745f ._uart_char_put_ 41a30 6e6f7761 69740001 01039201 20029000 nowait...... ... 41a40 008e2e74 008e2ea0 00004820 1e018e63 ...t......H ...c 41a50 68000000 032e0152 1c690000 0001101c h......R.i...... 41a60 6c737200 000001ad 001b01b3 5f756172 lsr........._uar 41a70 745f6368 61725f67 65740000 00018a01 t_char_get...... 41a80 01039201 20029000 008e2ea0 008e2ec0 .... ........... 41a90 00004858 1e01b363 68000000 03440152 ..HX...ch....D.R 41aa0 001f01c7 5f756172 745f7461 736b0001 ...._uart_task.. 41ab0 01039201 20029000 008e2ec0 008e2ec5 .... ........... 41ac0 2001e55f 75617274 5f737461 74757300 .._uart_status. 41ad0 000001ad 01039201 20029000 008e2ec8 ........ ....... 41ae0 008e2ed2 1d01ee5f 75617274 5f737472 ......._uart_str 41af0 5f6f7574 00010103 92012002 9000008e _out...... ..... 41b00 2ed4008e 2ef10000 48d61e01 ee737472 ........H....str 41b10 00000003 4401521c 69000000 01ad0021 ....D.R.i......! 41b20 0101005f 75617274 5f636f6e 66696700 ..._uart_config. 41b30 01010392 01200290 00008e2e f4008e2f ..... ........./ 41b40 1b000049 0c220101 00666c61 67000000 ...I."...flag... 41b50 018a0152 00230101 135f7561 72745f68 ...R.#..._uart_h 41b60 77696e69 74000103 92012002 9000008e winit..... ..... 41b70 2f1c008e 2f5c0000 496c2201 01136672 /.../\..Il"...fr 41b80 65710000 0001ad01 52220101 13626175 eq......R"...bau 41b90 64000000 01ad0153 1c626175 645f6469 d......S.baud_di 41ba0 7669736f 72000000 01ad1c5f 6c637200 visor......_lcr. 41bb0 000001ad 00240101 28636d6e 6f735f75 .....$..(cmnos_u 41bc0 6172745f 6d6f6475 6c655f69 6e737461 art_module_insta 41bd0 6c6c0001 01039201 20029000 008e2f5c ll...... ...../\ 41be0 008e2f93 22010128 61706973 00000002 ../."..(apis.... 41bf0 bf015200 00000000 4de80002 000017d9 ..R.....M....... 41c00 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac 41c10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 41c20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 41c30 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. 41c40 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build 41c50 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 41c60 6869662f 7063692f 2f686966 5f706369 hif/pci//hif_pci 41c70 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa 41c80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 41c90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 41ca0 312f726f 6d2f6869 662f7063 69007874 1/rom/hif/pci.xt 41cb0 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - 41cc0 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru 41cd0 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g 41ce0 33202d4f 50543a73 70616365 00010000 3 -OPT:space.... 41cf0 00e2c702 5f564445 53430024 00000189 ...._VDESC.$.... 41d00 036e6578 745f6465 73630000 00018902 .next_desc...... 41d10 23000362 75665f61 64647200 000001ae #..buf_addr..... 41d20 02230403 6275665f 73697a65 00000001 .#..buf_size.... 41d30 cb022308 03646174 615f6f66 66736574 ..#..data_offset 41d40 00000001 cb02230a 03646174 615f7369 ......#..data_si 41d50 7a650000 0001cb02 230c0363 6f6e7472 ze......#..contr 41d60 6f6c0000 0001cb02 230e0368 775f6465 ol......#..hw_de 41d70 73635f62 75660000 0001d902 23100004 sc_buf......#... 41d80 000000fd 04000575 6e736967 6e656420 .......unsigned 41d90 63686172 00070106 415f5549 4e543800 char....A_UINT8. 41da0 00000190 04000001 a1040005 73686f72 ............shor 41db0 7420756e 7369676e 65642069 6e740007 t unsigned int.. 41dc0 0206415f 55494e54 31360000 0001b507 ..A_UINT16...... 41dd0 000001a1 14000001 e6081300 04000000 ................ 41de0 fd040005 696e7400 05040901 04000001 ....int......... 41df0 f4040006 56444553 43000000 00fd0400 ....VDESC....... 41e00 0001fd04 000a0000 02080104 0000020f ................ 41e10 04000a00 0001ae01 04000002 1c040009 ................ 41e20 01040000 02290400 0b040002 76646573 .....)......vdes 41e30 635f6170 69001400 0002a403 5f696e69 c_api......._ini 41e40 74000000 01f60223 00035f61 6c6c6f63 t......#.._alloc 41e50 5f766465 73630000 00021502 2304035f _vdesc......#.._ 41e60 6765745f 68775f64 65736300 00000222 get_hw_desc...." 41e70 02230803 5f737761 705f7664 65736300 .#.._swap_vdesc. 41e80 0000022b 02230c03 70526573 65727665 ...+.#..pReserve 41e90 64000000 02320223 1000025f 56425546 d....2.#..._VBUF 41ea0 00200000 03040364 6573635f 6c697374 . .....desc_list 41eb0 00000002 08022300 036e6578 745f6275 ......#..next_bu 41ec0 66000000 03040223 04036275 665f6c65 f......#..buf_le 41ed0 6e677468 00000001 cb022308 03726573 ngth......#..res 41ee0 65727665 64000000 030b0223 0a036374 erved......#..ct 41ef0 78000000 01d90223 0c000400 0002a404 x......#........ 41f00 00070000 01a10200 00031808 01000400 ................ 41f10 0002a404 00065642 55460000 0002a404 ......VBUF...... 41f20 0000031f 04000a00 00032901 04000003 ..........)..... 41f30 3004000a 00000329 01040000 033d0400 0......).....=.. 41f40 09010400 00034a04 00027662 75665f61 ......J...vbuf_a 41f50 70690014 000003c8 035f696e 69740000 pi......._init.. 41f60 0001f602 2300035f 616c6c6f 635f7662 ....#.._alloc_vb 41f70 75660000 00033602 2304035f 616c6c6f uf....6.#.._allo 41f80 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size 41f90 00000003 43022308 035f6672 65655f76 ....C.#.._free_v 41fa0 62756600 0000034c 02230c03 70526573 buf....L.#..pRes 41fb0 65727665 64000000 02320223 1000025f erved....2.#..._ 41fc0 5f616466 5f646576 69636500 04000003 _adf_device..... 41fd0 ea036475 6d6d7900 000001ed 02230000 ..dummy......#.. 41fe0 05756e73 69676e65 6420696e 74000704 .unsigned int... 41ff0 06415f55 494e5433 32000000 03ea0400 .A_UINT32....... 42000 0003fa04 00025f5f 6164665f 646d615f ......__adf_dma_ 42010 6d617000 0c000004 4f036275 66000000 map.....O.buf... 42020 03290223 00036473 5f616464 72000000 .).#..ds_addr... 42030 04080223 04036473 5f6c656e 00000001 ...#..ds_len.... 42040 cb022308 00056368 61720007 01040000 ..#...char...... 42050 044f0400 0c0c0000 0498035f 5f76615f .O.........__va_ 42060 73746b00 00000457 02230003 5f5f7661 stk....W.#..__va 42070 5f726567 00000004 57022304 035f5f76 _reg....W.#..__v 42080 615f6e64 78000000 01ed0223 0800065f a_ndx......#..._ 42090 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr 420a0 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d 420b0 6d615f61 6464725f 74000000 0498065f ma_addr_t......_ 420c0 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size 420d0 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d 420e0 6d615f73 697a655f 74000000 04c8025f ma_size_t......_ 420f0 5f646d61 5f736567 73000800 00052403 _dma_segs.....$. 42100 70616464 72000000 04b10223 00036c65 paddr......#..le 42110 6e000000 04e10223 0400065f 5f615f75 n......#...__a_u 42120 696e7433 325f7400 000003fa 06615f75 int32_t......a_u 42130 696e7433 325f7400 00000524 07000004 int32_t....$.... 42140 f8080000 05530800 00026164 665f6f73 .....S....adf_os 42150 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... 42160 058c036e 73656773 00000005 36022300 ...nsegs....6.#. 42170 03646d61 5f736567 73000000 05460223 .dma_segs....F.# 42180 0400065f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. 42190 0001a106 615f7569 6e74385f 74000000 ....a_uint8_t... 421a0 058c0400 00059d04 00025f5f 73675f73 ..........__sg_s 421b0 65677300 08000005 de037661 64647200 egs.......vaddr. 421c0 000005ac 02230003 6c656e00 00000536 .....#..len....6 421d0 02230400 07000005 b3200000 05eb0803 .#....... ...... 421e0 00026164 665f6f73 5f73676c 69737400 ..adf_os_sglist. 421f0 24000006 1e036e73 65677300 00000536 $.....nsegs....6 42200 02230003 73675f73 65677300 000005de .#..sg_segs..... 42210 02230400 0c100000 06670376 656e646f .#.......g.vendo 42220 72000000 05360223 00036465 76696365 r....6.#..device 42230 00000005 36022304 03737562 76656e64 ....6.#..subvend 42240 6f720000 00053602 23080373 75626465 or....6.#..subde 42250 76696365 00000005 3602230c 00056c6f vice....6.#...lo 42260 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned 42270 20696e74 00070806 415f5549 4e543634 int....A_UINT64 42280 00000006 67065f5f 615f7569 6e743634 ....g.__a_uint64 42290 5f740000 00068106 615f7569 6e743634 _t......a_uint64 422a0 5f740000 00068f0d 04000006 ed0e4144 _t............AD 422b0 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY 422c0 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ 422d0 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO 422e0 00010006 6164665f 6f735f72 65736f75 ....adf_os_resou 422f0 7263655f 74797065 5f740000 0006b10c rce_type_t...... 42300 18000007 37037374 61727400 000006a1 ....7.start..... 42310 02230003 656e6400 000006a1 02230803 .#..end......#.. 42320 74797065 00000006 ed022310 00066164 type......#...ad 42330 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ 42340 74000000 061e0400 00073704 000f0400 t.........7..... 42350 00077603 70636900 00000750 02230003 ..v.pci....P.#.. 42360 72617700 00000232 02230000 0f100000 raw....2.#...... 42370 07950370 63690000 00073702 23000372 ...pci....7.#..r 42380 61770000 00023202 23000006 6164665f aw....2.#...adf_ 42390 6472765f 68616e64 6c655f74 00000002 drv_handle_t.... 423a0 32066164 665f6f73 5f726573 6f757263 2.adf_os_resourc 423b0 655f7400 00000709 04000007 ab040006 e_t............. 423c0 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da 423d0 74615f74 00000007 76040000 07c90400 ta_t....v....... 423e0 04000003 c8040006 5f5f6164 665f6f73 ........__adf_os 423f0 5f646576 6963655f 74000000 07ea0661 _device_t......a 42400 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. 42410 0007f10a 00000795 01040000 081d0400 ................ 42420 09010400 00082a04 00066164 665f6f73 ......*...adf_os 42430 5f706d5f 74000000 02320901 04000008 _pm_t....2...... 42440 4404000d 04000008 840e4144 465f4f53 D.........ADF_OS 42450 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... 42460 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 42470 47454e45 52494300 02000661 64665f6f GENERIC....adf_o 42480 735f6275 735f7479 70655f74 00000008 s_bus_type_t.... 42490 4d066164 665f6f73 5f627573 5f726567 M.adf_os_bus_reg 424a0 5f646174 615f7400 00000757 04000001 _data_t....W.... 424b0 90040002 5f616466 5f647276 5f696e66 ...._adf_drv_inf 424c0 6f002000 00096103 6472765f 61747461 o. ...a.drv_atta 424d0 63680000 00082302 23000364 72765f64 ch....#.#..drv_d 424e0 65746163 68000000 082c0223 04036472 etach....,.#..dr 424f0 765f7375 7370656e 64000000 08460223 v_suspend....F.# 42500 08036472 765f7265 73756d65 00000008 ..drv_resume.... 42510 2c02230c 03627573 5f747970 65000000 ,.#..bus_type... 42520 08840223 10036275 735f6461 74610000 ...#..bus_data.. 42530 00089b02 2314036d 6f645f6e 616d6500 ....#..mod_name. 42540 000008b6 02231803 69666e61 6d650000 .....#..ifname.. 42550 0008b602 231c0006 6164665f 6f735f68 ....#...adf_os_h 42560 616e646c 655f7400 00000232 04000005 andle_t....2.... 42570 8c040009 01090106 5f5f6164 665f6f73 ........__adf_os 42580 5f73697a 655f7400 000003ea 0d040000 _size_t......... 42590 09b00e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T 425a0 52554500 01000661 5f626f6f 6c5f7400 RUE....a_bool_t. 425b0 00000996 04000004 0f040006 5f5f6164 ............__ad 425c0 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 425d0 0009be09 01106164 665f6f73 5f636163 ......adf_os_cac 425e0 68655f73 796e6300 0400000a 480e4144 he_sync.....H.AD 425f0 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. 42600 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI 42610 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO 42620 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN 42630 435f504f 53545752 49544500 03000661 C_POSTWRITE....a 42640 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 42650 5f740000 0009df09 01066164 665f6f73 _t........adf_os 42660 5f73697a 655f7400 00000981 0a00000a _size_t......... 42670 63010661 64665f6f 735f646d 615f6d61 c..adf_os_dma_ma 42680 705f7400 000009c5 0400000a 7c04000a p_t.........|... 42690 00000232 01040000 09c50400 0a000002 ...2............ 426a0 32010901 0a000004 b1010901 0573686f 2............sho 426b0 72742069 6e740005 0206415f 494e5431 rt int....A_INT1 426c0 36000000 0ab6065f 5f615f69 6e743136 6......__a_int16 426d0 5f740000 000ac306 615f696e 7431365f _t......a_int16_ 426e0 74000000 0ad00573 69676e65 64206368 t......signed ch 426f0 61720005 0106415f 494e5438 0000000a ar....A_INT8.... 42700 f0065f5f 615f696e 74385f74 0000000a ..__a_int8_t.... 42710 ff06615f 696e7438 5f740000 000b0b0c ..a_int8_t...... 42720 0c00000b 82037375 70706f72 74656400 ......supported. 42730 00000536 02230003 61647665 7274697a ...6.#..advertiz 42740 65640000 00053602 23040373 70656564 ed....6.#..speed 42750 0000000a e1022308 03647570 6c657800 ......#..duplex. 42760 00000b1b 02230a03 6175746f 6e656700 .....#..autoneg. 42770 0000059d 02230b00 07000005 9d060000 .....#.......... 42780 0b8f0805 00026164 665f6e65 745f6574 ......adf_net_et 42790 68616464 72000600 000bb303 61646472 haddr.......addr 427a0 0000000b 82022300 00065f5f 615f7569 ......#...__a_ui 427b0 6e743136 5f740000 0001cb06 615f7569 nt16_t......a_ui 427c0 6e743136 5f740000 000bb30c 0e00000c nt16_t.......... 427d0 17036574 6865725f 64686f73 74000000 ..ether_dhost... 427e0 0b820223 00036574 6865725f 73686f73 ...#..ether_shos 427f0 74000000 0b820223 06036574 6865725f t......#..ether_ 42800 74797065 0000000b c502230c 000c1400 type......#..... 42810 000cd811 69705f76 65727369 6f6e0000 ....ip_version.. 42820 00059d01 00040223 00116970 5f686c00 .......#..ip_hl. 42830 0000059d 01040402 23000369 705f746f ........#..ip_to 42840 73000000 059d0223 01036970 5f6c656e s......#..ip_len 42850 0000000b c5022302 0369705f 69640000 ......#..ip_id.. 42860 000bc502 23040369 705f6672 61675f6f ....#..ip_frag_o 42870 66660000 000bc502 23060369 705f7474 ff......#..ip_tt 42880 6c000000 059d0223 08036970 5f70726f l......#..ip_pro 42890 746f0000 00059d02 23090369 705f6368 to......#..ip_ch 428a0 65636b00 00000bc5 02230a03 69705f73 eck......#..ip_s 428b0 61646472 00000005 3602230c 0369705f addr....6.#..ip_ 428c0 64616464 72000000 05360223 10000261 daddr....6.#...a 428d0 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. 428e0 00000d2a 03747069 64000000 0bc50223 ...*.tpid......# 428f0 00117072 696f0000 00059d01 00030223 ..prio.........# 42900 02116366 69000000 059d0103 01022302 ..cfi.........#. 42910 11766964 0000000b c502040c 02230200 .vid.........#.. 42920 02616466 5f6e6574 5f766964 00020000 .adf_net_vid.... 42930 0d5b1172 65730000 00059d01 00040223 .[.res.........# 42940 00117661 6c000000 0bc50204 0c022300 ..val.........#. 42950 000c0c00 000d9703 72785f62 75667369 ........rx_bufsi 42960 7a650000 00053602 23000372 785f6e64 ze....6.#..rx_nd 42970 65736300 00000536 02230403 74785f6e esc....6.#..tx_n 42980 64657363 00000005 36022308 000c0800 desc....6.#..... 42990 000dbd03 706f6c6c 65640000 0009b002 ....polled...... 429a0 23000370 6f6c6c5f 77740000 00053602 #..poll_wt....6. 429b0 23040007 0000059d 4000000d ca083f00 #.......@.....?. 429c0 0c460000 0df20369 665f6e61 6d650000 .F.....if_name.. 429d0 000dbd02 23000364 65765f61 64647200 ....#..dev_addr. 429e0 00000b82 02234000 0d040000 0e290e41 .....#@......).A 429f0 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 42a00 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM 42a10 415f4d41 534b5f36 34424954 00010006 A_MASK_64BIT.... 42a20 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ 42a30 74000000 0df20261 64665f64 6d615f69 t......adf_dma_i 42a40 6e666f00 0800000e 7603646d 615f6d61 nfo.....v.dma_ma 42a50 736b0000 000e2902 23000373 675f6e73 sk....).#..sg_ns 42a60 65677300 00000536 02230400 0d040000 egs....6.#...... 42a70 0ecc0e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 42a80 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ 42a90 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 42aa0 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS 42ab0 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. 42ac0 02000661 64665f6e 65745f63 6b73756d ...adf_net_cksum 42ad0 5f747970 655f7400 00000e76 0c080000 _type_t....v.... 42ae0 0f0f0374 785f636b 73756d00 00000ecc ...tx_cksum..... 42af0 02230003 72785f63 6b73756d 0000000e .#..rx_cksum.... 42b00 cc022304 00066164 665f6e65 745f636b ..#...adf_net_ck 42b10 73756d5f 696e666f 5f740000 000ee60d sum_info_t...... 42b20 0400000f 680e4144 465f4e45 545f5453 ....h.ADF_NET_TS 42b30 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET 42b40 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ 42b50 4e45545f 54534f5f 414c4c00 02000661 NET_TSO_ALL....a 42b60 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ 42b70 74000000 0f290c10 00000fbc 03636b73 t....).......cks 42b80 756d5f63 61700000 000f0f02 23000374 um_cap......#..t 42b90 736f0000 000f6802 23080376 6c616e5f so....h.#..vlan_ 42ba0 73757070 6f727465 64000000 059d0223 supported......# 42bb0 0c000c20 00001055 0374785f 7061636b ... ...U.tx_pack 42bc0 65747300 00000536 02230003 72785f70 ets....6.#..rx_p 42bd0 61636b65 74730000 00053602 23040374 ackets....6.#..t 42be0 785f6279 74657300 00000536 02230803 x_bytes....6.#.. 42bf0 72785f62 79746573 00000005 3602230c rx_bytes....6.#. 42c00 0374785f 64726f70 70656400 00000536 .tx_dropped....6 42c10 02231003 72785f64 726f7070 65640000 .#..rx_dropped.. 42c20 00053602 23140372 785f6572 726f7273 ..6.#..rx_errors 42c30 00000005 36022318 0374785f 6572726f ....6.#..tx_erro 42c40 72730000 00053602 231c0006 6164665f rs....6.#...adf_ 42c50 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... 42c60 0b8f1200 00105503 00000010 7a087f00 ......U.....z... 42c70 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 42c80 64647200 03040000 10b1036e 656c656d ddr........nelem 42c90 00000005 36022300 036d6361 73740000 ....6.#..mcast.. 42ca0 00106c02 23040006 6164665f 6e65745f ..l.#...adf_net_ 42cb0 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. 42cc0 00000b29 06616466 5f6e6574 5f636d64 ...).adf_net_cmd 42cd0 5f706f6c 6c5f696e 666f5f74 0000000d _poll_info_t.... 42ce0 97066164 665f6e65 745f636d 645f636b ..adf_net_cmd_ck 42cf0 73756d5f 696e666f 5f740000 000f0f06 sum_info_t...... 42d00 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring 42d10 5f696e66 6f5f7400 00000d5b 06616466 _info_t....[.adf 42d20 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf 42d30 6f5f7400 00000e40 06616466 5f6e6574 o_t....@.adf_net 42d40 5f636d64 5f766964 5f740000 000bc506 _cmd_vid_t...... 42d50 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl 42d60 6f61645f 6361705f 74000000 0f800661 oad_cap_t......a 42d70 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats 42d80 5f740000 000fbc06 6164665f 6e65745f _t......adf_net_ 42d90 636d645f 6d636164 64725f74 00000010 cmd_mcaddr_t.... 42da0 7a106164 665f6e65 745f636d 645f6d63 z.adf_net_cmd_mc 42db0 6173745f 63617000 04000011 f30e4144 ast_cap.......AD 42dc0 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. 42dd0 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 42de0 4e4f5453 55500001 00066164 665f6e65 NOTSUP....adf_ne 42df0 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ 42e00 74000000 11ab1403 04000012 c5036c69 t.............li 42e10 6e6b5f69 6e666f00 000010b1 02230003 nk_info......#.. 42e20 706f6c6c 5f696e66 6f000000 10ce0223 poll_info......# 42e30 0003636b 73756d5f 696e666f 00000010 ..cksum_info.... 42e40 eb022300 0372696e 675f696e 666f0000 ..#..ring_info.. 42e50 00110902 23000364 6d615f69 6e666f00 ....#..dma_info. 42e60 00001126 02230003 76696400 00001142 ...&.#..vid....B 42e70 02230003 6f66666c 6f61645f 63617000 .#..offload_cap. 42e80 00001159 02230003 73746174 73000000 ...Y.#..stats... 42e90 11780223 00036d63 6173745f 696e666f .x.#..mcast_info 42ea0 00000011 91022300 036d6361 73745f63 ......#..mcast_c 42eb0 61700000 0011f302 2300000d 04000013 ap......#....... 42ec0 1c0e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 42ed0 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 42ee0 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. 42ef0 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 42f00 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. 42f10 02000661 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c 42f20 6b73756d 5f747970 655f7400 000012c5 ksum_type_t..... 42f30 0c080000 135c0372 6573756c 74000000 .....\.result... 42f40 131c0223 00037661 6c000000 05360223 ...#..val....6.# 42f50 04000c08 0000138c 03747970 65000000 .........type... 42f60 0f680223 00036d73 73000000 0bc50223 .h.#..mss......# 42f70 04036864 725f6f66 66000000 059d0223 ..hdr_off......# 42f80 0600025f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 42f90 65616400 0c000013 cb036865 61640000 ead.......head.. 42fa0 00032902 23000374 61696c00 00000329 ..).#..tail....) 42fb0 02230403 716c656e 00000005 36022308 .#..qlen....6.#. 42fc0 00065f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. 42fd0 00032904 000005ac 04000400 00053604 ..)...........6. 42fe0 0009010a 00000208 010a0000 0536010a .............6.. 42ff0 000005ac 010a0000 05ac0104 000001d9 ................ 43000 0400065f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 43010 6561645f 74000000 138c065f 5f616466 ead_t......__adf 43020 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 43030 140c0400 00142404 000a0000 13cb010a ......$......... 43040 000013cb 010d0400 0015440e 415f5354 ..........D.A_ST 43050 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT 43060 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST 43070 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ 43080 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... 43090 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. 430a0 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR 430b0 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU 430c0 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S 430d0 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ 430e0 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A 430f0 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT 43100 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS 43110 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU 43120 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA 43130 5455535f 45494f00 0c000661 5f737461 TUS_EIO....a_sta 43140 7475735f 74000000 144f0a00 00154401 tus_t....O....D. 43150 0a000001 ed010901 06616466 5f6e6275 .........adf_nbu 43160 665f7400 000013cb 0d040000 15a90e41 f_t............A 43170 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV 43180 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA 43190 5f46524f 4d5f4445 56494345 00010006 _FROM_DEVICE.... 431a0 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t 431b0 00000015 720a0000 15440109 01066164 ....r....D....ad 431c0 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 431d0 5f740000 00055304 000015c7 04000901 _t....S......... 431e0 09010a00 00156201 0a000013 cb010901 ......b......... 431f0 09010a00 00156201 0a000013 cb010a00 ......b......... 43200 00156201 0a000013 cb010a00 00156201 ..b...........b. 43210 09010901 0a000005 36010a00 0005ac01 ........6....... 43220 09010901 0a00000a 63010a00 0009b001 ........c....... 43230 0a000009 b0010661 64665f6f 735f7367 .......adf_os_sg 43240 6c697374 5f740000 0005eb04 00001640 list_t.........@ 43250 04000901 09010901 0a000005 ac010661 ...............a 43260 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 43270 00001424 04000016 68040009 01040000 ...$....h....... 43280 140c0400 09010901 09010a00 00156201 ..............b. 43290 0a000013 cb010a00 00053601 0a000005 ..........6..... 432a0 36010a00 0009b001 0a000009 b0010a00 6............... 432b0 000ecc01 0a000005 36010661 64665f6e ........6..adf_n 432c0 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. 432d0 00133a04 000016c4 04000901 09010661 ..:............a 432e0 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... 432f0 135c0400 0016e804 00090109 01066164 .\............ad 43300 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. 43310 00023206 6164665f 6e65745f 766c616e ..2.adf_net_vlan 43320 6864725f 74000000 0cd80400 00171d04 hdr_t........... 43330 000a0000 1544010a 00001544 01090109 .....D.....D.... 43340 01025f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... 43350 00176c03 64756d6d 79000000 01ed0223 ..l.dummy......# 43360 00000901 04000017 6c040009 01040000 ........l....... 43370 17750400 025f4849 465f4341 4c4c4241 .u..._HIF_CALLBA 43380 434b000c 000017ca 0373656e 645f6275 CK.......send_bu 43390 665f646f 6e650000 00176e02 23000372 f_done....n.#..r 433a0 6563765f 62756600 00001777 02230403 ecv_buf....w.#.. 433b0 636f6e74 65787400 00000232 02230800 context....2.#.. 433c0 06686966 5f68616e 646c655f 74000000 .hif_handle_t... 433d0 02320648 49465f43 4f4e4649 47000000 .2.HIF_CONFIG... 433e0 174b0400 0017dc04 000a0000 17ca0104 .K.............. 433f0 000017f3 04000901 04000018 00040006 ................ 43400 4849465f 43414c4c 4241434b 00000017 HIF_CALLBACK.... 43410 7e040000 18090400 09010400 00182204 ~.............". 43420 000a0000 01ed0104 0000182b 04000901 ...........+.... 43430 04000018 3804000a 000001ed 01040000 ....8........... 43440 18410400 09010400 00184e04 000a0000 .A........N..... 43450 01ed0104 00001857 04000901 04000018 .......W........ 43460 64040002 6869665f 61706900 38000019 d...hif_api.8... 43470 bd035f69 6e697400 000017f9 02230003 .._init......#.. 43480 5f736875 74646f77 6e000000 18020223 _shutdown......# 43490 04035f72 65676973 7465725f 63616c6c .._register_call 434a0 6261636b 00000018 24022308 035f6765 back....$.#.._ge 434b0 745f746f 74616c5f 63726564 69745f63 t_total_credit_c 434c0 6f756e74 00000018 3102230c 035f7374 ount....1.#.._st 434d0 61727400 00001802 02231003 5f636f6e art......#.._con 434e0 6669675f 70697065 00000018 3a022314 fig_pipe....:.#. 434f0 035f7365 6e645f62 75666665 72000000 ._send_buffer... 43500 18470223 18035f72 65747572 6e5f7265 .G.#.._return_re 43510 63765f62 75660000 00185002 231c035f cv_buf....P.#.._ 43520 69735f70 6970655f 73757070 6f727465 is_pipe_supporte 43530 64000000 185d0223 20035f67 65745f6d d....].# ._get_m 43540 61785f6d 73675f6c 656e0000 00185d02 ax_msg_len....]. 43550 2324035f 6765745f 72657365 72766564 #$._get_reserved 43560 5f686561 64726f6f 6d000000 18310223 _headroom....1.# 43570 28035f69 73725f68 616e646c 65720000 (._isr_handler.. 43580 00180202 232c035f 6765745f 64656661 ....#,._get_defa 43590 756c745f 70697065 00000018 66022330 ult_pipe....f.#0 435a0 03705265 73657276 65640000 00023202 .pReserved....2. 435b0 23340009 01040000 19bd0400 15000004 #4.............. 435c0 4f150000 044f0400 0019cb04 000a0000 O....O.......... 435d0 01ed0104 000019d7 04000270 72696e74 ...........print 435e0 665f6170 69000800 001a1b03 5f707269 f_api......._pri 435f0 6e74665f 696e6974 00000019 bf022300 ntf_init......#. 43600 035f7072 696e7466 00000019 dd022304 ._printf......#. 43610 00067569 6e743136 5f740000 0001b505 ..uint16_t...... 43620 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 43630 74000704 0675696e 7433325f 74000000 t....uint32_t... 43640 1a290275 6172745f 6669666f 00080000 .).uart_fifo.... 43650 1a970373 74617274 5f696e64 65780000 ...start_index.. 43660 001a1b02 23000365 6e645f69 6e646578 ....#..end_index 43670 0000001a 1b022302 036f7665 7272756e ......#..overrun 43680 5f657272 0000001a 3e022304 00027561 _err....>.#...ua 43690 72745f61 70690020 00001b50 035f7561 rt_api. ...P._ua 436a0 72745f69 6e697400 00001ba7 02230003 rt_init......#.. 436b0 5f756172 745f6368 61725f70 75740000 _uart_char_put.. 436c0 001bbd02 2304035f 75617274 5f636861 ....#.._uart_cha 436d0 725f6765 74000000 1bd10223 08035f75 r_get......#.._u 436e0 6172745f 7374725f 6f757400 00001bda art_str_out..... 436f0 02230c03 5f756172 745f7461 736b0000 .#.._uart_task.. 43700 0019bf02 2310035f 75617274 5f737461 ....#.._uart_sta 43710 74757300 00001ba7 02231403 5f756172 tus......#.._uar 43720 745f636f 6e666967 0000001b e3022318 t_config......#. 43730 035f7561 72745f68 77696e69 74000000 ._uart_hwinit... 43740 1bec0223 1c000400 001a9704 00027561 ...#..........ua 43750 72745f62 6c6b0010 00001ba1 03646562 rt_blk.......deb 43760 75675f6d 6f646500 00001a1b 02230003 ug_mode......#.. 43770 62617564 0000001a 1b022302 035f7561 baud......#.._ua 43780 72740000 001b5002 2304035f 74780000 rt....P.#.._tx.. 43790 001a4c02 2308000a 00001a3e 01040000 ..L.#......>.... 437a0 1ba10400 0675696e 74385f74 00000001 .....uint8_t.... 437b0 90090104 00001bbb 04000400 001bae04 ................ 437c0 000a0000 1a1b0104 00001bcb 04000901 ................ 437d0 0400001b d8040009 01040000 1be10400 ................ 437e0 09010400 001bea04 000a0000 01ed0104 ................ 437f0 00001bf3 04000244 425f434f 4d4d414e .......DB_COMMAN 43800 445f5354 52554354 000c0000 1c4b0363 D_STRUCT.....K.c 43810 6d645f73 74720000 00045702 23000368 md_str....W.#..h 43820 656c705f 73747200 00000457 02230403 elp_str....W.#.. 43830 636d645f 66756e63 0000001b f9022308 cmd_func......#. 43840 00026462 675f6170 69000800 001c7e03 ..dbg_api.....~. 43850 5f646267 5f696e69 74000000 19bf0223 _dbg_init......# 43860 00035f64 62675f74 61736b00 000019bf .._dbg_task..... 43870 02230400 0a000002 32010400 001c7e04 .#......2.....~. 43880 00161604 00001c8c 04000a00 00023201 ..............2. 43890 0400001c 9404000a 000001ed 01040000 ................ 438a0 1ca10400 026d656d 5f617069 00140000 .....mem_api.... 438b0 1d10035f 6d656d5f 696e6974 00000019 ..._mem_init.... 438c0 bf022300 035f6d65 6d736574 0000001c ..#.._memset.... 438d0 84022304 035f6d65 6d637079 0000001c ..#.._memcpy.... 438e0 9a022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... 438f0 1c9a0223 0c035f6d 656d636d 70000000 ...#.._memcmp... 43900 1ca70223 10001772 65676973 7465725f ...#...register_ 43910 64756d70 5f730000 01040000 1d100400 dump_s.......... 43920 09010400 001d2a04 00090104 00001d33 ......*........3 43930 04000a00 0001ed01 0400001d 3c040010 ............<... 43940 686f7374 69665f73 00040000 1d980e48 hostif_s.......H 43950 49465f55 53420000 0e484946 5f504349 IF_USB...HIF_PCI 43960 4500010e 4849465f 474d4143 00020e48 E...HIF_GMAC...H 43970 49465f50 43490003 0e484946 5f4e554d IF_PCI...HIF_NUM 43980 00040e48 49465f4e 4f4e4500 05000641 ...HIF_NONE....A 43990 5f484f53 54494600 00001d49 0a00001d _HOSTIF....I.... 439a0 98010400 001da604 000a0000 1bae0104 ................ 439b0 00001db3 04000a00 001a1b01 0400001d ................ 439c0 c0040002 6d697363 5f617069 00240000 ....misc_api.$.. 439d0 1eb0035f 73797374 656d5f72 65736574 ..._system_reset 439e0 00000019 bf022300 035f6d61 635f7265 ......#.._mac_re 439f0 73657400 000019bf 02230403 5f617373 set......#.._ass 43a00 6661696c 0000001d 2c022308 035f6d69 fail....,.#.._mi 43a10 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha 43a20 6e646c65 72000000 1d2c0223 0c035f72 ndler....,.#.._r 43a30 65706f72 745f6661 696c7572 655f746f eport_failure_to 43a40 5f686f73 74000000 1d350223 10035f74 _host....5.#.._t 43a50 61726765 745f6964 5f676574 0000001d arget_id_get.... 43a60 42022314 035f6973 5f686f73 745f7072 B.#.._is_host_pr 43a70 6573656e 74000000 1dac0223 18035f6b esent......#.._k 43a80 62686974 0000001d b902231c 035f726f bhit......#.._ro 43a90 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... 43aa0 1dc60223 20000a00 00045701 0400001e ...# .....W..... 43ab0 b004000a 00000457 01040000 1ebd0400 .......W........ 43ac0 0a000001 ed010400 001eca04 000a0000 ................ 43ad0 01ed0104 00001ed7 04000a00 0001ed01 ................ 43ae0 0400001e e4040002 73747269 6e675f61 ........string_a 43af0 70690018 00001f6a 035f7374 72696e67 pi.....j._string 43b00 5f696e69 74000000 19bf0223 00035f73 _init......#.._s 43b10 74726370 79000000 1eb60223 04035f73 trcpy......#.._s 43b20 74726e63 70790000 001ec302 2308035f trncpy......#.._ 43b30 7374726c 656e0000 001ed002 230c035f strlen......#.._ 43b40 73747263 6d700000 001edd02 2310035f strcmp......#.._ 43b50 7374726e 636d7000 00001eea 02231400 strncmp......#.. 43b60 07000003 ea140000 1f770804 00065f41 .........w...._A 43b70 5f54494d 45525f53 50414345 0000001f _TIMER_SPACE.... 43b80 6a06415f 74696d65 725f7400 00001f77 j.A_timer_t....w 43b90 0400001f 8b040009 01040000 1fa10400 ................ 43ba0 09010400 001faa04 0006415f 48414e44 ..........A_HAND 43bb0 4c450000 0003ea09 0106415f 54494d45 LE........A_TIME 43bc0 525f4655 4e430000 001fc104 00001fc3 R_FUNC.......... 43bd0 04000901 0400001f dc040002 74696d65 ............time 43be0 725f6170 69001400 00205b03 5f74696d r_api.... [._tim 43bf0 65725f69 6e697400 000019bf 02230003 er_init......#.. 43c00 5f74696d 65725f61 726d0000 001fa302 _timer_arm...... 43c10 2304035f 74696d65 725f6469 7361726d #.._timer_disarm 43c20 0000001f ac022308 035f7469 6d65725f ......#.._timer_ 43c30 73657466 6e000000 1fde0223 0c035f74 setfn......#.._t 43c40 696d6572 5f72756e 00000019 bf022310 imer_run......#. 43c50 0006424f 4f4c4541 4e000000 1a1b0a00 ..BOOLEAN....... 43c60 00205b01 04000020 6804000a 0000205b . [.... h..... [ 43c70 01040000 20750400 0a000020 5b010400 .... u..... [... 43c80 00208204 0002726f 6d705f61 70690010 . ....romp_api.. 43c90 000020f4 035f726f 6d705f69 6e697400 .. .._romp_init. 43ca0 000019bf 02230003 5f726f6d 705f646f .....#.._romp_do 43cb0 776e6c6f 61640000 00206e02 2304035f wnload... n.#.._ 43cc0 726f6d70 5f696e73 74616c6c 00000020 romp_install... 43cd0 7b022308 035f726f 6d705f64 65636f64 {.#.._romp_decod 43ce0 65000000 20880223 0c000272 6f6d5f70 e... ..#...rom_p 43cf0 61746368 5f737400 10000021 50036372 atch_st....!P.cr 43d00 63313600 00001a1b 02230003 6c656e00 c16......#..len. 43d10 00001a1b 02230203 6c645f61 64647200 .....#..ld_addr. 43d20 00001a3e 02230403 66756e5f 61646472 ...>.#..fun_addr 43d30 0000001a 3e022308 03706675 6e000000 ....>.#..pfun... 43d40 1bc40223 0c000265 65705f72 65646972 ...#...eep_redir 43d50 5f616464 72000400 00218203 6f666673 _addr....!..offs 43d60 65740000 001a1b02 23000373 697a6500 et......#..size. 43d70 00001a1b 02230200 0a000002 32010400 .....#......2... 43d80 00218204 0002616c 6c6f6372 616d5f61 .!....allocram_a 43d90 7069000c 000021f3 03636d6e 6f735f61 pi....!..cmnos_a 43da0 6c6c6f63 72616d5f 696e6974 00000021 llocram_init...! 43db0 88022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc 43dc0 72616d00 00002188 02230403 636d6e6f ram...!..#..cmno 43dd0 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug 43de0 00000019 bf022308 00090104 000021f3 ......#.......!. 43df0 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN 43e00 43000000 21f5025f 7461736b 6c657400 C...!.._tasklet. 43e10 10000022 54036675 6e630000 0021fc02 ..."T.func...!.. 43e20 23000361 72670000 00023202 23040373 #..arg....2.#..s 43e30 74617465 00000001 ed022308 036e6578 tate......#..nex 43e40 74000000 22540223 0c000400 00221004 t..."T.#.....".. 43e50 00040000 22100400 06415f74 61736b6c ...."....A_taskl 43e60 65745f74 00000022 10040000 22620400 et_t..."...."b.. 43e70 09010400 00227a04 00090104 00002283 ....."z.......". 43e80 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. 43e90 00002318 035f7461 736b6c65 745f696e ..#.._tasklet_in 43ea0 69740000 0019bf02 2300035f 7461736b it......#.._task 43eb0 6c65745f 696e6974 5f746173 6b000000 let_init_task... 43ec0 227c0223 04035f74 61736b6c 65745f64 "|.#.._tasklet_d 43ed0 69736162 6c650000 00228502 2308035f isable..."..#.._ 43ee0 7461736b 6c65745f 73636865 64756c65 tasklet_schedule 43ef0 00000022 8502230c 035f7461 736b6c65 ..."..#.._taskle 43f00 745f7275 6e000000 19bf0223 10000901 t_run......#.... 43f10 04000023 1804000a 000003fa 01040000 ...#............ 43f20 23210400 02636c6f 636b5f61 70690024 #!...clock_api.$ 43f30 00002407 035f636c 6f636b5f 696e6974 ..$.._clock_init 43f40 00000023 1a022300 035f636c 6f636b72 ...#..#.._clockr 43f50 6567735f 696e6974 00000019 bf022304 egs_init......#. 43f60 035f7561 72745f66 72657175 656e6379 ._uart_frequency 43f70 00000023 27022308 035f6465 6c61795f ...#'.#.._delay_ 43f80 75730000 0001f602 230c035f 776c616e us......#.._wlan 43f90 5f62616e 645f7365 74000000 01f60223 _band_set......# 43fa0 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ 43fb0 67657400 00002327 02231403 5f6d696c get...#'.#.._mil 43fc0 6c697365 636f6e64 73000000 23270223 liseconds...#'.# 43fd0 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change 43fe0 00000019 bf02231c 035f636c 6f636b5f ......#.._clock_ 43ff0 7469636b 00000019 bf022320 000a0000 tick......# .... 44000 1a3e0104 00002407 04000641 5f6f6c64 .>....$....A_old 44010 5f696e74 725f7400 00001a3e 0a000024 _intr_t....>...$ 44020 14010400 00242604 00090104 00002433 .....$&.......$3 44030 04000901 04000024 3c04000a 00001a3e .......$<......> 44040 01040000 24450400 06415f69 73725f74 ....$E...A_isr_t 44050 00000024 4b090104 0000245f 04000a00 ...$K.....$_.... 44060 0003ea01 04000024 68040009 01040000 .......$h....... 44070 24750400 02696e74 725f6170 69002c00 $u...intr_api.,. 44080 00259703 5f696e74 725f696e 69740000 .%.._intr_init.. 44090 0019bf02 2300035f 696e7472 5f696e76 ....#.._intr_inv 440a0 6f6b655f 69737200 0000240d 02230403 oke_isr...$..#.. 440b0 5f696e74 725f6469 7361626c 65000000 _intr_disable... 440c0 242c0223 08035f69 6e74725f 72657374 $,.#.._intr_rest 440d0 6f726500 00002435 02230c03 5f696e74 ore...$5.#.._int 440e0 725f6d61 736b5f69 6e756d00 0000243e r_mask_inum...$> 440f0 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask 44100 5f696e75 6d000000 243e0223 14035f69 _inum...$>.#.._i 44110 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. 44120 00246102 2318035f 6765745f 696e7472 .$a.#.._get_intr 44130 656e6162 6c650000 00246e02 231c035f enable...$n.#.._ 44140 7365745f 696e7472 656e6162 6c650000 set_intrenable.. 44150 00247702 2320035f 6765745f 696e7472 .$w.# ._get_intr 44160 70656e64 696e6700 0000246e 02232403 pending...$n.#$. 44170 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int 44180 726c766c 00000019 bf022328 000f0400 rlvl......#(.... 44190 0025bd03 74696d65 6f757400 00001a3e .%..timeout....> 441a0 02230003 61637469 6f6e0000 001a3e02 .#..action....>. 441b0 2300000c 08000025 d803636d 64000000 #......%..cmd... 441c0 1a3e0223 00180000 25970223 04000654 .>.#....%..#...T 441d0 5f574454 5f434d44 00000025 bd090104 _WDT_CMD...%.... 441e0 000025e7 04000d04 0000263d 0e454e55 ..%.......&=.ENU 441f0 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU 44200 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN 44210 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E 44220 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT 44230 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. 44240 000025f0 0a000026 3d010400 00264e04 ..%....&=....&N. 44250 00027764 745f6170 69001c00 0026f203 ..wdt_api....&.. 44260 5f776474 5f696e69 74000000 19bf0223 _wdt_init......# 44270 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... 44280 19bf0223 04035f77 64745f64 69736162 ...#.._wdt_disab 44290 6c650000 0019bf02 2308035f 7764745f le......#.._wdt_ 442a0 73657400 000025e9 02230c03 5f776474 set...%..#.._wdt 442b0 5f746173 6b000000 19bf0223 10035f77 _task......#.._w 442c0 64745f72 65736574 00000019 bf022314 dt_reset......#. 442d0 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. 442e0 00002654 02231800 0d040000 27590e52 ..&T.#......'Y.R 442f0 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET 44300 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ 44310 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ 44320 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE 44330 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. 44340 0e524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... 44350 545f4545 505f5245 54000000 26f20400 T_EEP_RET...&... 44360 001a1b04 000a0000 27590104 0000276f ........'Y....'o 44370 04000a00 00275901 04000027 7c040002 .....'Y....'|... 44380 6565705f 61706900 10000027 e5035f65 eep_api....'.._e 44390 65705f69 6e697400 000019bf 02230003 ep_init......#.. 443a0 5f656570 5f726561 64000000 27750223 _eep_read...'u.# 443b0 04035f65 65705f77 72697465 00000027 .._eep_write...' 443c0 75022308 035f6565 705f6973 5f657869 u.#.._eep_is_exi 443d0 73740000 00278202 230c0002 7573625f st...'..#...usb_ 443e0 61706900 7000002a 92035f75 73625f69 api.p..*.._usb_i 443f0 6e697400 000019bf 02230003 5f757362 nit......#.._usb 44400 5f726f6d 5f746173 6b000000 19bf0223 _rom_task......# 44410 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. 44420 0019bf02 2308035f 7573625f 696e6974 ....#.._usb_init 44430 5f706879 00000019 bf02230c 035f7573 _phy......#.._us 44440 625f6570 305f7365 74757000 000019bf b_ep0_setup..... 44450 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. 44460 000019bf 02231403 5f757362 5f657030 .....#.._usb_ep0 44470 5f727800 000019bf 02231803 5f757362 _rx......#.._usb 44480 5f676574 5f696e74 65726661 63650000 _get_interface.. 44490 00207b02 231c035f 7573625f 7365745f . {.#.._usb_set_ 444a0 696e7465 72666163 65000000 207b0223 interface... {.# 444b0 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi 444c0 67757261 74696f6e 00000020 7b022324 guration... {.#$ 444d0 035f7573 625f7365 745f636f 6e666967 ._usb_set_config 444e0 75726174 696f6e00 0000207b 02232803 uration... {.#(. 444f0 5f757362 5f737461 6e646172 645f636d _usb_standard_cm 44500 64000000 207b0223 2c035f75 73625f76 d... {.#,._usb_v 44510 656e646f 725f636d 64000000 19bf0223 endor_cmd......# 44520 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off 44530 00000019 bf022334 035f7573 625f7265 ......#4._usb_re 44540 7365745f 6669666f 00000019 bf022338 set_fifo......#8 44550 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... 44560 19bf0223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ 44570 626f6f74 00000019 bf022340 035f7573 boot......#@._us 44580 625f636c 725f6665 61747572 65000000 b_clr_feature... 44590 207b0223 44035f75 73625f73 65745f66 {.#D._usb_set_f 445a0 65617475 72650000 00207b02 2348035f eature... {.#H._ 445b0 7573625f 7365745f 61646472 65737300 usb_set_address. 445c0 0000207b 02234c03 5f757362 5f676574 .. {.#L._usb_get 445d0 5f646573 63726970 746f7200 0000207b _descriptor... { 445e0 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta 445f0 74757300 0000207b 02235403 5f757362 tus... {.#T._usb 44600 5f736574 75705f64 65736300 000019bf _setup_desc..... 44610 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out 44620 00000019 bf02235c 035f7573 625f7374 ......#\._usb_st 44630 61747573 5f696e00 000019bf 02236003 atus_in......#`. 44640 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data 44650 00000019 bf022364 035f7573 625f6570 ......#d._usb_ep 44660 305f7278 5f646174 61000000 19bf0223 0_rx_data......# 44670 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. 44680 000019bf 02236c00 10646d61 5f656e67 .....#l..dma_eng 44690 696e6500 0400002b 1b0e444d 415f454e ine....+..DMA_EN 446a0 47494e45 5f525830 00000e44 4d415f45 GINE_RX0...DMA_E 446b0 4e47494e 455f5258 3100010e 444d415f NGINE_RX1...DMA_ 446c0 454e4749 4e455f52 58320002 0e444d41 ENGINE_RX2...DMA 446d0 5f454e47 494e455f 52583300 030e444d _ENGINE_RX3...DM 446e0 415f454e 47494e45 5f545830 00040e44 A_ENGINE_TX0...D 446f0 4d415f45 4e47494e 455f5458 3100050e MA_ENGINE_TX1... 44700 444d415f 454e4749 4e455f4d 41580006 DMA_ENGINE_MAX.. 44710 0006646d 615f656e 67696e65 5f740000 ..dma_engine_t.. 44720 002a9210 646d615f 69667479 70650004 .*..dma_iftype.. 44730 00002b68 0e444d41 5f49465f 474d4143 ..+h.DMA_IF_GMAC 44740 00000e44 4d415f49 465f5043 4900010e ...DMA_IF_PCI... 44750 444d415f 49465f50 43494500 02000664 DMA_IF_PCIE....d 44760 6d615f69 66747970 655f7400 00002b2d ma_iftype_t...+- 44770 0a000001 cb010400 002b7a04 00090104 .........+z..... 44780 00002b87 04000901 0400002b 9004000a ..+........+.... 44790 000003fa 01040000 2b990400 0a000001 ........+....... 447a0 cb010400 002ba604 000a0000 01cb0104 .....+.......... 447b0 00002bb3 04000a00 00032901 0400002b ..+.......)....+ 447c0 c0040009 01040000 2bcd0400 02646d61 ........+....dma 447d0 5f6c6962 5f617069 00340000 2cd40374 _lib_api.4..,..t 447e0 785f696e 69740000 002b8002 23000374 x_init...+..#..t 447f0 785f7374 61727400 00002b89 02230403 x_start...+..#.. 44800 72785f69 6e697400 00002b80 02230803 rx_init...+..#.. 44810 72785f63 6f6e6669 67000000 2b920223 rx_config...+..# 44820 0c037278 5f737461 72740000 002b8902 ..rx_start...+.. 44830 23100369 6e74725f 73746174 75730000 #..intr_status.. 44840 002b9f02 23140368 6172645f 786d6974 .+..#..hard_xmit 44850 0000002b ac022318 03666c75 73685f78 ...+..#..flush_x 44860 6d697400 00002b89 02231c03 786d6974 mit...+..#..xmit 44870 5f646f6e 65000000 2bb90223 20037265 _done...+..# .re 44880 61705f78 6d697474 65640000 002bc602 ap_xmitted...+.. 44890 23240372 6561705f 72656376 0000002b #$.reap_recv...+ 448a0 c6022328 03726574 75726e5f 72656376 ..#(.return_recv 448b0 0000002b cf02232c 03726563 765f706b ...+..#,.recv_pk 448c0 74000000 2bb90223 3000025f 5f706369 t...+..#0..__pci 448d0 5f736f66 7463000c 00002cf2 03737700 _softc....,..sw. 448e0 00001809 02230000 065f5f70 63695f73 .....#...__pci_s 448f0 6f667463 5f740000 002cd404 00002cf2 oftc_t...,....,. 44900 04000901 0400002d 0c04000a 000001a1 .......-........ 44910 01040000 2d150400 10686966 5f706369 ....-....hif_pci 44920 5f706970 655f7478 00040000 2d750e48 _pipe_tx....-u.H 44930 49465f50 43495f50 4950455f 54583000 IF_PCI_PIPE_TX0. 44940 000e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T 44950 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP 44960 455f5458 5f4d4158 00020006 6869665f E_TX_MAX....hif_ 44970 7063695f 70697065 5f74785f 74000000 pci_pipe_tx_t... 44980 2d220a00 002b1b01 0400002d 8c040010 -"...+.....-.... 44990 6869665f 7063695f 70697065 5f727800 hif_pci_pipe_rx. 449a0 0400002e 120e4849 465f5043 495f5049 ......HIF_PCI_PI 449b0 50455f52 58300000 0e484946 5f504349 PE_RX0...HIF_PCI 449c0 5f504950 455f5258 3100010e 4849465f _PIPE_RX1...HIF_ 449d0 5043495f 50495045 5f525832 00020e48 PCI_PIPE_RX2...H 449e0 49465f50 43495f50 4950455f 52583300 IF_PCI_PIPE_RX3. 449f0 030e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 44a00 585f4d41 58000400 06686966 5f706369 X_MAX....hif_pci 44a10 5f706970 655f7278 5f740000 002d990a _pipe_rx_t...-.. 44a20 00002b1b 01040000 2e290400 02686966 ..+......)...hif 44a30 5f706369 5f617069 00240000 2f070370 _pci_api.$../..p 44a40 63695f62 6f6f745f 696e6974 00000019 ci_boot_init.... 44a50 bf022300 03706369 5f696e69 74000000 ..#..pci_init... 44a60 17f90223 04037063 695f7265 73657400 ...#..pci_reset. 44a70 000019bf 02230803 7063695f 656e6162 .....#..pci_enab 44a80 6c650000 0019bf02 230c0370 63695f72 le......#..pci_r 44a90 6561705f 786d6974 74656400 00002d0e eap_xmitted...-. 44aa0 02231003 7063695f 72656170 5f726563 .#..pci_reap_rec 44ab0 76000000 2d0e0223 14037063 695f6765 v...-..#..pci_ge 44ac0 745f7069 70650000 002d1b02 23180370 t_pipe...-..#..p 44ad0 63695f67 65745f74 785f656e 67000000 ci_get_tx_eng... 44ae0 2d920223 1c037063 695f6765 745f7278 -..#..pci_get_rx 44af0 5f656e67 0000002e 2f022320 0002676d _eng..../.# ..gm 44b00 61635f61 70690004 00002f2e 03676d61 ac_api..../..gma 44b10 635f626f 6f745f69 6e697400 000019bf c_boot_init..... 44b20 02230000 07000001 90060000 2f3b0805 .#........../;.. 44b30 00025f5f 65746868 6472000e 00002f71 ..__ethhdr..../q 44b40 03647374 0000002f 2e022300 03737263 .dst.../..#..src 44b50 0000002f 2e022306 03657479 70650000 .../..#..etype.. 44b60 0001cb02 230c0002 5f5f6174 68686472 ....#...__athhdr 44b70 00040000 2fbf1172 65730000 0001a101 ..../..res...... 44b80 00020223 00117072 6f746f00 000001a1 ...#..proto..... 44b90 01020602 23000372 65735f6c 6f000000 ....#..res_lo... 44ba0 01a10223 01037265 735f6869 00000001 ...#..res_hi.... 44bb0 cb022302 00025f5f 676d6163 5f686472 ..#...__gmac_hdr 44bc0 00140000 2ffb0365 74680000 002f3b02 ..../..eth.../;. 44bd0 23000361 74680000 002f7102 230e0361 #..ath.../q.#..a 44be0 6c69676e 5f706164 00000001 cb022312 lign_pad......#. 44bf0 00065f5f 676d6163 5f686472 5f740000 ..__gmac_hdr_t.. 44c00 002fbf02 5f5f676d 61635f73 6f667463 ./..__gmac_softc 44c10 00240000 30450368 64720000 002ffb02 .$..0E.hdr.../.. 44c20 23000367 72616e00 000001cb 02231403 #..gran......#.. 44c30 73770000 00180902 23180017 5f415f6f sw......#..._A_o 44c40 735f6c69 6e6b6167 655f6368 65636b00 s_linkage_check. 44c50 00010400 00304504 000a0000 01ed0104 .....0E......... 44c60 00003063 04000400 0003ea04 00135f41 ..0c.........._A 44c70 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 44c80 6f6e5f74 61626c65 0001b800 0031b303 on_table.....1.. 44c90 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec 44ca0 6b000000 30690223 00037374 6172745f k...0i.#..start_ 44cb0 62737300 00003070 02230403 6170705f bss...0p.#..app_ 44cc0 73746172 74000000 19bf0223 08036d65 start......#..me 44cd0 6d000000 1cae0223 0c036d69 73630000 m......#..misc.. 44ce0 001dcd02 23200370 72696e74 66000000 ....# .printf... 44cf0 19e40223 44037561 72740000 001a9702 ...#D.uart...... 44d00 234c0367 6d616300 00002f07 02236c03 #L.gmac.../..#l. 44d10 75736200 000027e5 02237003 636c6f63 usb...'..#p.cloc 44d20 6b000000 232e0323 e0010374 696d6572 k...#..#...timer 44d30 0000001f e5032384 0203696e 74720000 ......#...intr.. 44d40 00247e03 23980203 616c6c6f 6372616d .$~.#...allocram 44d50 00000021 8f0323c4 0203726f 6d700000 ...!..#...romp.. 44d60 00208f03 23d00203 7764745f 74696d65 . ..#...wdt_time 44d70 72000000 265b0323 e0020365 65700000 r...&[.#...eep.. 44d80 00278903 23fc0203 73747269 6e670000 .'..#...string.. 44d90 001ef103 238c0303 7461736b 6c657400 ....#...tasklet. 44da0 0000228c 0323a403 00025f55 53425f46 .."..#...._USB_F 44db0 49464f5f 434f4e46 49470010 00003226 IFO_CONFIG....2& 44dc0 03676574 5f636f6d 6d616e64 5f627566 .get_command_buf 44dd0 00000003 36022300 03726563 765f636f ....6.#..recv_co 44de0 6d6d616e 64000000 034c0223 04036765 mmand....L.#..ge 44df0 745f6576 656e745f 62756600 00000336 t_event_buf....6 44e00 02230803 73656e64 5f657665 6e745f64 .#..send_event_d 44e10 6f6e6500 0000034c 02230c00 06555342 one....L.#...USB 44e20 5f464946 4f5f434f 4e464947 00000031 _FIFO_CONFIG...1 44e30 b3040000 32260400 09010400 00324204 ....2&.......2B. 44e40 00027573 62666966 6f5f6170 69000c00 ..usbfifo_api... 44e50 00329803 5f696e69 74000000 32440223 .2.._init...2D.# 44e60 00035f65 6e61626c 655f6576 656e745f .._enable_event_ 44e70 69737200 000019bf 02230403 70526573 isr......#..pRes 44e80 65727665 64000000 02320223 08000700 erved....2.#.... 44e90 00059d02 000032a5 08010002 5f485443 ......2....._HTC 44ea0 5f465241 4d455f48 44520008 00003317 _FRAME_HDR....3. 44eb0 03456e64 706f696e 74494400 0000059d .EndpointID..... 44ec0 02230003 466c6167 73000000 059d0223 .#..Flags......# 44ed0 01035061 796c6f61 644c656e 0000000b ..PayloadLen.... 44ee0 c5022302 03436f6e 74726f6c 42797465 ..#..ControlByte 44ef0 73000000 32980223 0403486f 73745365 s...2..#..HostSe 44f00 714e756d 0000000b c5022306 000c0200 qNum......#..... 44f10 00333003 4d657373 61676549 44000000 .30.MessageID... 44f20 0bc50223 00000c08 00003393 034d6573 ...#......3..Mes 44f30 73616765 49440000 000bc502 23000343 sageID......#..C 44f40 72656469 74436f75 6e740000 000bc502 reditCount...... 44f50 23020343 72656469 7453697a 65000000 #..CreditSize... 44f60 0bc50223 04034d61 78456e64 706f696e ...#..MaxEndpoin 44f70 74730000 00059d02 2306035f 50616431 ts......#.._Pad1 44f80 00000005 9d022307 000c0a00 00342a03 ......#......4*. 44f90 4d657373 61676549 44000000 0bc50223 MessageID......# 44fa0 00035365 72766963 65494400 00000bc5 ..ServiceID..... 44fb0 02230203 436f6e6e 65637469 6f6e466c .#..ConnectionFl 44fc0 61677300 00000bc5 02230403 446f776e ags......#..Down 44fd0 4c696e6b 50697065 49440000 00059d02 LinkPipeID...... 44fe0 23060355 704c696e 6b506970 65494400 #..UpLinkPipeID. 44ff0 0000059d 02230703 53657276 6963654d .....#..ServiceM 45000 6574614c 656e6774 68000000 059d0223 etaLength......# 45010 08035f50 61643100 0000059d 02230900 .._Pad1......#.. 45020 0c0a0000 34b2034d 65737361 67654944 ....4..MessageID 45030 0000000b c5022300 03536572 76696365 ......#..Service 45040 49440000 000bc502 23020353 74617475 ID......#..Statu 45050 73000000 059d0223 0403456e 64706f69 s......#..Endpoi 45060 6e744944 00000005 9d022305 034d6178 ntID......#..Max 45070 4d736753 697a6500 00000bc5 02230603 MsgSize......#.. 45080 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 45090 68000000 059d0223 08035f50 61643100 h......#.._Pad1. 450a0 0000059d 02230900 0c020000 34cb034d .....#......4..M 450b0 65737361 67654944 0000000b c5022300 essageID......#. 450c0 000c0400 00350703 4d657373 61676549 .....5..MessageI 450d0 44000000 0bc50223 00035069 70654944 D......#..PipeID 450e0 00000005 9d022302 03437265 64697443 ......#..CreditC 450f0 6f756e74 00000005 9d022303 000c0400 ount......#..... 45100 00353e03 4d657373 61676549 44000000 .5>.MessageID... 45110 0bc50223 00035069 70654944 00000005 ...#..PipeID.... 45120 9d022302 03537461 74757300 0000059d ..#..Status..... 45130 02230300 0c020000 35650352 65636f72 .#......5e.Recor 45140 64494400 0000059d 02230003 4c656e67 dID......#..Leng 45150 74680000 00059d02 2301000c 02000035 th......#......5 45160 8f03456e 64706f69 6e744944 00000005 ..EndpointID.... 45170 9d022300 03437265 64697473 00000005 ..#..Credits.... 45180 9d022301 000c0400 0035d003 456e6470 ..#......5..Endp 45190 6f696e74 49440000 00059d02 23000343 ointID......#..C 451a0 72656469 74730000 00059d02 23010354 redits......#..T 451b0 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... 451c0 0bc50223 02000700 00059d04 000035dd ...#..........5. 451d0 0803000c 06000036 19035072 6556616c .......6..PreVal 451e0 69640000 00059d02 2300034c 6f6f6b41 id......#..LookA 451f0 68656164 00000035 d0022301 03506f73 head...5..#..Pos 45200 7456616c 69640000 00059d02 23050006 tValid......#... 45210 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... 45220 02320a00 00361901 04000036 2c040009 .2...6.....6,... 45230 01040000 36390400 0d040000 36b70e50 ....69......6..P 45240 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR 45250 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI 45260 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. 45270 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC 45280 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID 45290 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P 452a0 4f4f4c5f 49445f4d 4158000a 00064255 OOL_ID_MAX....BU 452b0 465f504f 4f4c5f49 44000000 36420901 F_POOL_ID...6B.. 452c0 04000036 c804000a 00001562 01040000 ...6.......b.... 452d0 36d10400 0a000015 62010400 0036de04 6.......b....6.. 452e0 00090104 000036eb 04000262 75665f70 ......6....buf_p 452f0 6f6f6c5f 61706900 1c000037 8d035f69 ool_api....7.._i 45300 6e697400 00003632 02230003 5f736875 nit...62.#.._shu 45310 74646f77 6e000000 363b0223 04035f63 tdown...6;.#.._c 45320 72656174 655f706f 6f6c0000 0036ca02 reate_pool...6.. 45330 2308035f 616c6c6f 635f6275 66000000 #.._alloc_buf... 45340 36d70223 0c035f61 6c6c6f63 5f627566 6..#.._alloc_buf 45350 5f616c69 676e0000 0036e402 2310035f _align...6..#.._ 45360 66726565 5f627566 00000036 ed022314 free_buf...6..#. 45370 03705265 73657276 65640000 00023202 .pReserved....2. 45380 23180002 5f485443 5f534552 56494345 #..._HTC_SERVICE 45390 001c0000 386c0370 4e657874 00000038 ....8l.pNext...8 453a0 6c022300 0350726f 63657373 52656376 l.#..ProcessRecv 453b0 4d736700 00003921 02230403 50726f63 Msg...9!.#..Proc 453c0 65737353 656e6442 75666665 72436f6d essSendBufferCom 453d0 706c6574 65000000 392a0223 08035072 plete...9*.#..Pr 453e0 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 453f0 3e02230c 03536572 76696365 49440000 >.#..ServiceID.. 45400 0001cb02 23100353 65727669 6365466c ....#..ServiceFl 45410 61677300 000001cb 02231203 4d617853 ags......#..MaxS 45420 76634d73 6753697a 65000000 01cb0223 vcMsgSize......# 45430 14035472 61696c65 72537063 43686563 ..TrailerSpcChec 45440 6b4c696d 69740000 0001cb02 23160353 kLimit......#..S 45450 65727669 63654374 78000000 02320223 erviceCtx....2.# 45460 18000400 00378d04 000d0400 00390a19 .....7.......9.. 45470 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. 45480 ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. 45490 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END 454a0 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN 454b0 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. 454c0 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END 454d0 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN 454e0 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. 454f0 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... 45500 06485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID 45510 00000038 73090104 0000391f 04000901 ...8s.....9..... 45520 04000039 28040004 000001ed 04000a00 ...9(........... 45530 0001a101 04000039 38040004 0000378d .......98.....7. 45540 0400025f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. 45550 000039bd 03437265 64697453 697a6500 ..9..CreditSize. 45560 000001ed 02230003 43726564 69744e75 .....#..CreditNu 45570 6d626572 00000001 ed022304 034f5348 mber......#..OSH 45580 616e646c 65000000 09610223 08034849 andle....a.#..HI 45590 4648616e 646c6500 000017ca 02230c03 FHandle......#.. 455a0 506f6f6c 48616e64 6c650000 00361902 PoolHandle...6.. 455b0 23100002 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON 455c0 54455854 00020000 39f90365 6e645f70 TEXT....9..end_p 455d0 6f696e74 00000001 a1022300 03687463 oint......#..htc 455e0 5f666c61 67730000 0001a102 23010006 _flags......#... 455f0 6874635f 68616e64 6c655f74 00000002 htc_handle_t.... 45600 32064854 435f5345 5455505f 434f4d50 2.HTC_SETUP_COMP 45610 4c455445 5f434200 000019bf 06485443 LETE_CB......HTC 45620 5f434f4e 46494700 0000394c 0400003a _CONFIG...9L...: 45630 2604000a 000039f9 01040000 3a3d0400 &.....9.....:=.. 45640 09010400 003a4a04 00064854 435f5345 .....:J...HTC_SE 45650 52564943 45000000 378d0400 003a5304 RVICE...7....:S. 45660 00090104 00003a6b 04000901 0400003a ......:k.......: 45670 74040009 01040000 3a7d0400 0a000001 t.......:}...... 45680 ed010400 003a8604 00026874 635f6170 .....:....htc_ap 45690 69730034 00003c03 035f4854 435f496e is.4..<.._HTC_In 456a0 69740000 003a4302 2300035f 4854435f it...:C.#.._HTC_ 456b0 53687574 646f776e 0000003a 4c022304 Shutdown...:L.#. 456c0 035f4854 435f5265 67697374 65725365 ._HTC_RegisterSe 456d0 72766963 65000000 3a6d0223 08035f48 rvice...:m.#.._H 456e0 54435f52 65616479 0000003a 4c02230c TC_Ready...:L.#. 456f0 035f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 45700 65727300 00003a76 02231003 5f485443 ers...:v.#.._HTC 45710 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi 45720 73740000 003a7f02 2314035f 4854435f st...:..#.._HTC_ 45730 53656e64 4d736700 00003a76 02231803 SendMsg...:v.#.. 45740 5f485443 5f476574 52657365 72766564 _HTC_GetReserved 45750 48656164 726f6f6d 0000003a 8c02231c Headroom...:..#. 45760 035f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan 45770 646c6572 00000017 77022320 035f4854 dler....w.# ._HT 45780 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle 45790 72000000 176e0223 24035f48 54435f43 r....n.#$._HTC_C 457a0 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 457b0 4d736700 00003921 02232803 5f485443 Msg...9!.#(._HTC 457c0 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce 457d0 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. 457e0 00392a02 232c0370 52657365 72766564 .9*.#,.pReserved 457f0 00000002 32022330 0002686f 73745f61 ....2.#0..host_a 45800 70705f61 7265615f 73000400 003c3303 pp_area_s....<3. 45810 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver 45820 00000005 36022300 000c0e00 003c6a03 ....6.#......f.HtcHan 45a10 646c6500 000039f9 02230003 506f6f6c dle...9..#..Pool 45a20 48616e64 6c650000 00361902 2304034d Handle...6..#..M 45a30 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. 45a40 0001ed02 2308034d 61784576 656e7445 ....#..MaxEventE 45a50 76747300 000001ed 02230c00 09010400 vts......#...... 45a60 003e6604 0006574d 495f434d 445f4841 .>f...WMI_CMD_HA 45a70 4e444c45 52000000 3e68025f 574d495f NDLER...>h._WMI_ 45a80 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. 45a90 00003ecf 0370436d 6448616e 646c6572 ..>..pCmdHandler 45aa0 0000003e 6f022300 03436d64 49440000 ...>o.#..CmdID.. 45ab0 0001cb02 23040346 6c616773 00000001 ....#..Flags.... 45ac0 cb022306 00025f57 4d495f44 49535041 ..#..._WMI_DISPA 45ad0 5443485f 5441424c 45001000 003f3003 TCH_TABLE....?0. 45ae0 704e6578 74000000 3f300223 00037043 pNext...?0.#..pC 45af0 6f6e7465 78740000 00023202 2304034e ontext....2.#..N 45b00 756d6265 724f6645 6e747269 65730000 umberOfEntries.. 45b10 0001ed02 23080370 5461626c 65000000 ....#..pTable... 45b20 3f4f0223 0c000400 003ecf04 0006574d ?O.#.....>....WM 45b30 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY 45b40 0000003e 84040000 3f370400 0400003e ...>....?7.....> 45b50 cf040006 4854435f 4255465f 434f4e54 ....HTC_BUF_CONT 45b60 45585400 000039bd 10574d49 5f455654 EXT...9..WMI_EVT 45b70 5f434c41 53530004 00003fe7 19574d49 _CLASS....?..WMI 45b80 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. 45b90 ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA 45ba0 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W 45bb0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 45bc0 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT 45bd0 5f434c41 53535f4d 41580002 0006574d _CLASS_MAX....WM 45be0 495f4556 545f434c 41535300 00003f72 I_EVT_CLASS...?r 45bf0 025f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX 45c00 54000c00 00404503 48746342 75664374 T....@E.HtcBufCt 45c10 78000000 3f5d0223 00034576 656e7443 x...?].#..EventC 45c20 6c617373 0000003f e7022304 03466c61 lass...?..#..Fla 45c30 67730000 0001cb02 23080006 776d695f gs......#...wmi_ 45c40 68616e64 6c655f74 00000002 3206574d handle_t....2.WM 45c50 495f5356 435f434f 4e464947 0000003d I_SVC_CONFIG...= 45c60 fd040000 40570400 0a000040 45010400 ....@W.....@E... 45c70 00407204 0006574d 495f4449 53504154 .@r...WMI_DISPAT 45c80 43485f54 41424c45 0000003e cf040000 CH_TABLE...>.... 45c90 407f0400 09010400 00409e04 000a0000 @........@...... 45ca0 15620104 000040a7 04000901 04000040 .b....@........@ 45cb0 b404000a 000001ed 01040000 40bd0400 ............@... 45cc0 09010400 0040ca04 000a0000 01a10104 .....@.......... 45cd0 000040d3 0400025f 776d695f 7376635f ..@...._wmi_svc_ 45ce0 61706973 002c0000 421b035f 574d495f apis.,..B.._WMI_ 45cf0 496e6974 00000040 78022300 035f574d Init...@x.#.._WM 45d00 495f5265 67697374 65724469 73706174 I_RegisterDispat 45d10 63685461 626c6500 000040a0 02230403 chTable...@..#.. 45d20 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. 45d30 000040ad 02230803 5f574d49 5f53656e ..@..#.._WMI_Sen 45d40 64457665 6e740000 0040b602 230c035f dEvent...@..#.._ 45d50 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv 45d60 656e7473 436f756e 74000000 40c30223 entsCount...@..# 45d70 10035f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl 45d80 65746548 616e646c 65720000 00392a02 eteHandler...9*. 45d90 2314035f 574d495f 47657443 6f6e7472 #.._WMI_GetContr 45da0 6f6c4570 00000040 c3022318 035f574d olEp...@..#.._WM 45db0 495f5368 7574646f 776e0000 0040cc02 I_Shutdown...@.. 45dc0 231c035f 574d495f 52656376 4d657373 #.._WMI_RecvMess 45dd0 61676548 616e646c 65720000 00392102 ageHandler...9!. 45de0 2320035f 574d495f 53657276 69636543 # ._WMI_ServiceC 45df0 6f6e6e65 63740000 0040d902 23240370 onnect...@..#$.p 45e00 52657365 72766564 00000002 32022328 Reserved....2.#( 45e10 00027a73 446d6144 65736300 14000042 ..zsDmaDesc....B 45e20 9d036374 726c0000 0001b502 23000373 ..ctrl......#..s 45e30 74617475 73000000 01b50223 0203746f tatus......#..to 45e40 74616c4c 656e0000 0001b502 23040364 talLen......#..d 45e50 61746153 697a6500 000001b5 02230603 ataSize......#.. 45e60 6c617374 41646472 00000042 9d022308 lastAddr...B..#. 45e70 03646174 61416464 72000000 1a290223 .dataAddr....).# 45e80 0c036e65 78744164 64720000 00429d02 ..nextAddr...B.. 45e90 23100004 0000421b 04000400 00421b04 #.....B......B.. 45ea0 00027a73 446d6151 75657565 00080000 ..zsDmaQueue.... 45eb0 42dd0368 65616400 000042a4 02230003 B..head...B..#.. 45ec0 7465726d 696e6174 6f720000 0042a402 terminator...B.. 45ed0 23040002 7a735478 446d6151 75657565 #...zsTxDmaQueue 45ee0 00100000 43410368 65616400 000042a4 ....CA.head...B. 45ef0 02230003 7465726d 696e6174 6f720000 .#..terminator.. 45f00 0042a402 23040378 6d697465 645f6275 .B..#..xmited_bu 45f10 665f6865 61640000 00032902 23080378 f_head....).#..x 45f20 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. 45f30 00032902 230c0009 01040000 43410400 ..).#.......CA.. 45f40 04000042 ab040009 01040000 43510400 ...B........CQ.. 45f50 04000042 dd040009 01040000 43610400 ...B........Ca.. 45f60 09010400 00436a04 00090104 00004373 .....Cj.......Cs 45f70 04000a00 00032901 04000043 7c040009 ......)....C|... 45f80 01040000 43890400 0a000003 29010400 ....C.......)... 45f90 00439204 00090104 0000439f 04000a00 .C........C..... 45fa0 0001ed01 04000043 a804000a 000042a4 .......C......B. 45fb0 01040000 43b50400 09010400 0043c204 ....C........C.. 45fc0 0002646d 615f656e 67696e65 5f617069 ..dma_engine_api 45fd0 00400000 4538035f 696e6974 00000043 .@..E8._init...C 45fe0 43022300 035f696e 69745f72 785f7175 C.#.._init_rx_qu 45ff0 65756500 00004353 02230403 5f696e69 eue...CS.#.._ini 46000 745f7478 5f717565 75650000 00436302 t_tx_queue...Cc. 46010 2308035f 636f6e66 69675f72 785f7175 #.._config_rx_qu 46020 65756500 0000436c 02230c03 5f786d69 eue...Cl.#.._xmi 46030 745f6275 66000000 43750223 10035f66 t_buf...Cu.#.._f 46040 6c757368 5f786d69 74000000 43530223 lush_xmit...CS.# 46050 14035f72 6561705f 72656376 5f627566 .._reap_recv_buf 46060 00000043 82022318 035f7265 7475726e ...C..#.._return 46070 5f726563 765f6275 66000000 438b0223 _recv_buf...C..# 46080 1c035f72 6561705f 786d6974 65645f62 .._reap_xmited_b 46090 75660000 00439802 2320035f 73776170 uf...C..# ._swap 460a0 5f646174 61000000 43a10223 24035f68 _data...C..#$._h 460b0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets 460c0 00000043 ae022328 035f6465 73635f64 ...C..#(._desc_d 460d0 756d7000 00004353 02232c03 5f676574 ump...CS.#,._get 460e0 5f706163 6b657400 000043bb 02233003 _packet...C..#0. 460f0 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. 46100 000043c4 02233403 5f707574 5f706163 ..C..#4._put_pac 46110 6b657400 000043c4 02233803 70526573 ket...C..#8.pRes 46120 65727665 64000000 02320223 3c00065f erved....2.#<.._ 46130 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 46140 696f6e5f 7461626c 655f7400 00003077 ion_table_t...0w 46150 06574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... 46160 40e0135f 415f6d61 67706965 5f696e64 @.._A_magpie_ind 46170 69726563 74696f6e 5f746162 6c650003 irection_table.. 46180 4c000046 6603636d 6e6f7300 00004538 L..Ff.cmnos...E8 46190 02230003 64626700 00001c4b 0323b803 .#..dbg....K.#.. 461a0 03686966 00000018 6d0323c0 03036874 .hif....m.#...ht 461b0 63000000 3a930323 f8030377 6d695f73 c...:..#...wmi_s 461c0 76635f61 70690000 00455a03 23ac0403 vc_api...EZ.#... 461d0 75736266 69666f5f 61706900 0000324b usbfifo_api...2K 461e0 0323d804 03627566 5f706f6f 6c000000 .#...buf_pool... 461f0 36f40323 e4040376 62756600 00000353 6..#...vbuf....S 46200 03238005 03766465 73630000 00023503 .#...vdesc....5. 46210 23940503 616c6c6f 6372616d 00000021 #...allocram...! 46220 8f0323a8 0503646d 615f656e 67696e65 ..#...dma_engine 46230 00000043 cb0323b4 0503646d 615f6c69 ...C..#...dma_li 46240 62000000 2bd60323 f4050368 69665f70 b...+..#...hif_p 46250 63690000 002e3603 23a80600 1a706369 ci....6.#....pci 46260 5f736300 00002cf2 05030050 0708010a _sc...,....P.... 46270 000003fa 01040000 03fa0400 0901065f ..............._ 46280 415f6d61 67706965 5f696e64 69726563 A_magpie_indirec 46290 74696f6e 5f746162 6c655f74 00000045 tion_table_t...E 462a0 6c070000 044f1900 0046b808 18000400 l....O...F...... 462b0 0046ab04 00070000 044f0b00 0046cc08 .F.......O...F.. 462c0 0a000400 0046bf04 00070000 044f1300 .....F.......O.. 462d0 0046e008 12000400 0046d304 00070000 .F.......F...... 462e0 044f0f00 0046f408 0e000400 0046e704 .O...F.......F.. 462f0 00070000 044f1000 00470808 0f000400 .....O...G...... 46300 0046fb04 000a0000 01ed0107 0000044f .F.............O 46310 15000047 22081400 04000047 15040009 ...G"......G.... 46320 01040000 186d0400 09010400 00470f04 .....m.......G.. 46330 00040000 47290400 0400002e 36040009 ....G)......6... 46340 011b0131 5f5f7063 695f7265 675f7265 ...1__pci_reg_re 46350 61640000 0003fa01 01039201 20029000 ad.......... ... 46360 008e2f94 008e2f9e 00004785 1c013161 ../.../...G...1a 46370 64647200 000003fa 0152001d 01375f5f ddr......R...7__ 46380 7063695f 7265675f 77726974 65000101 pci_reg_write... 46390 03920120 02900000 8e2fa000 8e2faa00 ... ...../.../.. 463a0 0047c91c 01376164 64720000 0003fa01 .G...7addr...... 463b0 521c0137 76616c00 000003fa 0153001b R..7val......S.. 463c0 013d5f5f 7063695f 6765745f 70697065 .=__pci_get_pipe 463d0 00000001 a1010103 92012002 9000008e .......... ..... 463e0 2fac008e 2fd30000 48021c01 3d656e67 /.../...H...=eng 463f0 0000002b 1b015200 1b01525f 5f706369 ...+..R...R__pci 46400 5f676574 5f74785f 656e6700 00002b1b _get_tx_eng...+. 46410 01010392 01200290 00008e2f d4008e2f ..... ...../.../ 46420 e8000048 3e1c0152 70697065 0000002d ...H>..Rpipe...- 46430 75015200 1b01605f 5f706369 5f676574 u.R...`__pci_get 46440 5f72785f 656e6700 00002b1b 01010392 _rx_eng...+..... 46450 01200290 00008e2f e8008e30 0b000048 . ...../...0...H 46460 7a1c0160 70697065 0000002e 12015200 z..`pipe......R. 46470 1d01765f 5f706369 5f656e61 626c6500 ..v__pci_enable. 46480 01010392 01200290 00008e30 0c008e30 ..... .....0...0 46490 22000048 ac1e725f 64617461 00000003 "..H..r_data.... 464a0 fa001d01 875f5f70 63695f72 65736574 .....__pci_reset 464b0 00010103 92013002 9000008e 3024008e ......0.....0$.. 464c0 30d80000 48e01f72 5f646174 61000000 0...H..r_data... 464d0 03fa0291 50002001 b95f5f70 63695f62 ....P. ..__pci_b 464e0 6f6f745f 696e6974 00010103 92012002 oot_init...... . 464f0 9000008e 30d8008e 310d1b01 cd5f5f70 ....0...1....__p 46500 63695f69 6e697400 000017ca 01010392 ci_init......... 46510 01200290 00008e31 10008e31 63000049 . .....1...1c..I 46520 3d1c01cd 70436f6e 66696700 000017ec =...pConfig..... 46530 0152001d 01ea5f5f 7063695f 6366675f .R....__pci_cfg_ 46540 70697065 00010103 92012002 9000008e pipe...... ..... 46550 3164008e 319e0000 49a91c01 ea68646c 1d..1...I....hdl 46560 00000017 ca01521c 01ea7069 70650000 ......R...pipe.. 46570 0001ed01 531c01ea 6e756d5f 64657363 ....S...num_desc 46580 00000001 ed01541e 656e6700 00002b1b ......T.eng...+. 46590 1e646573 635f6c65 6e000000 01cb0021 .desc_len......! 465a0 0101005f 5f706369 5f737461 72740001 ...__pci_start.. 465b0 01039201 20029000 008e31a0 008e31a5 .... .....1...1. 465c0 000049dd 22010100 68646c00 000017ca ..I."...hdl..... 465d0 01520021 01010b5f 5f706369 5f726567 .R.!...__pci_reg 465e0 5f63616c 6c626163 6b000101 03920120 _callback...... 465f0 02900000 8e31a800 8e31bc00 004a2d22 .....1...1...J-" 46600 01010b68 646c0000 0017ca01 52220101 ...hdl......R".. 46610 0b737700 0000181b 01531e73 63000000 .sw......S.sc... 46620 2d050021 01011b5f 5f706369 5f726561 -..!...__pci_rea 46630 705f786d 69747465 64000101 03920120 p_xmitted...... 46640 02900000 8e31bc00 8e31e800 004a8c22 .....1...1...J." 46650 01011b73 63000000 2d050152 2201011b ...sc...-..R"... 46660 656e675f 6e6f0000 002b1b01 531e7662 eng_no...+..S.vb 46670 75660000 0003291e 70697065 00000001 uf....).pipe.... 46680 a1002101 01345f5f 7063695f 72656170 ..!..4__pci_reap 46690 5f726563 76000101 03920120 02900000 _recv...... .... 466a0 8e31e800 8e321000 004ade22 01013473 .1...2...J."..4s 466b0 63000000 2d050152 22010134 656e675f c...-..R"..4eng_ 466c0 6e6f0000 002b1b01 531e7662 75660000 no...+..S.vbuf.. 466d0 00032900 21010145 5f5f7063 695f6973 ..).!..E__pci_is 466e0 725f6861 6e646c65 72000101 03920120 r_handler...... 466f0 02900000 8e321000 8e32af00 004b2a22 .....2...2...K*" 46700 01014568 646c0000 0017ca01 521e7363 ..Ehdl......R.sc 46710 0000002d 051e6d6f 72650000 0001cb00 ...-..more...... 46720 23010171 5f5f7063 695f786d 69745f62 #..q__pci_xmit_b 46730 75660000 0001ed01 01039201 20029000 uf.......... ... 46740 008e32b0 008e32da 00004b8c 22010171 ..2...2...K."..q 46750 68646c00 000017ca 01522201 01717069 hdl......R"..qpi 46760 70650000 0001ed01 53220101 71766275 pe......S"..qvbu 46770 66000000 03290154 1e656e67 0000002b f....).T.eng...+ 46780 1b002101 01865f5f 7063695f 72657475 ..!...__pci_retu 46790 726e5f72 65637600 01010392 01200290 rn_recv...... .. 467a0 00008e32 dc008e32 f700004b ec220101 ...2...2...K.".. 467b0 8668646c 00000017 ca015222 01018670 .hdl......R"...p 467c0 69706500 000001ed 01532201 01866275 ipe......S"...bu 467d0 66000000 03290154 1e656e67 0000002b f....).T.eng...+ 467e0 1b002301 019a5f5f 7063695f 69735f70 ..#...__pci_is_p 467f0 6970655f 73757070 6f727465 64000000 ipe_supported... 46800 01ed0101 03920120 02900000 8e32f800 ....... .....2.. 46810 8e330600 004c3f22 01019a68 646c0000 .3...L?"...hdl.. 46820 0017ca01 52220101 9a706970 65000000 ....R"...pipe... 46830 01ed0153 00230101 aa5f5f70 63695f67 ...S.#...__pci_g 46840 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. 46850 0001ed01 01039201 20029000 008e3308 ........ .....3. 46860 008e3316 00004c90 220101aa 68646c00 ..3...L."...hdl. 46870 000017ca 01522201 01aa7069 70650000 .....R"...pipe.. 46880 0001ed01 53002301 01b95f5f 7063695f ....S.#...__pci_ 46890 6765745f 72657365 72766564 5f686561 get_reserved_hea 468a0 64726f6f 6d000000 01ed0101 03920120 droom.......... 468b0 02900000 8e331800 8e331f00 004cd822 .....3...3...L." 468c0 0101b968 646c0000 0017ca01 52002101 ...hdl......R.!. 468d0 01c35f5f 7063695f 73687574 646f776e ..__pci_shutdown 468e0 00010103 92012002 9000008e 3320008e ...... .....3 .. 468f0 33250000 4d0f2201 01c36864 6c000000 3%..M."...hdl... 46900 17ca0152 00210101 c95f5f70 63695f67 ...R.!...__pci_g 46910 65745f64 65665f70 69706500 01010392 et_def_pipe..... 46920 01200290 00008e33 28008e33 3500004d . .....3(..35..M 46930 71220101 c968616e 646c6500 000017ca q"...handle..... 46940 01522201 01c97069 70655f72 78000000 .R"...pipe_rx... 46950 01ae0153 220101c9 70697065 5f747800 ...S"...pipe_tx. 46960 000001ae 01540021 0101d468 69665f70 .....T.!...hif_p 46970 63695f6d 6f64756c 655f696e 7374616c ci_module_instal 46980 6c000101 03920120 02900000 8e333800 l...... .....38. 46990 8e337900 004db122 0101d461 70697300 .3y..M."...apis. 469a0 0000472b 01520024 0101e668 69665f70 ..G+.R.$...hif_p 469b0 63695f61 70695f69 6e737461 6c6c0001 ci_api_install.. 469c0 01039201 20029000 008e337c 008e33ae .... .....3|..3. 469d0 220101e6 61706973 00000047 42015200 "...apis...GB.R. 469e0 00000000 4f6f0002 000019a8 04012f72 ....Oo......../r 469f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 46a00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 46a10 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 46a20 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 46a30 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 46a40 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ 46a50 7573622f 7372632f 4849465f 7573622e usb/src/HIF_usb. 46a60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 46a70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 46a80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 46a90 2f726f6d 2f686966 2f757362 0078742d /rom/hif/usb.xt- 46aa0 78636320 666f7220 372e312e 30202d4f xcc for 7.1.0 -O 46ab0 50543a61 6c69676e 5f696e73 74727563 PT:align_instruc 46ac0 74696f6e 733d3332 202d4f32 202d6733 tions=32 -O2 -g3 46ad0 202d4f50 543a7370 61636500 01000000 -OPT:space..... 46ae0 f41b0201 03000001 00040004 696e7400 ............int. 46af0 05040463 68617200 07010500 00011005 ...char......... 46b00 00000110 03000001 1d040006 00000109 ................ 46b10 01030000 01290400 07707269 6e74665f .....)...printf_ 46b20 61706900 08000001 6d085f70 72696e74 api.....m._print 46b30 665f696e 69740000 00010202 2300085f f_init......#.._ 46b40 7072696e 74660000 00012f02 23040004 printf..../.#... 46b50 73686f72 7420756e 7369676e 65642069 short unsigned i 46b60 6e740007 02097569 6e743136 5f740000 nt....uint16_t.. 46b70 00016d04 6c6f6e67 20756e73 69676e65 ..m.long unsigne 46b80 6420696e 74000704 0975696e 7433325f d int....uint32_ 46b90 74000000 01910775 6172745f 6669666f t......uart_fifo 46ba0 00080000 01ff0873 74617274 5f696e64 .......start_ind 46bb0 65780000 00018302 23000865 6e645f69 ex......#..end_i 46bc0 6e646578 00000001 83022302 086f7665 ndex......#..ove 46bd0 7272756e 5f657272 00000001 a6022304 rrun_err......#. 46be0 00077561 72745f61 70690020 000002b8 ..uart_api. .... 46bf0 085f7561 72745f69 6e697400 0000030f ._uart_init..... 46c00 02230008 5f756172 745f6368 61725f70 .#.._uart_char_p 46c10 75740000 00033602 2304085f 75617274 ut....6.#.._uart 46c20 5f636861 725f6765 74000000 034a0223 _char_get....J.# 46c30 08085f75 6172745f 7374725f 6f757400 .._uart_str_out. 46c40 00000353 02230c08 5f756172 745f7461 ...S.#.._uart_ta 46c50 736b0000 00010202 2310085f 75617274 sk......#.._uart 46c60 5f737461 74757300 0000030f 02231408 _status......#.. 46c70 5f756172 745f636f 6e666967 00000003 _uart_config.... 46c80 5c022318 085f7561 72745f68 77696e69 \.#.._uart_hwini 46c90 74000000 03650223 1c000300 0001ff04 t....e.#........ 46ca0 00077561 72745f62 6c6b0010 00000309 ..uart_blk...... 46cb0 08646562 75675f6d 6f646500 00000183 .debug_mode..... 46cc0 02230008 62617564 00000001 83022302 .#..baud......#. 46cd0 085f7561 72740000 0002b802 2304085f ._uart......#.._ 46ce0 74780000 0001b402 23080006 000001a6 tx......#....... 46cf0 01030000 03090400 04756e73 69676e65 .........unsigne 46d00 64206368 61720007 01097569 6e74385f d char....uint8_ 46d10 74000000 03160201 03000003 34040003 t...........4... 46d20 00000327 04000600 00018301 03000003 ...'............ 46d30 44040002 01030000 03510400 02010300 D........Q...... 46d40 00035a04 00020103 00000363 04000300 ..Z........c.... 46d50 00011004 00060000 01090103 00000373 ...............s 46d60 04000744 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST 46d70 52554354 000c0000 03cb0863 6d645f73 RUCT.......cmd_s 46d80 74720000 00036c02 23000868 656c705f tr....l.#..help_ 46d90 73747200 0000036c 02230408 636d645f str....l.#..cmd_ 46da0 66756e63 00000003 79022308 00076462 func....y.#...db 46db0 675f6170 69000800 0003fe08 5f646267 g_api......._dbg 46dc0 5f696e69 74000000 01020223 00085f64 _init......#.._d 46dd0 62675f74 61736b00 00000102 02230400 bg_task......#.. 46de0 0a040004 756e7369 676e6564 20696e74 ....unsigned int 46df0 00070406 000003fe 01030000 04110400 ................ 46e00 0b0b0300 00041f04 00060000 03fe0103 ................ 46e10 00000427 04000600 00010901 03000004 ...'............ 46e20 34040007 6d656d5f 61706900 14000004 4...mem_api..... 46e30 a3085f6d 656d5f69 6e697400 00000102 .._mem_init..... 46e40 02230008 5f6d656d 73657400 00000417 .#.._memset..... 46e50 02230408 5f6d656d 63707900 0000042d .#.._memcpy....- 46e60 02230808 5f6d656d 6d6f7665 00000004 .#.._memmove.... 46e70 2d02230c 085f6d65 6d636d70 00000004 -.#.._memcmp.... 46e80 3a022310 000c7265 67697374 65725f64 :.#...register_d 46e90 756d705f 73000001 03000004 a3040002 ump_s........... 46ea0 01030000 04bd0400 02010300 0004c604 ................ 46eb0 00060000 01090103 000004cf 04000d68 ...............h 46ec0 6f737469 665f7300 04000005 2b0e4849 ostif_s.....+.HI 46ed0 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE 46ee0 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI 46ef0 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. 46f00 040e4849 465f4e4f 4e450005 0009415f ..HIF_NONE....A_ 46f10 484f5354 49460000 0004dc06 0000052b HOSTIF.........+ 46f20 01030000 05390400 06000003 27010300 .....9......'... 46f30 00054604 00060000 01830103 00000553 ..F............S 46f40 0400076d 6973635f 61706900 24000006 ...misc_api.$... 46f50 43085f73 79737465 6d5f7265 73657400 C._system_reset. 46f60 00000102 02230008 5f6d6163 5f726573 .....#.._mac_res 46f70 65740000 00010202 2304085f 61737366 et......#.._assf 46f80 61696c00 000004bf 02230808 5f6d6973 ail......#.._mis 46f90 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han 46fa0 646c6572 00000004 bf02230c 085f7265 dler......#.._re 46fb0 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ 46fc0 686f7374 00000004 c8022310 085f7461 host......#.._ta 46fd0 72676574 5f69645f 67657400 000004d5 rget_id_get..... 46fe0 02231408 5f69735f 686f7374 5f707265 .#.._is_host_pre 46ff0 73656e74 00000005 3f022318 085f6b62 sent....?.#.._kb 47000 68697400 0000054c 02231c08 5f726f6d hit....L.#.._rom 47010 5f766572 73696f6e 5f676574 00000005 _version_get.... 47020 59022320 00060000 036c0103 00000643 Y.# .....l.....C 47030 04000600 00036c01 03000006 50040006 ......l.....P... 47040 00000109 01030000 065d0400 06000001 .........]...... 47050 09010300 00066a04 00060000 01090103 ......j......... 47060 00000677 04000773 7472696e 675f6170 ...w...string_ap 47070 69001800 0006fd08 5f737472 696e675f i......._string_ 47080 696e6974 00000001 02022300 085f7374 init......#.._st 47090 72637079 00000006 49022304 085f7374 rcpy....I.#.._st 470a0 726e6370 79000000 06560223 08085f73 rncpy....V.#.._s 470b0 74726c65 6e000000 06630223 0c085f73 trlen....c.#.._s 470c0 7472636d 70000000 06700223 10085f73 trcmp....p.#.._s 470d0 74726e63 6d700000 00067d02 2314000f trncmp....}.#... 470e0 00000401 14000007 0a100400 095f415f ............._A_ 470f0 54494d45 525f5350 41434500 000006fd TIMER_SPACE..... 47100 09415f74 696d6572 5f740000 00070a03 .A_timer_t...... 47110 0000071e 04000201 03000007 34040002 ............4... 47120 01030000 073d0400 09415f48 414e444c .....=...A_HANDL 47130 45000000 04010201 09415f54 494d4552 E........A_TIMER 47140 5f46554e 43000000 07540300 00075604 _FUNC....T....V. 47150 00020103 0000076f 04000774 696d6572 .......o...timer 47160 5f617069 00140000 07ee085f 74696d65 _api......._time 47170 725f696e 69740000 00010202 2300085f r_init......#.._ 47180 74696d65 725f6172 6d000000 07360223 timer_arm....6.# 47190 04085f74 696d6572 5f646973 61726d00 .._timer_disarm. 471a0 0000073f 02230808 5f74696d 65725f73 ...?.#.._timer_s 471b0 6574666e 00000007 7102230c 085f7469 etfn....q.#.._ti 471c0 6d65725f 72756e00 00000102 02231000 mer_run......#.. 471d0 09424f4f 4c45414e 00000001 83060000 .BOOLEAN........ 471e0 07ee0103 000007fb 04000600 0007ee01 ................ 471f0 03000008 08040006 000007ee 01030000 ................ 47200 08150400 07726f6d 705f6170 69001000 .....romp_api... 47210 00088708 5f726f6d 705f696e 69740000 ...._romp_init.. 47220 00010202 2300085f 726f6d70 5f646f77 ....#.._romp_dow 47230 6e6c6f61 64000000 08010223 04085f72 nload......#.._r 47240 6f6d705f 696e7374 616c6c00 0000080e omp_install..... 47250 02230808 5f726f6d 705f6465 636f6465 .#.._romp_decode 47260 00000008 1b02230c 0007726f 6d5f7061 ......#...rom_pa 47270 7463685f 73740010 000008e3 08637263 tch_st.......crc 47280 31360000 00018302 2300086c 656e0000 16......#..len.. 47290 00018302 2302086c 645f6164 64720000 ....#..ld_addr.. 472a0 0001a602 23040866 756e5f61 64647200 ....#..fun_addr. 472b0 000001a6 02230808 7066756e 00000003 .....#..pfun.... 472c0 3d02230c 00076565 705f7265 6469725f =.#...eep_redir_ 472d0 61646472 00040000 0915086f 66667365 addr.......offse 472e0 74000000 01830223 00087369 7a650000 t......#..size.. 472f0 00018302 23020009 415f5549 4e543332 ....#...A_UINT32 47300 00000004 01060000 03fe0103 00000923 ...............# 47310 04000761 6c6c6f63 72616d5f 61706900 ...allocram_api. 47320 0c000009 9408636d 6e6f735f 616c6c6f ......cmnos_allo 47330 6372616d 5f696e69 74000000 09290223 cram_init....).# 47340 0008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 47350 00000009 29022304 08636d6e 6f735f61 ....).#..cmnos_a 47360 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... 47370 01020223 08000201 03000009 94040009 ...#............ 47380 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. 47390 00099607 5f746173 6b6c6574 00100000 ...._tasklet.... 473a0 09f50866 756e6300 0000099d 02230008 ...func......#.. 473b0 61726700 000003fe 02230408 73746174 arg......#..stat 473c0 65000000 01090223 08086e65 78740000 e......#..next.. 473d0 0009f502 230c0003 000009b1 04000300 ....#........... 473e0 0009b104 0009415f 7461736b 6c65745f ......A_tasklet_ 473f0 74000000 09b10300 000a0304 00020103 t............... 47400 00000a1b 04000201 0300000a 24040007 ............$... 47410 7461736b 6c65745f 61706900 1400000a tasklet_api..... 47420 b9085f74 61736b6c 65745f69 6e697400 .._tasklet_init. 47430 00000102 02230008 5f746173 6b6c6574 .....#.._tasklet 47440 5f696e69 745f7461 736b0000 000a1d02 _init_task...... 47450 2304085f 7461736b 6c65745f 64697361 #.._tasklet_disa 47460 626c6500 00000a26 02230808 5f746173 ble....&.#.._tas 47470 6b6c6574 5f736368 6564756c 65000000 klet_schedule... 47480 0a260223 0c085f74 61736b6c 65745f72 .&.#.._tasklet_r 47490 756e0000 00010202 23100002 01030000 un......#....... 474a0 0ab90400 06000009 15010300 000ac204 ................ 474b0 00020103 00000acf 04000763 6c6f636b ...........clock 474c0 5f617069 00240000 0bb1085f 636c6f63 _api.$....._cloc 474d0 6b5f696e 69740000 000abb02 2300085f k_init......#.._ 474e0 636c6f63 6b726567 735f696e 69740000 clockregs_init.. 474f0 00010202 2304085f 75617274 5f667265 ....#.._uart_fre 47500 7175656e 63790000 000ac802 2308085f quency......#.._ 47510 64656c61 795f7573 0000000a d102230c delay_us......#. 47520 085f776c 616e5f62 616e645f 73657400 ._wlan_band_set. 47530 00000ad1 02231008 5f726566 636c6b5f .....#.._refclk_ 47540 73706565 645f6765 74000000 0ac80223 speed_get......# 47550 14085f6d 696c6c69 7365636f 6e647300 .._milliseconds. 47560 00000ac8 02231808 5f737973 636c6b5f .....#.._sysclk_ 47570 6368616e 67650000 00010202 231c085f change......#.._ 47580 636c6f63 6b5f7469 636b0000 00010202 clock_tick...... 47590 23200006 000001a6 01030000 0bb10400 # .............. 475a0 09415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... 475b0 01a60600 000bbe01 0300000b d0040002 ................ 475c0 01030000 0bdd0400 02010300 000be604 ................ 475d0 00060000 01a60103 00000bef 04000941 ...............A 475e0 5f697372 5f740000 000bf502 01030000 _isr_t.......... 475f0 0c090400 06000004 01010300 000c1204 ................ 47600 00020103 00000c1f 04000769 6e74725f ...........intr_ 47610 61706900 2c00000d 41085f69 6e74725f api.,...A._intr_ 47620 696e6974 00000001 02022300 085f696e init......#.._in 47630 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... 47640 0bb70223 04085f69 6e74725f 64697361 ...#.._intr_disa 47650 626c6500 00000bd6 02230808 5f696e74 ble......#.._int 47660 725f7265 73746f72 65000000 0bdf0223 r_restore......# 47670 0c085f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu 47680 6d000000 0be80223 10085f69 6e74725f m......#.._intr_ 47690 756e6d61 736b5f69 6e756d00 00000be8 unmask_inum..... 476a0 02231408 5f696e74 725f6174 74616368 .#.._intr_attach 476b0 5f697372 0000000c 0b022318 085f6765 _isr......#.._ge 476c0 745f696e 7472656e 61626c65 0000000c t_intrenable.... 476d0 1802231c 085f7365 745f696e 7472656e ..#.._set_intren 476e0 61626c65 0000000c 21022320 085f6765 able....!.# ._ge 476f0 745f696e 74727065 6e64696e 67000000 t_intrpending... 47700 0c180223 24085f75 6e626c6f 636b5f61 ...#$._unblock_a 47710 6c6c5f69 6e74726c 766c0000 00010202 ll_intrlvl...... 47720 23280011 0400000d 67087469 6d656f75 #(......g.timeou 47730 74000000 01a60223 00086163 74696f6e t......#..action 47740 00000001 a6022300 00120800 000d8208 ......#......... 47750 636d6400 000001a6 02230013 00000d41 cmd......#.....A 47760 02230400 09545f57 44545f43 4d440000 .#...T_WDT_CMD.. 47770 000d6702 01030000 0d910400 14040000 ..g............. 47780 0de70e45 4e554d5f 5744545f 424f4f54 ...ENUM_WDT_BOOT 47790 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO 477a0 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO 477b0 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW 477c0 4e5f424f 4f540004 0009545f 424f4f54 N_BOOT....T_BOOT 477d0 5f545950 45000000 0d9a0600 000de701 _TYPE........... 477e0 0300000d f8040007 7764745f 61706900 ........wdt_api. 477f0 1c00000e 9c085f77 64745f69 6e697400 ......_wdt_init. 47800 00000102 02230008 5f776474 5f656e61 .....#.._wdt_ena 47810 626c6500 00000102 02230408 5f776474 ble......#.._wdt 47820 5f646973 61626c65 00000001 02022308 _disable......#. 47830 085f7764 745f7365 74000000 0d930223 ._wdt_set......# 47840 0c085f77 64745f74 61736b00 00000102 .._wdt_task..... 47850 02231008 5f776474 5f726573 65740000 .#.._wdt_reset.. 47860 00010202 2314085f 7764745f 6c617374 ....#.._wdt_last 47870 5f626f6f 74000000 0dfe0223 18001404 _boot......#.... 47880 00000f03 0e524554 5f535543 43455353 .....RET_SUCCESS 47890 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. 478a0 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. 478b0 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP 478c0 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER 478d0 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO 478e0 574e0005 0009545f 4545505f 52455400 WN....T_EEP_RET. 478f0 00000e9c 03000001 83040006 00000f03 ................ 47900 01030000 0f190400 0600000f 03010300 ................ 47910 000f2604 00076565 705f6170 69001000 ..&...eep_api... 47920 000f8f08 5f656570 5f696e69 74000000 ...._eep_init... 47930 01020223 00085f65 65705f72 65616400 ...#.._eep_read. 47940 00000f1f 02230408 5f656570 5f777269 .....#.._eep_wri 47950 74650000 000f1f02 2308085f 6565705f te......#.._eep_ 47960 69735f65 78697374 0000000f 2c02230c is_exist....,.#. 47970 00077573 625f6170 69007000 00123c08 ..usb_api.p...<. 47980 5f757362 5f696e69 74000000 01020223 _usb_init......# 47990 00085f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. 479a0 00000102 02230408 5f757362 5f66775f .....#.._usb_fw_ 479b0 7461736b 00000001 02022308 085f7573 task......#.._us 479c0 625f696e 69745f70 68790000 00010202 b_init_phy...... 479d0 230c085f 7573625f 6570305f 73657475 #.._usb_ep0_setu 479e0 70000000 01020223 10085f75 73625f65 p......#.._usb_e 479f0 70305f74 78000000 01020223 14085f75 p0_tx......#.._u 47a00 73625f65 70305f72 78000000 01020223 sb_ep0_rx......# 47a10 18085f75 73625f67 65745f69 6e746572 .._usb_get_inter 47a20 66616365 00000008 0e02231c 085f7573 face......#.._us 47a30 625f7365 745f696e 74657266 61636500 b_set_interface. 47a40 0000080e 02232008 5f757362 5f676574 .....# ._usb_get 47a50 5f636f6e 66696775 72617469 6f6e0000 _configuration.. 47a60 00080e02 2324085f 7573625f 7365745f ....#$._usb_set_ 47a70 636f6e66 69677572 6174696f 6e000000 configuration... 47a80 080e0223 28085f75 73625f73 74616e64 ...#(._usb_stand 47a90 6172645f 636d6400 0000080e 02232c08 ard_cmd......#,. 47aa0 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. 47ab0 00000102 02233008 5f757362 5f706f77 .....#0._usb_pow 47ac0 65725f6f 66660000 00010202 2334085f er_off......#4._ 47ad0 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. 47ae0 00010202 2338085f 7573625f 67656e5f ....#8._usb_gen_ 47af0 77647400 00000102 02233c08 5f757362 wdt......#<._usb 47b00 5f6a756d 705f626f 6f740000 00010202 _jump_boot...... 47b10 2340085f 7573625f 636c725f 66656174 #@._usb_clr_feat 47b20 75726500 0000080e 02234408 5f757362 ure......#D._usb 47b30 5f736574 5f666561 74757265 00000008 _set_feature.... 47b40 0e022348 085f7573 625f7365 745f6164 ..#H._usb_set_ad 47b50 64726573 73000000 080e0223 4c085f75 dress......#L._u 47b60 73625f67 65745f64 65736372 6970746f sb_get_descripto 47b70 72000000 080e0223 50085f75 73625f67 r......#P._usb_g 47b80 65745f73 74617475 73000000 080e0223 et_status......# 47b90 54085f75 73625f73 65747570 5f646573 T._usb_setup_des 47ba0 63000000 01020223 58085f75 73625f72 c......#X._usb_r 47bb0 65675f6f 75740000 00010202 235c085f eg_out......#\._ 47bc0 7573625f 73746174 75735f69 6e000000 usb_status_in... 47bd0 01020223 60085f75 73625f65 70305f74 ...#`._usb_ep0_t 47be0 785f6461 74610000 00010202 2364085f x_data......#d._ 47bf0 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. 47c00 00000102 02236808 5f757362 5f636c6b .....#h._usb_clk 47c10 5f696e69 74000000 01020223 6c00075f _init......#l.._ 47c20 56444553 43002400 0012c808 6e657874 VDESC.$.....next 47c30 5f646573 63000000 12c80223 00086275 _desc......#..bu 47c40 665f6164 64720000 0012dc02 23040862 f_addr......#..b 47c50 75665f73 697a6500 000012e3 02230808 uf_size......#.. 47c60 64617461 5f6f6666 73657400 000012e3 data_offset..... 47c70 02230a08 64617461 5f73697a 65000000 .#..data_size... 47c80 12e30223 0c08636f 6e74726f 6c000000 ...#..control... 47c90 12e30223 0e086877 5f646573 635f6275 ...#..hw_desc_bu 47ca0 66000000 12f10223 10000300 00123c04 f......#......<. 47cb0 0009415f 55494e54 38000000 03160300 ..A_UINT8....... 47cc0 0012cf04 0009415f 55494e54 31360000 ......A_UINT16.. 47cd0 00016d0f 000012cf 14000012 fe101300 ..m............. 47ce0 03000012 3c040009 56444553 43000000 ....<...VDESC... 47cf0 123c0300 00130504 00060000 13100103 .<.............. 47d00 00001317 04000600 0012dc01 03000013 ................ 47d10 24040002 01030000 13310400 07766465 $........1...vde 47d20 73635f61 70690014 000013a9 085f696e sc_api......._in 47d30 69740000 000ad102 2300085f 616c6c6f it......#.._allo 47d40 635f7664 65736300 0000131d 02230408 c_vdesc......#.. 47d50 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... 47d60 2a022308 085f7377 61705f76 64657363 *.#.._swap_vdesc 47d70 00000013 3302230c 08705265 73657276 ....3.#..pReserv 47d80 65640000 0003fe02 23100007 5f564255 ed......#..._VBU 47d90 46002000 00140908 64657363 5f6c6973 F. .....desc_lis 47da0 74000000 13100223 00086e65 78745f62 t......#..next_b 47db0 75660000 00140902 23040862 75665f6c uf......#..buf_l 47dc0 656e6774 68000000 12e30223 08087265 ength......#..re 47dd0 73657276 65640000 00141002 230a0863 served......#..c 47de0 74780000 0012f102 230c0003 000013a9 tx......#....... 47df0 04000f00 0012cf02 0000141d 10010003 ................ 47e00 000013a9 04000956 42554600 000013a9 .......VBUF..... 47e10 03000014 24040006 0000142e 01030000 ....$........... 47e20 14350400 06000014 2e010300 00144204 .5............B. 47e30 00020103 0000144f 04000776 6275665f .......O...vbuf_ 47e40 61706900 14000014 cd085f69 6e697400 api......._init. 47e50 00000ad1 02230008 5f616c6c 6f635f76 .....#.._alloc_v 47e60 62756600 0000143b 02230408 5f616c6c buf....;.#.._all 47e70 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz 47e80 65000000 14480223 08085f66 7265655f e....H.#.._free_ 47e90 76627566 00000014 5102230c 08705265 vbuf....Q.#..pRe 47ea0 73657276 65640000 0003fe02 23100007 served......#... 47eb0 5f5f6164 665f6465 76696365 00040000 __adf_device.... 47ec0 14ef0864 756d6d79 00000001 09022300 ...dummy......#. 47ed0 00030000 09150400 075f5f61 64665f64 .........__adf_d 47ee0 6d615f6d 6170000c 00001536 08627566 ma_map.....6.buf 47ef0 00000014 2e022300 0864735f 61646472 ......#..ds_addr 47f00 00000014 ef022304 0864735f 6c656e00 ......#..ds_len. 47f10 000012e3 02230800 120c0000 1570085f .....#.......p._ 47f20 5f76615f 73746b00 0000036c 02230008 _va_stk....l.#.. 47f30 5f5f7661 5f726567 00000003 6c022304 __va_reg....l.#. 47f40 085f5f76 615f6e64 78000000 01090223 .__va_ndx......# 47f50 0800095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 47f60 61646472 5f740000 00091509 6164665f addr_t......adf_ 47f70 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 47f80 1570095f 5f616466 5f6f735f 646d615f .p.__adf_os_dma_ 47f90 73697a65 5f740000 00091509 6164665f size_t......adf_ 47fa0 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 47fb0 15a0075f 5f646d61 5f736567 73000800 ...__dma_segs... 47fc0 0015fc08 70616464 72000000 15890223 ....paddr......# 47fd0 00086c65 6e000000 15b90223 0400095f ..len......#..._ 47fe0 5f615f75 696e7433 325f7400 00000915 _a_uint32_t..... 47ff0 09615f75 696e7433 325f7400 000015fc .a_uint32_t..... 48000 0f000015 d0080000 162b1000 00076164 .........+....ad 48010 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 48020 000c0000 1664086e 73656773 00000016 .....d.nsegs.... 48030 0e022300 08646d61 5f736567 73000000 ..#..dma_segs... 48040 161e0223 0400095f 5f615f75 696e7438 ...#...__a_uint8 48050 5f740000 0012cf09 615f7569 6e74385f _t......a_uint8_ 48060 74000000 16640300 00167504 00075f5f t....d....u...__ 48070 73675f73 65677300 08000016 b6087661 sg_segs.......va 48080 64647200 00001684 02230008 6c656e00 ddr......#..len. 48090 0000160e 02230400 0f000016 8b200000 .....#....... .. 480a0 16c31003 00076164 665f6f73 5f73676c ......adf_os_sgl 480b0 69737400 24000016 f6086e73 65677300 ist.$.....nsegs. 480c0 0000160e 02230008 73675f73 65677300 .....#..sg_segs. 480d0 000016b6 02230400 12100000 173f0876 .....#.......?.v 480e0 656e646f 72000000 160e0223 00086465 endor......#..de 480f0 76696365 00000016 0e022304 08737562 vice......#..sub 48100 76656e64 6f720000 00160e02 23080873 vendor......#..s 48110 75626465 76696365 00000016 0e02230c ubdevice......#. 48120 00046c6f 6e67206c 6f6e6720 756e7369 ..long long unsi 48130 676e6564 20696e74 00070809 415f5549 gned int....A_UI 48140 4e543634 00000017 3f095f5f 615f7569 NT64....?.__a_ui 48150 6e743634 5f740000 00175909 615f7569 nt64_t....Y.a_ui 48160 6e743634 5f740000 00176714 04000017 nt64_t....g..... 48170 c50e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC 48180 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF 48190 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP 481a0 455f494f 00010009 6164665f 6f735f72 E_IO....adf_os_r 481b0 65736f75 7263655f 74797065 5f740000 esource_type_t.. 481c0 00178912 18000018 0f087374 61727400 ..........start. 481d0 00001779 02230008 656e6400 00001779 ...y.#..end....y 481e0 02230808 74797065 00000017 c5022310 .#..type......#. 481f0 00096164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev 48200 5f69645f 74000000 16f60300 00180f04 _id_t........... 48210 00110400 00184e08 70636900 00001828 ......N.pci....( 48220 02230008 72617700 000003fe 02230000 .#..raw......#.. 48230 11100000 186d0870 63690000 00180f02 .....m.pci...... 48240 23000872 61770000 0003fe02 23000009 #..raw......#... 48250 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t 48260 00000003 fe096164 665f6f73 5f726573 ......adf_os_res 48270 6f757263 655f7400 000017e1 03000018 ource_t......... 48280 83040009 6164665f 6f735f61 74746163 ....adf_os_attac 48290 685f6461 74615f74 00000018 4e030000 h_data_t....N... 482a0 18a10400 03000014 cd040009 5f5f6164 ............__ad 482b0 665f6f73 5f646576 6963655f 74000000 f_os_device_t... 482c0 18c20961 64665f6f 735f6465 76696365 ...adf_os_device 482d0 5f740000 0018c906 0000186d 01030000 _t.........m.... 482e0 18f50400 02010300 00190204 00096164 ..............ad 482f0 665f6f73 5f706d5f 74000000 03fe0201 f_os_pm_t....... 48300 03000019 1c040014 04000019 5c0e4144 ............\.AD 48310 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC 48320 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T 48330 5950455f 47454e45 52494300 02000961 YPE_GENERIC....a 48340 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t 48350 00000019 25096164 665f6f73 5f627573 ....%.adf_os_bus 48360 5f726567 5f646174 615f7400 0000182f _reg_data_t..../ 48370 03000003 16040007 5f616466 5f647276 ........_adf_drv 48380 5f696e66 6f002000 001a3908 6472765f _info. ...9.drv_ 48390 61747461 63680000 0018fb02 23000864 attach......#..d 483a0 72765f64 65746163 68000000 19040223 rv_detach......# 483b0 04086472 765f7375 7370656e 64000000 ..drv_suspend... 483c0 191e0223 08086472 765f7265 73756d65 ...#..drv_resume 483d0 00000019 0402230c 08627573 5f747970 ......#..bus_typ 483e0 65000000 195c0223 10086275 735f6461 e....\.#..bus_da 483f0 74610000 00197302 2314086d 6f645f6e ta....s.#..mod_n 48400 616d6500 0000198e 02231808 69666e61 ame......#..ifna 48410 6d650000 00198e02 231c0009 6164665f me......#...adf_ 48420 6f735f68 616e646c 655f7400 000003fe os_handle_t..... 48430 03000016 64040002 01020109 5f5f6164 ....d.......__ad 48440 665f6f73 5f73697a 655f7400 00000401 f_os_size_t..... 48450 14040000 1a880e41 5f46414c 53450000 .......A_FALSE.. 48460 0e415f54 52554500 01000961 5f626f6f .A_TRUE....a_boo 48470 6c5f7400 00001a6e 03000014 f6040009 l_t....n........ 48480 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map 48490 5f740000 001a9602 010d6164 665f6f73 _t........adf_os 484a0 5f636163 68655f73 796e6300 0400001b _cache_sync..... 484b0 200e4144 465f5359 4e435f50 52455245 .ADF_SYNC_PRERE 484c0 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR 484d0 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN 484e0 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF 484f0 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. 48500 03000961 64665f6f 735f6361 6368655f ...adf_os_cache_ 48510 73796e63 5f740000 001ab702 01096164 sync_t........ad 48520 665f6f73 5f73697a 655f7400 00001a59 f_os_size_t....Y 48530 0600001b 3b010961 64665f6f 735f646d ....;..adf_os_dm 48540 615f6d61 705f7400 00001a9d 0300001b a_map_t......... 48550 54040006 000003fe 01030000 1a9d0400 T............... 48560 06000003 fe010201 06000015 89010201 ................ 48570 0473686f 72742069 6e740005 0209415f .short int....A_ 48580 494e5431 36000000 1b8e095f 5f615f69 INT16......__a_i 48590 6e743136 5f740000 001b9b09 615f696e nt16_t......a_in 485a0 7431365f 74000000 1ba80473 69676e65 t16_t......signe 485b0 64206368 61720005 0109415f 494e5438 d char....A_INT8 485c0 0000001b c8095f5f 615f696e 74385f74 ......__a_int8_t 485d0 0000001b d709615f 696e7438 5f740000 ......a_int8_t.. 485e0 001be312 0c00001c 5a087375 70706f72 ........Z.suppor 485f0 74656400 0000160e 02230008 61647665 ted......#..adve 48600 7274697a 65640000 00160e02 23040873 rtized......#..s 48610 70656564 0000001b b9022308 08647570 peed......#..dup 48620 6c657800 00001bf3 02230a08 6175746f lex......#..auto 48630 6e656700 00001675 02230b00 0f000016 neg....u.#...... 48640 75060000 1c671005 00076164 665f6e65 u....g....adf_ne 48650 745f6574 68616464 72000600 001c8b08 t_ethaddr....... 48660 61646472 0000001c 5a022300 00095f5f addr....Z.#...__ 48670 615f7569 6e743136 5f740000 0012e309 a_uint16_t...... 48680 615f7569 6e743136 5f740000 001c8b12 a_uint16_t...... 48690 0e00001c ef086574 6865725f 64686f73 ......ether_dhos 486a0 74000000 1c5a0223 00086574 6865725f t....Z.#..ether_ 486b0 73686f73 74000000 1c5a0223 06086574 shost....Z.#..et 486c0 6865725f 74797065 0000001c 9d02230c her_type......#. 486d0 00121400 001db015 69705f76 65727369 ........ip_versi 486e0 6f6e0000 00167501 00040223 00156970 on....u....#..ip 486f0 5f686c00 00001675 01040402 23000869 _hl....u....#..i 48700 705f746f 73000000 16750223 01086970 p_tos....u.#..ip 48710 5f6c656e 0000001c 9d022302 0869705f _len......#..ip_ 48720 69640000 001c9d02 23040869 705f6672 id......#..ip_fr 48730 61675f6f 66660000 001c9d02 23060869 ag_off......#..i 48740 705f7474 6c000000 16750223 08086970 p_ttl....u.#..ip 48750 5f70726f 746f0000 00167502 23090869 _proto....u.#..i 48760 705f6368 65636b00 00001c9d 02230a08 p_check......#.. 48770 69705f73 61646472 00000016 0e02230c ip_saddr......#. 48780 0869705f 64616464 72000000 160e0223 .ip_daddr......# 48790 10000761 64665f6e 65745f76 6c616e68 ...adf_net_vlanh 487a0 64720004 00001e02 08747069 64000000 dr.......tpid... 487b0 1c9d0223 00157072 696f0000 00167501 ...#..prio....u. 487c0 00030223 02156366 69000000 16750103 ...#..cfi....u.. 487d0 01022302 15766964 0000001c 9d02040c ..#..vid........ 487e0 02230200 07616466 5f6e6574 5f766964 .#...adf_net_vid 487f0 00020000 1e331572 65730000 00167501 .....3.res....u. 48800 00040223 00157661 6c000000 1c9d0204 ...#..val....... 48810 0c022300 00120c00 001e6f08 72785f62 ..#.......o.rx_b 48820 75667369 7a650000 00160e02 23000872 ufsize......#..r 48830 785f6e64 65736300 0000160e 02230408 x_ndesc......#.. 48840 74785f6e 64657363 00000016 0e022308 tx_ndesc......#. 48850 00120800 001e9508 706f6c6c 65640000 ........polled.. 48860 001a8802 23000870 6f6c6c5f 77740000 ....#..poll_wt.. 48870 00160e02 2304000f 00001675 4000001e ....#......u@... 48880 a2103f00 12460000 1eca0869 665f6e61 ..?..F.....if_na 48890 6d650000 001e9502 23000864 65765f61 me......#..dev_a 488a0 64647200 00001c5a 02234000 14040000 ddr....Z.#@..... 488b0 1f010e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 488c0 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O 488d0 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT 488e0 00010009 6164665f 6f735f64 6d615f6d ....adf_os_dma_m 488f0 61736b5f 74000000 1eca0761 64665f64 ask_t......adf_d 48900 6d615f69 6e666f00 0800001f 4e08646d ma_info.....N.dm 48910 615f6d61 736b0000 001f0102 23000873 a_mask......#..s 48920 675f6e73 65677300 0000160e 02230400 g_nsegs......#.. 48930 14040000 1fa40e41 44465f4e 45545f43 .......ADF_NET_C 48940 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 48950 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD 48960 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET 48970 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 48980 50763600 02000961 64665f6e 65745f63 Pv6....adf_net_c 48990 6b73756d 5f747970 655f7400 00001f4e ksum_type_t....N 489a0 12080000 1fe70874 785f636b 73756d00 .......tx_cksum. 489b0 00001fa4 02230008 72785f63 6b73756d .....#..rx_cksum 489c0 0000001f a4022304 00096164 665f6e65 ......#...adf_ne 489d0 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. 489e0 001fbe14 04000020 400e4144 465f4e45 ....... @.ADF_NE 489f0 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF 48a00 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... 48a10 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. 48a20 02000961 64665f6e 65745f74 736f5f74 ...adf_net_tso_t 48a30 7970655f 74000000 20011210 00002094 ype_t... ..... . 48a40 08636b73 756d5f63 61700000 001fe702 .cksum_cap...... 48a50 23000874 736f0000 00204002 23080876 #..tso... @.#..v 48a60 6c616e5f 73757070 6f727465 64000000 lan_supported... 48a70 16750223 0c001220 0000212d 0874785f .u.#... ..!-.tx_ 48a80 7061636b 65747300 0000160e 02230008 packets......#.. 48a90 72785f70 61636b65 74730000 00160e02 rx_packets...... 48aa0 23040874 785f6279 74657300 0000160e #..tx_bytes..... 48ab0 02230808 72785f62 79746573 00000016 .#..rx_bytes.... 48ac0 0e02230c 0874785f 64726f70 70656400 ..#..tx_dropped. 48ad0 0000160e 02231008 72785f64 726f7070 .....#..rx_dropp 48ae0 65640000 00160e02 23140872 785f6572 ed......#..rx_er 48af0 726f7273 00000016 0e022318 0874785f rors......#..tx_ 48b00 6572726f 72730000 00160e02 231c0009 errors......#... 48b10 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ 48b20 74000000 1c671600 00212d03 00000021 t....g...!-....! 48b30 52107f00 17616466 5f6e6574 5f636d64 R....adf_net_cmd 48b40 5f6d6361 64647200 03040000 2189086e _mcaddr.....!..n 48b50 656c656d 00000016 0e022300 086d6361 elem......#..mca 48b60 73740000 00214402 23040009 6164665f st...!D.#...adf_ 48b70 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf 48b80 6f5f7400 00001c01 09616466 5f6e6574 o_t......adf_net 48b90 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t 48ba0 0000001e 6f096164 665f6e65 745f636d ....o.adf_net_cm 48bb0 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. 48bc0 001fe709 6164665f 6e65745f 636d645f ....adf_net_cmd_ 48bd0 72696e67 5f696e66 6f5f7400 00001e33 ring_info_t....3 48be0 09616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma 48bf0 5f696e66 6f5f7400 00001f18 09616466 _info_t......adf 48c00 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. 48c10 001c9d09 6164665f 6e65745f 636d645f ....adf_net_cmd_ 48c20 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... 48c30 20580961 64665f6e 65745f63 6d645f73 X.adf_net_cmd_s 48c40 74617473 5f740000 00209409 6164665f tats_t... ..adf_ 48c50 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t 48c60 00000021 520d6164 665f6e65 745f636d ...!R.adf_net_cm 48c70 645f6d63 6173745f 63617000 04000022 d_mcast_cap...." 48c80 cb0e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 48c90 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC 48ca0 4153545f 4e4f5453 55500001 00096164 AST_NOTSUP....ad 48cb0 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 48cc0 6361705f 74000000 22831803 04000023 cap_t..."......# 48cd0 9d086c69 6e6b5f69 6e666f00 00002189 ..link_info...!. 48ce0 02230008 706f6c6c 5f696e66 6f000000 .#..poll_info... 48cf0 21a60223 0008636b 73756d5f 696e666f !..#..cksum_info 48d00 00000021 c3022300 0872696e 675f696e ...!..#..ring_in 48d10 666f0000 0021e102 23000864 6d615f69 fo...!..#..dma_i 48d20 6e666f00 000021fe 02230008 76696400 nfo...!..#..vid. 48d30 0000221a 02230008 6f66666c 6f61645f .."..#..offload_ 48d40 63617000 00002231 02230008 73746174 cap..."1.#..stat 48d50 73000000 22500223 00086d63 6173745f s..."P.#..mcast_ 48d60 696e666f 00000022 69022300 086d6361 info..."i.#..mca 48d70 73745f63 61700000 0022cb02 23000014 st_cap..."..#... 48d80 04000023 f40e4144 465f4e42 55465f52 ...#..ADF_NBUF_R 48d90 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A 48da0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 48db0 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R 48dc0 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS 48dd0 41525900 02000961 64665f6e 6275665f ARY....adf_nbuf_ 48de0 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. 48df0 0000239d 12080000 24340872 6573756c ..#.....$4.resul 48e00 74000000 23f40223 00087661 6c000000 t...#..#..val... 48e10 160e0223 04001208 00002464 08747970 ...#......$d.typ 48e20 65000000 20400223 00086d73 73000000 e... @.#..mss... 48e30 1c9d0223 04086864 725f6f66 66000000 ...#..hdr_off... 48e40 16750223 0600075f 5f616466 5f6e6275 .u.#...__adf_nbu 48e50 665f7168 65616400 0c000024 a3086865 f_qhead....$..he 48e60 61640000 00142e02 23000874 61696c00 ad......#..tail. 48e70 0000142e 02230408 716c656e 00000016 .....#..qlen.... 48e80 0e022308 00095f5f 6164665f 6e627566 ..#...__adf_nbuf 48e90 5f740000 00142e03 00001684 04000300 _t.............. 48ea0 00160e04 00020106 00001310 01060000 ................ 48eb0 160e0106 00001684 01060000 16840103 ................ 48ec0 000012f1 0400095f 5f616466 5f6e6275 .......__adf_nbu 48ed0 665f7168 6561645f 74000000 2464095f f_qhead_t...$d._ 48ee0 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ 48ef0 74000000 24e40300 0024fc04 00060000 t...$....$...... 48f00 24a30106 000024a3 01140400 00261c0e $.....$......&.. 48f10 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ 48f20 53544154 55535f46 41494c45 4400010e STATUS_FAILED... 48f30 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. 48f40 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME 48f50 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN 48f60 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E 48f70 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S 48f80 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. 48f90 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. 48fa0 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG 48fb0 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD 48fc0 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST 48fd0 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S 48fe0 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A 48ff0 5f535441 5455535f 45494f00 0c000961 _STATUS_EIO....a 49000 5f737461 7475735f 74000000 25270600 _status_t...%'.. 49010 00261c01 06000001 09010201 09616466 .&...........adf 49020 5f6e6275 665f7400 000024a3 14040000 _nbuf_t...$..... 49030 26810e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO 49040 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS 49050 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE 49060 00010009 6164665f 6f735f64 6d615f64 ....adf_os_dma_d 49070 69725f74 00000026 4a060000 261c0102 ir_t...&J...&... 49080 01096164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ 49090 696e666f 5f740000 00162b03 0000269f info_t....+...&. 490a0 04000201 02010600 00263a01 06000024 .........&:....$ 490b0 a3010201 02010600 00263a01 06000024 .........&:....$ 490c0 a3010600 00263a01 06000024 a3010600 .....&:....$.... 490d0 00263a01 02010201 06000016 0e010600 .&:............. 490e0 00168401 02010201 0600001b 3b010600 ............;... 490f0 001a8801 0600001a 88010961 64665f6f ...........adf_o 49100 735f7367 6c697374 5f740000 0016c303 s_sglist_t...... 49110 00002718 04000201 02010201 06000016 ..'............. 49120 84010961 64665f6e 6275665f 71756575 ...adf_nbuf_queu 49130 655f7400 000024fc 03000027 40040002 e_t...$....'@... 49140 01030000 24e40400 02010201 02010600 ....$........... 49150 00263a01 06000024 a3010600 00160e01 .&:....$........ 49160 06000016 0e010600 001a8801 0600001a ................ 49170 88010600 001fa401 06000016 0e010961 ...............a 49180 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 49190 5f740000 00241203 0000279c 04000201 _t...$....'..... 491a0 02010961 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ 491b0 74000000 24340300 0027c004 00020102 t...$4...'...... 491c0 01096164 665f6e65 745f6861 6e646c65 ..adf_net_handle 491d0 5f740000 0003fe09 6164665f 6e65745f _t......adf_net_ 491e0 766c616e 6864725f 74000000 1db00300 vlanhdr_t....... 491f0 0027f504 00060000 261c0106 0000261c .'......&.....&. 49200 01020102 01075f48 49465f43 4f4e4649 ......_HIF_CONFI 49210 47000400 00284408 64756d6d 79000000 G....(D.dummy... 49220 01090223 00000201 03000028 44040002 ...#.......(D... 49230 01030000 284d0400 075f4849 465f4341 ....(M..._HIF_CA 49240 4c4c4241 434b000c 000028a2 0873656e LLBACK....(..sen 49250 645f6275 665f646f 6e650000 00284602 d_buf_done...(F. 49260 23000872 6563765f 62756600 0000284f #..recv_buf...(O 49270 02230408 636f6e74 65787400 000003fe .#..context..... 49280 02230800 09686966 5f68616e 646c655f .#...hif_handle_ 49290 74000000 03fe0948 49465f43 4f4e4649 t......HIF_CONFI 492a0 47000000 28230300 0028b404 00060000 G...(#...(...... 492b0 28a20103 000028cb 04000201 03000028 (.....(........( 492c0 d8040009 4849465f 43414c4c 4241434b ....HIF_CALLBACK 492d0 00000028 56030000 28e10400 02010300 ...(V...(....... 492e0 0028fa04 00060000 01090103 00002903 .(............). 492f0 04000201 03000029 10040006 00000109 .......)........ 49300 01030000 29190400 02010300 00292604 ....)........)&. 49310 00060000 01090103 0000292f 04000201 ..........)/.... 49320 03000029 3c040007 6869665f 61706900 ...)<...hif_api. 49330 3800002a 95085f69 6e697400 000028d1 8..*.._init...(. 49340 02230008 5f736875 74646f77 6e000000 .#.._shutdown... 49350 28da0223 04085f72 65676973 7465725f (..#.._register_ 49360 63616c6c 6261636b 00000028 fc022308 callback...(..#. 49370 085f6765 745f746f 74616c5f 63726564 ._get_total_cred 49380 69745f63 6f756e74 00000029 0902230c it_count...)..#. 49390 085f7374 61727400 000028da 02231008 ._start...(..#.. 493a0 5f636f6e 6669675f 70697065 00000029 _config_pipe...) 493b0 12022314 085f7365 6e645f62 75666665 ..#.._send_buffe 493c0 72000000 291f0223 18085f72 65747572 r...)..#.._retur 493d0 6e5f7265 63765f62 75660000 00292802 n_recv_buf...)(. 493e0 231c085f 69735f70 6970655f 73757070 #.._is_pipe_supp 493f0 6f727465 64000000 29350223 20085f67 orted...)5.# ._g 49400 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. 49410 00293502 2324085f 6765745f 72657365 .)5.#$._get_rese 49420 72766564 5f686561 64726f6f 6d000000 rved_headroom... 49430 29090223 28085f69 73725f68 616e646c )..#(._isr_handl 49440 65720000 0028da02 232c085f 6765745f er...(..#,._get_ 49450 64656661 756c745f 70697065 00000029 default_pipe...) 49460 3e022330 08705265 73657276 65640000 >.#0.pReserved.. 49470 0003fe02 2334000d 646d615f 656e6769 ....#4..dma_engi 49480 6e650004 00002b1e 0e444d41 5f454e47 ne....+..DMA_ENG 49490 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN 494a0 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E 494b0 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ 494c0 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA 494d0 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM 494e0 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D 494f0 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... 49500 09646d61 5f656e67 696e655f 74000000 .dma_engine_t... 49510 2a950d64 6d615f69 66747970 65000400 *..dma_iftype... 49520 002b6b0e 444d415f 49465f47 4d414300 .+k.DMA_IF_GMAC. 49530 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D 49540 4d415f49 465f5043 49450002 0009646d MA_IF_PCIE....dm 49550 615f6966 74797065 5f740000 002b3006 a_iftype_t...+0. 49560 000012e3 01030000 2b7d0400 02010300 ........+}...... 49570 002b8a04 00020103 00002b93 04000600 .+........+..... 49580 00091501 0300002b 9c040006 000012e3 .......+........ 49590 01030000 2ba90400 06000012 e3010300 ....+........... 495a0 002bb604 00060000 142e0103 00002bc3 .+............+. 495b0 04000201 0300002b d0040007 646d615f .......+....dma_ 495c0 6c69625f 61706900 3400002c d7087478 lib_api.4..,..tx 495d0 5f696e69 74000000 2b830223 00087478 _init...+..#..tx 495e0 5f737461 72740000 002b8c02 23040872 _start...+..#..r 495f0 785f696e 69740000 002b8302 23080872 x_init...+..#..r 49600 785f636f 6e666967 0000002b 9502230c x_config...+..#. 49610 0872785f 73746172 74000000 2b8c0223 .rx_start...+..# 49620 1008696e 74725f73 74617475 73000000 ..intr_status... 49630 2ba20223 14086861 72645f78 6d697400 +..#..hard_xmit. 49640 00002baf 02231808 666c7573 685f786d ..+..#..flush_xm 49650 69740000 002b8c02 231c0878 6d69745f it...+..#..xmit_ 49660 646f6e65 0000002b bc022320 08726561 done...+..# .rea 49670 705f786d 69747465 64000000 2bc90223 p_xmitted...+..# 49680 24087265 61705f72 65637600 00002bc9 $.reap_recv...+. 49690 02232808 72657475 726e5f72 65637600 .#(.return_recv. 496a0 00002bd2 02232c08 72656376 5f706b74 ..+..#,.recv_pkt 496b0 0000002b bc022330 00075f5f 7063695f ...+..#0..__pci_ 496c0 736f6674 63000c00 002cf508 73770000 softc....,..sw.. 496d0 0028e102 23000009 5f5f7063 695f736f .(..#...__pci_so 496e0 6674635f 74000000 2cd70300 002cf504 ftc_t...,....,.. 496f0 00020103 00002d0f 04000600 0012cf01 ......-......... 49700 0300002d 1804000d 6869665f 7063695f ...-....hif_pci_ 49710 70697065 5f747800 0400002d 780e4849 pipe_tx....-x.HI 49720 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. 49730 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 49740 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 49750 5f54585f 4d415800 02000968 69665f70 _TX_MAX....hif_p 49760 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- 49770 25060000 2b1e0103 00002d8f 04000d68 %...+.....-....h 49780 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. 49790 00002e15 0e484946 5f504349 5f504950 .....HIF_PCI_PIP 497a0 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ 497b0 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P 497c0 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI 497d0 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. 497e0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 497f0 5f4d4158 00040009 6869665f 7063695f _MAX....hif_pci_ 49800 70697065 5f72785f 74000000 2d9c0600 pipe_rx_t...-... 49810 002b1e01 0300002e 2c040007 6869665f .+......,...hif_ 49820 7063695f 61706900 2400002f 0a087063 pci_api.$../..pc 49830 695f626f 6f745f69 6e697400 00000102 i_boot_init..... 49840 02230008 7063695f 696e6974 00000028 .#..pci_init...( 49850 d1022304 08706369 5f726573 65740000 ..#..pci_reset.. 49860 00010202 23080870 63695f65 6e61626c ....#..pci_enabl 49870 65000000 01020223 0c087063 695f7265 e......#..pci_re 49880 61705f78 6d697474 65640000 002d1102 ap_xmitted...-.. 49890 23100870 63695f72 6561705f 72656376 #..pci_reap_recv 498a0 0000002d 11022314 08706369 5f676574 ...-..#..pci_get 498b0 5f706970 65000000 2d1e0223 18087063 _pipe...-..#..pc 498c0 695f6765 745f7478 5f656e67 0000002d i_get_tx_eng...- 498d0 9502231c 08706369 5f676574 5f72785f ..#..pci_get_rx_ 498e0 656e6700 00002e32 02232000 07676d61 eng....2.# ..gma 498f0 635f6170 69000400 002f3108 676d6163 c_api..../1.gmac 49900 5f626f6f 745f696e 69740000 00010202 _boot_init...... 49910 2300000f 00000316 0600002f 3e100500 #........../>... 49920 075f5f65 74686864 72000e00 002f7408 .__ethhdr..../t. 49930 64737400 00002f31 02230008 73726300 dst.../1.#..src. 49940 00002f31 02230608 65747970 65000000 ../1.#..etype... 49950 12e30223 0c00075f 5f617468 68647200 ...#...__athhdr. 49960 0400002f c2157265 73000000 12cf0100 .../..res....... 49970 02022300 1570726f 746f0000 0012cf01 ..#..proto...... 49980 02060223 00087265 735f6c6f 00000012 ...#..res_lo.... 49990 cf022301 08726573 5f686900 000012e3 ..#..res_hi..... 499a0 02230200 075f5f67 6d61635f 68647200 .#...__gmac_hdr. 499b0 1400002f fe086574 68000000 2f3e0223 .../..eth.../>.# 499c0 00086174 68000000 2f740223 0e08616c ..ath.../t.#..al 499d0 69676e5f 70616400 000012e3 02231200 ign_pad......#.. 499e0 095f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... 499f0 2fc2075f 5f676d61 635f736f 66746300 /..__gmac_softc. 49a00 24000030 48086864 72000000 2ffe0223 $..0H.hdr.../..# 49a10 00086772 616e0000 0012e302 23140873 ..gran......#..s 49a20 77000000 28e10223 18000c5f 415f6f73 w...(..#..._A_os 49a30 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 49a40 01030000 30480400 06000001 09010300 ....0H.......... 49a50 00306604 00030000 04010400 175f415f .0f.........._A_ 49a60 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 49a70 6e5f7461 626c6500 01b80000 31b60868 n_table.....1..h 49a80 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check 49a90 00000030 6c022300 08737461 72745f62 ...0l.#..start_b 49aa0 73730000 00307302 23040861 70705f73 ss...0s.#..app_s 49ab0 74617274 00000001 02022308 086d656d tart......#..mem 49ac0 00000004 4102230c 086d6973 63000000 ....A.#..misc... 49ad0 05600223 20087072 696e7466 00000001 .`.# .printf.... 49ae0 36022344 08756172 74000000 01ff0223 6.#D.uart......# 49af0 4c08676d 61630000 002f0a02 236c0875 L.gmac.../..#l.u 49b00 73620000 000f8f02 23700863 6c6f636b sb......#p.clock 49b10 0000000a d80323e0 01087469 6d657200 ......#...timer. 49b20 00000778 03238402 08696e74 72000000 ...x.#...intr... 49b30 0c280323 98020861 6c6c6f63 72616d00 .(.#...allocram. 49b40 00000930 0323c402 08726f6d 70000000 ...0.#...romp... 49b50 08220323 d0020877 64745f74 696d6572 .".#...wdt_timer 49b60 0000000e 050323e0 02086565 70000000 ......#...eep... 49b70 0f330323 fc020873 7472696e 67000000 .3.#...string... 49b80 06840323 8c030874 61736b6c 65740000 ...#...tasklet.. 49b90 000a2d03 23a40300 075f5553 425f4649 ..-.#...._USB_FI 49ba0 464f5f43 4f4e4649 47001000 00322908 FO_CONFIG....2). 49bb0 6765745f 636f6d6d 616e645f 62756600 get_command_buf. 49bc0 0000143b 02230008 72656376 5f636f6d ...;.#..recv_com 49bd0 6d616e64 00000014 51022304 08676574 mand....Q.#..get 49be0 5f657665 6e745f62 75660000 00143b02 _event_buf....;. 49bf0 23080873 656e645f 6576656e 745f646f #..send_event_do 49c00 6e650000 00145102 230c0009 5553425f ne....Q.#...USB_ 49c10 4649464f 5f434f4e 46494700 000031b6 FIFO_CONFIG...1. 49c20 03000032 29040002 01030000 32450400 ...2).......2E.. 49c30 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... 49c40 329b085f 696e6974 00000032 47022300 2.._init...2G.#. 49c50 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i 49c60 73720000 00010202 23040870 52657365 sr......#..pRese 49c70 72766564 00000003 fe022308 000f0000 rved......#..... 49c80 16750200 0032a810 0100075f 4854435f .u...2....._HTC_ 49c90 4652414d 455f4844 52000800 00331a08 FRAME_HDR....3.. 49ca0 456e6470 6f696e74 49440000 00167502 EndpointID....u. 49cb0 23000846 6c616773 00000016 75022301 #..Flags....u.#. 49cc0 08506179 6c6f6164 4c656e00 00001c9d .PayloadLen..... 49cd0 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes 49ce0 00000032 9b022304 08486f73 74536571 ...2..#..HostSeq 49cf0 4e756d00 00001c9d 02230600 12020000 Num......#...... 49d00 3333084d 65737361 67654944 0000001c 33.MessageID.... 49d10 9d022300 00120800 00339608 4d657373 ..#......3..Mess 49d20 61676549 44000000 1c9d0223 00084372 ageID......#..Cr 49d30 65646974 436f756e 74000000 1c9d0223 editCount......# 49d40 02084372 65646974 53697a65 0000001c ..CreditSize.... 49d50 9d022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint 49d60 73000000 16750223 06085f50 61643100 s....u.#.._Pad1. 49d70 00001675 02230700 120a0000 342d084d ...u.#......4-.M 49d80 65737361 67654944 0000001c 9d022300 essageID......#. 49d90 08536572 76696365 49440000 001c9d02 .ServiceID...... 49da0 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla 49db0 67730000 001c9d02 23040844 6f776e4c gs......#..DownL 49dc0 696e6b50 69706549 44000000 16750223 inkPipeID....u.# 49dd0 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. 49de0 00167502 23070853 65727669 63654d65 ..u.#..ServiceMe 49df0 74614c65 6e677468 00000016 75022308 taLength....u.#. 49e00 085f5061 64310000 00167502 23090012 ._Pad1....u.#... 49e10 0a000034 b5084d65 73736167 65494400 ...4..MessageID. 49e20 00001c9d 02230008 53657276 69636549 .....#..ServiceI 49e30 44000000 1c9d0223 02085374 61747573 D......#..Status 49e40 00000016 75022304 08456e64 706f696e ....u.#..Endpoin 49e50 74494400 00001675 02230508 4d61784d tID....u.#..MaxM 49e60 73675369 7a650000 001c9d02 23060853 sgSize......#..S 49e70 65727669 63654d65 74614c65 6e677468 erviceMetaLength 49e80 00000016 75022308 085f5061 64310000 ....u.#.._Pad1.. 49e90 00167502 23090012 02000034 ce084d65 ..u.#......4..Me 49ea0 73736167 65494400 00001c9d 02230000 ssageID......#.. 49eb0 12040000 350a084d 65737361 67654944 ....5..MessageID 49ec0 0000001c 9d022300 08506970 65494400 ......#..PipeID. 49ed0 00001675 02230208 43726564 6974436f ...u.#..CreditCo 49ee0 756e7400 00001675 02230300 12040000 unt....u.#...... 49ef0 3541084d 65737361 67654944 0000001c 5A.MessageID.... 49f00 9d022300 08506970 65494400 00001675 ..#..PipeID....u 49f10 02230208 53746174 75730000 00167502 .#..Status....u. 49f20 23030012 02000035 68085265 636f7264 #......5h.Record 49f30 49440000 00167502 2300084c 656e6774 ID....u.#..Lengt 49f40 68000000 16750223 01001202 00003592 h....u.#......5. 49f50 08456e64 706f696e 74494400 00001675 .EndpointID....u 49f60 02230008 43726564 69747300 00001675 .#..Credits....u 49f70 02230100 12040000 35d30845 6e64706f .#......5..Endpo 49f80 696e7449 44000000 16750223 00084372 intID....u.#..Cr 49f90 65646974 73000000 16750223 01085467 edits....u.#..Tg 49fa0 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... 49fb0 9d022302 000f0000 16750400 0035e010 ..#......u...5.. 49fc0 03001206 0000361c 08507265 56616c69 ......6..PreVali 49fd0 64000000 16750223 00084c6f 6f6b4168 d....u.#..LookAh 49fe0 65616400 000035d3 02230108 506f7374 ead...5..#..Post 49ff0 56616c69 64000000 16750223 05000970 Valid....u.#...p 4a000 6f6f6c5f 68616e64 6c655f74 00000003 ool_handle_t.... 4a010 fe060000 361c0103 0000362f 04000201 ....6.....6/.... 4a020 03000036 3c040014 04000036 ba0e504f ...6<......6..PO 4a030 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO 4a040 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ 4a050 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... 4a060 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ 4a070 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ 4a080 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO 4a090 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF 4a0a0 5f504f4f 4c5f4944 00000036 45020103 _POOL_ID...6E... 4a0b0 000036cb 04000600 00263a01 03000036 ..6......&:....6 4a0c0 d4040006 0000263a 01030000 36e10400 ......&:....6... 4a0d0 02010300 0036ee04 00076275 665f706f .....6....buf_po 4a0e0 6f6c5f61 7069001c 00003790 085f696e ol_api....7.._in 4a0f0 69740000 00363502 2300085f 73687574 it...65.#.._shut 4a100 646f776e 00000036 3e022304 085f6372 down...6>.#.._cr 4a110 65617465 5f706f6f 6c000000 36cd0223 eate_pool...6..# 4a120 08085f61 6c6c6f63 5f627566 00000036 .._alloc_buf...6 4a130 da02230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ 4a140 616c6967 6e000000 36e70223 10085f66 align...6..#.._f 4a150 7265655f 62756600 000036f0 02231408 ree_buf...6..#.. 4a160 70526573 65727665 64000000 03fe0223 pReserved......# 4a170 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. 4a180 1c000038 6f08704e 65787400 0000386f ...8o.pNext...8o 4a190 02230008 50726f63 65737352 6563764d .#..ProcessRecvM 4a1a0 73670000 00392402 23040850 726f6365 sg...9$.#..Proce 4a1b0 73735365 6e644275 66666572 436f6d70 ssSendBufferComp 4a1c0 6c657465 00000039 2d022308 0850726f lete...9-.#..Pro 4a1d0 63657373 436f6e6e 65637400 00003941 cessConnect...9A 4a1e0 02230c08 53657276 69636549 44000000 .#..ServiceID... 4a1f0 12e30223 10085365 72766963 65466c61 ...#..ServiceFla 4a200 67730000 0012e302 2312084d 61785376 gs......#..MaxSv 4a210 634d7367 53697a65 00000012 e3022314 cMsgSize......#. 4a220 08547261 696c6572 53706343 6865636b .TrailerSpcCheck 4a230 4c696d69 74000000 12e30223 16085365 Limit......#..Se 4a240 72766963 65437478 00000003 fe022318 rviceCtx......#. 4a250 00030000 37900400 14040000 390d1945 ....7.......9..E 4a260 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. 4a270 ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... 4a280 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP 4a290 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT 4a2a0 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... 4a2b0 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP 4a2c0 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT 4a2d0 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... 4a2e0 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... 4a2f0 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. 4a300 00003876 02010300 00392204 00020103 ..8v.....9"..... 4a310 0000392b 04000300 00010904 00060000 ..9+............ 4a320 12cf0103 0000393b 04000300 00379004 ......9;.....7.. 4a330 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... 4a340 0039c008 43726564 69745369 7a650000 .9..CreditSize.. 4a350 00010902 23000843 72656469 744e756d ....#..CreditNum 4a360 62657200 00000109 02230408 4f534861 ber......#..OSHa 4a370 6e646c65 0000001a 39022308 08484946 ndle....9.#..HIF 4a380 48616e64 6c650000 0028a202 230c0850 Handle...(..#..P 4a390 6f6f6c48 616e646c 65000000 361c0223 oolHandle...6..# 4a3a0 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT 4a3b0 45585400 02000039 fc08656e 645f706f EXT....9..end_po 4a3c0 696e7400 000012cf 02230008 6874635f int......#..htc_ 4a3d0 666c6167 73000000 12cf0223 01000968 flags......#...h 4a3e0 74635f68 616e646c 655f7400 000003fe tc_handle_t..... 4a3f0 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL 4a400 4554455f 43420000 00010209 4854435f ETE_CB......HTC_ 4a410 434f4e46 49470000 00394f03 00003a29 CONFIG...9O...:) 4a420 04000600 0039fc01 0300003a 40040002 .....9.....:@... 4a430 01030000 3a4d0400 09485443 5f534552 ....:M...HTC_SER 4a440 56494345 00000037 90030000 3a560400 VICE...7....:V.. 4a450 02010300 003a6e04 00020103 00003a77 .....:n.......:w 4a460 04000201 0300003a 80040006 00000109 .......:........ 4a470 01030000 3a890400 07687463 5f617069 ....:....htc_api 4a480 73003400 003c0608 5f485443 5f496e69 s.4..<.._HTC_Ini 4a490 74000000 3a460223 00085f48 54435f53 t...:F.#.._HTC_S 4a4a0 68757464 6f776e00 00003a4f 02230408 hutdown...:O.#.. 4a4b0 5f485443 5f526567 69737465 72536572 _HTC_RegisterSer 4a4c0 76696365 0000003a 70022308 085f4854 vice...:p.#.._HT 4a4d0 435f5265 61647900 00003a4f 02230c08 C_Ready...:O.#.. 4a4e0 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe 4a4f0 72730000 003a7902 2310085f 4854435f rs...:y.#.._HTC_ 4a500 52657475 726e4275 66666572 734c6973 ReturnBuffersLis 4a510 74000000 3a820223 14085f48 54435f53 t...:..#.._HTC_S 4a520 656e644d 73670000 003a7902 2318085f endMsg...:y.#.._ 4a530 4854435f 47657452 65736572 76656448 HTC_GetReservedH 4a540 65616472 6f6f6d00 00003a8f 02231c08 eadroom...:..#.. 4a550 5f485443 5f4d7367 52656376 48616e64 _HTC_MsgRecvHand 4a560 6c657200 0000284f 02232008 5f485443 ler...(O.# ._HTC 4a570 5f53656e 64446f6e 6548616e 646c6572 _SendDoneHandler 4a580 00000028 46022324 085f4854 435f436f ...(F.#$._HTC_Co 4a590 6e74726f 6c537663 50726f63 6573734d ntrolSvcProcessM 4a5a0 73670000 00392402 2328085f 4854435f sg...9$.#(._HTC_ 4a5b0 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces 4a5c0 7353656e 64436f6d 706c6574 65000000 sSendComplete... 4a5d0 392d0223 2c087052 65736572 76656400 9-.#,.pReserved. 4a5e0 000003fe 02233000 07686f73 745f6170 .....#0..host_ap 4a5f0 705f6172 65615f73 00040000 3c360877 p_area_s....<6.w 4a600 6d695f70 726f746f 636f6c5f 76657200 mi_protocol_ver. 4a610 0000160e 02230000 120e0000 3c6d0864 .....#........beaconPen 4a7d0 64696e67 436f756e 74000000 16750223 dingCount....u.# 4a7e0 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF 4a7f0 49470010 00003e69 08487463 48616e64 IG....>i.HtcHand 4a800 6c650000 0039fc02 23000850 6f6f6c48 le...9..#..PoolH 4a810 616e646c 65000000 361c0223 04084d61 andle...6..#..Ma 4a820 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... 4a830 01090223 08084d61 78457665 6e744576 ...#..MaxEventEv 4a840 74730000 00010902 230c0002 01030000 ts......#....... 4a850 3e690400 09574d49 5f434d44 5f48414e >i...WMI_CMD_HAN 4a860 444c4552 0000003e 6b075f57 4d495f44 DLER...>k._WMI_D 4a870 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... 4a880 003ed208 70436d64 48616e64 6c657200 .>..pCmdHandler. 4a890 00003e72 02230008 436d6449 44000000 ..>r.#..CmdID... 4a8a0 12e30223 0408466c 61677300 000012e3 ...#..Flags..... 4a8b0 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT 4a8c0 43485f54 41424c45 00100000 3f330870 CH_TABLE....?3.p 4a8d0 4e657874 0000003f 33022300 0870436f Next...?3.#..pCo 4a8e0 6e746578 74000000 03fe0223 04084e75 ntext......#..Nu 4a8f0 6d626572 4f66456e 74726965 73000000 mberOfEntries... 4a900 01090223 08087054 61626c65 0000003f ...#..pTable...? 4a910 5202230c 00030000 3ed20400 09574d49 R.#.....>....WMI 4a920 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. 4a930 00003e87 0300003f 3a040003 00003ed2 ..>....?:.....>. 4a940 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE 4a950 58540000 0039c00d 574d495f 4556545f XT...9..WMI_EVT_ 4a960 434c4153 53000400 003fea19 574d495f CLASS....?..WMI_ 4a970 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. 4a980 ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS 4a990 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM 4a9a0 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ 4a9b0 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ 4a9c0 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI 4a9d0 5f455654 5f434c41 53530000 003f7507 _EVT_CLASS...?u. 4a9e0 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT 4a9f0 000c0000 40480848 74634275 66437478 ....@H.HtcBufCtx 4aa00 0000003f 60022300 08457665 6e74436c ...?`.#..EventCl 4aa10 61737300 00003fea 02230408 466c6167 ass...?..#..Flag 4aa20 73000000 12e30223 08000977 6d695f68 s......#...wmi_h 4aa30 616e646c 655f7400 000003fe 09574d49 andle_t......WMI 4aa40 5f535643 5f434f4e 46494700 00003e00 _SVC_CONFIG...>. 4aa50 03000040 5a040006 00004048 01030000 ...@Z.....@H.... 4aa60 40750400 09574d49 5f444953 50415443 @u...WMI_DISPATC 4aa70 485f5441 424c4500 00003ed2 03000040 H_TABLE...>....@ 4aa80 82040002 01030000 40a10400 06000026 ........@......& 4aa90 3a010300 0040aa04 00020103 000040b7 :....@........@. 4aaa0 04000600 00010901 03000040 c0040002 ...........@.... 4aab0 01030000 40cd0400 06000012 cf010300 ....@........... 4aac0 0040d604 00075f77 6d695f73 76635f61 .@...._wmi_svc_a 4aad0 70697300 2c000042 1e085f57 4d495f49 pis.,..B.._WMI_I 4aae0 6e697400 0000407b 02230008 5f574d49 nit...@{.#.._WMI 4aaf0 5f526567 69737465 72446973 70617463 _RegisterDispatc 4ab00 68546162 6c650000 0040a302 2304085f hTable...@..#.._ 4ab10 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. 4ab20 0040b002 2308085f 574d495f 53656e64 .@..#.._WMI_Send 4ab30 4576656e 74000000 40b90223 0c085f57 Event...@..#.._W 4ab40 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve 4ab50 6e747343 6f756e74 00000040 c6022310 ntsCount...@..#. 4ab60 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple 4ab70 74654861 6e646c65 72000000 392d0223 teHandler...9-.# 4ab80 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro 4ab90 6c457000 000040c6 02231808 5f574d49 lEp...@..#.._WMI 4aba0 5f536875 74646f77 6e000000 40cf0223 _Shutdown...@..# 4abb0 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa 4abc0 67654861 6e646c65 72000000 39240223 geHandler...9$.# 4abd0 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo 4abe0 6e6e6563 74000000 40dc0223 24087052 nnect...@..#$.pR 4abf0 65736572 76656400 000003fe 02232800 eserved......#(. 4ac00 077a7344 6d614465 73630014 000042a0 .zsDmaDesc....B. 4ac10 08637472 6c000000 016d0223 00087374 .ctrl....m.#..st 4ac20 61747573 00000001 6d022302 08746f74 atus....m.#..tot 4ac30 616c4c65 6e000000 016d0223 04086461 alLen....m.#..da 4ac40 74615369 7a650000 00016d02 2306086c taSize....m.#..l 4ac50 61737441 64647200 000042a0 02230808 astAddr...B..#.. 4ac60 64617461 41646472 00000001 9102230c dataAddr......#. 4ac70 086e6578 74416464 72000000 42a00223 .nextAddr...B..# 4ac80 10000300 00421e04 00030000 421e0400 .....B......B... 4ac90 077a7344 6d615175 65756500 08000042 .zsDmaQueue....B 4aca0 e0086865 61640000 0042a702 23000874 ..head...B..#..t 4acb0 65726d69 6e61746f 72000000 42a70223 erminator...B..# 4acc0 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. 4acd0 10000043 44086865 61640000 0042a702 ...CD.head...B.. 4ace0 23000874 65726d69 6e61746f 72000000 #..terminator... 4acf0 42a70223 0408786d 69746564 5f627566 B..#..xmited_buf 4ad00 5f686561 64000000 142e0223 0808786d _head......#..xm 4ad10 69746564 5f627566 5f746169 6c000000 ited_buf_tail... 4ad20 142e0223 0c000201 03000043 44040003 ...#.......CD... 4ad30 000042ae 04000201 03000043 54040003 ..B........CT... 4ad40 000042e0 04000201 03000043 64040002 ..B........Cd... 4ad50 01030000 436d0400 02010300 00437604 ....Cm.......Cv. 4ad60 00060000 142e0103 0000437f 04000201 ..........C..... 4ad70 03000043 8c040006 0000142e 01030000 ...C............ 4ad80 43950400 02010300 0043a204 00060000 C........C...... 4ad90 01090103 000043ab 04000600 0042a701 ......C......B.. 4ada0 03000043 b8040002 01030000 43c50400 ...C........C... 4adb0 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. 4adc0 40000045 3b085f69 6e697400 00004346 @..E;._init...CF 4add0 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que 4ade0 75650000 00435602 2304085f 696e6974 ue...CV.#.._init 4adf0 5f74785f 71756575 65000000 43660223 _tx_queue...Cf.# 4ae00 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que 4ae10 75650000 00436f02 230c085f 786d6974 ue...Co.#.._xmit 4ae20 5f627566 00000043 78022310 085f666c _buf...Cx.#.._fl 4ae30 7573685f 786d6974 00000043 56022314 ush_xmit...CV.#. 4ae40 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. 4ae50 00004385 02231808 5f726574 75726e5f ..C..#.._return_ 4ae60 72656376 5f627566 00000043 8e02231c recv_buf...C..#. 4ae70 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu 4ae80 66000000 439b0223 20085f73 7761705f f...C..# ._swap_ 4ae90 64617461 00000043 a4022324 085f6861 data...C..#$._ha 4aea0 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. 4aeb0 000043b1 02232808 5f646573 635f6475 ..C..#(._desc_du 4aec0 6d700000 00435602 232c085f 6765745f mp...CV.#,._get_ 4aed0 7061636b 65740000 0043be02 2330085f packet...C..#0._ 4aee0 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. 4aef0 0043c702 2334085f 7075745f 7061636b .C..#4._put_pack 4af00 65740000 0043c702 23380870 52657365 et...C..#8.pRese 4af10 72766564 00000003 fe02233c 00095f41 rved......#<.._A 4af20 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 4af30 6f6e5f74 61626c65 5f740000 00307a09 on_table_t...0z. 4af40 574d495f 5356435f 41504953 00000040 WMI_SVC_APIS...@ 4af50 e3175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 4af60 72656374 696f6e5f 7461626c 6500034c rection_table..L 4af70 00004669 08636d6e 6f730000 00453b02 ..Fi.cmnos...E;. 4af80 23000864 62670000 0003cb03 23b80308 #..dbg......#... 4af90 68696600 00002945 0323c003 08687463 hif...)E.#...htc 4afa0 0000003a 960323f8 0308776d 695f7376 ...:..#...wmi_sv 4afb0 635f6170 69000000 455d0323 ac040875 c_api...E].#...u 4afc0 73626669 666f5f61 70690000 00324e03 sbfifo_api...2N. 4afd0 23d80408 6275665f 706f6f6c 00000036 #...buf_pool...6 4afe0 f70323e4 04087662 75660000 00145803 ..#...vbuf....X. 4aff0 23800508 76646573 63000000 133a0323 #...vdesc....:.# 4b000 94050861 6c6c6f63 72616d00 00000930 ...allocram....0 4b010 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. 4b020 000043ce 0323b405 08646d61 5f6c6962 ..C..#...dma_lib 4b030 0000002b d90323f4 05086869 665f7063 ...+..#...hif_pc 4b040 69000000 2e390323 a8060006 000003fe i....9.#........ 4b050 01095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 4b060 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 4b070 0000456f 02010201 02010600 00010901 ..Eo............ 4b080 07564255 465f5155 45554500 08000046 .VBUF_QUEUE....F 4b090 ca086865 61640000 00142e02 23000874 ..head......#..t 4b0a0 61696c00 0000142e 02230400 075f4849 ail......#..._HI 4b0b0 465f5553 425f434f 4e544558 54004400 F_USB_CONTEXT.D. 4b0c0 00475908 68696643 62000000 28e10223 .GY.hifCb...(..# 4b0d0 0008646e 51000000 42ae0223 0c087570 ..dnQ...B..#..up 4b0e0 51000000 42e00223 14086870 646e5100 Q...B..#..hpdnQ. 4b0f0 000042ae 02232408 6d70646e 51000000 ..B..#$.mpdnQ... 4b100 42ae0223 2c08636d 64517565 75650000 B..#,.cmdQueue.. 4b110 00142e02 23340865 76656e74 42756651 ....#4.eventBufQ 4b120 00000046 9e022338 08705265 73657276 ...F..#8.pReserv 4b130 65640000 0003fe02 23400009 4849465f ed......#@..HIF_ 4b140 5553425f 434f4e54 45585400 000046ca USB_CONTEXT...F. 4b150 1a675f68 69665553 42437478 00000047 .g_hifUSBCtx...G 4b160 59050300 500a5401 06000014 2e010600 Y...P.T......... 4b170 00142e01 03000047 86040003 0000478c .......G......G. 4b180 04000300 00475904 000f0000 01101500 .....GY......... 4b190 0047b410 14000300 0047a704 000f0000 .G.......G...... 4b1a0 01101300 0047c810 12000300 0047bb04 .....G.......G.. 4b1b0 001b0000 01911b00 00019103 000047d4 ..............G. 4b1c0 04000f00 00011016 000047ed 10150003 ..........G..... 4b1d0 000047e0 04000201 06000043 4d010600 ..G........CM... 4b1e0 00010901 02010201 03000029 45040002 ...........)E... 4b1f0 01030000 47fc0400 03000048 0204000f ....G......H.... 4b200 00000110 14000048 2a101300 03000048 .......H*......H 4b210 1d04000f 00000110 18000048 3e101700 ...........H>... 4b220 03000048 31040003 000001a6 04000f00 ...H1........... 4b230 00011011 00004859 10100003 0000484c ......HY......HL 4b240 04000f00 00011012 0000486d 10110003 ..........Hm.... 4b250 00004860 04001c01 27757362 6669666f ..H`....'usbfifo 4b260 5f676574 5f636f6d 6d616e64 5f627566 _get_command_buf 4b270 00000014 2e039201 20029000 008e33b0 ........ .....3. 4b280 008e33c2 000048b0 1d627566 00000014 ..3...H..buf.... 4b290 2e001e01 31757362 6669666f 5f726563 ....1usbfifo_rec 4b2a0 765f636f 6d6d616e 64000103 92012002 v_command..... . 4b2b0 9000008e 33c4008e 33fa0000 49061f01 ....3...3...I... 4b2c0 31627566 00000014 2e01521d 63757272 1buf......R.curr 4b2d0 56646573 63000000 13101d75 73624465 Vdesc......usbDe 4b2e0 73630000 0042a700 1c014875 73626669 sc...B....Husbfi 4b2f0 666f5f67 65745f65 76656e74 5f627566 fo_get_event_buf 4b300 00000014 2e039201 20029000 008e33fc ........ .....3. 4b310 008e341a 00004940 1d627566 00000014 ..4...I@.buf.... 4b320 2e001e01 58757362 6669666f 5f73656e ....Xusbfifo_sen 4b330 645f6576 656e745f 646f6e65 00010392 d_event_done.... 4b340 01200290 00008e34 1c008e34 2d000049 . .....4...4-..I 4b350 7d1f0158 62756600 0000142e 01520020 }..Xbuf......R. 4b360 01645f48 49467573 625f696e 69740000 .d_HIFusb_init.. 4b370 0028a201 01039201 30029000 008e3430 .(......0.....40 4b380 008e3483 000049c8 1f016470 436f6e66 ..4...I...dpConf 4b390 69670000 0028c401 52217573 62666966 ig...(..R!usbfif 4b3a0 6f000000 32290291 50002201 835f4849 o...2)..P.".._HI 4b3b0 46757362 5f736875 74646f77 6e000101 Fusb_shutdown... 4b3c0 03920120 02900000 8e348400 8e348900 ... .....4...4.. 4b3d0 004a021f 01836861 6e646c65 00000028 .J....handle...( 4b3e0 a2015200 2201885f 48494675 73625f72 ..R.".._HIFusb_r 4b3f0 65676973 7465725f 63616c6c 6261636b egister_callback 4b400 00010103 92012002 9000008e 348c008e ...... .....4... 4b410 34a00000 4a561f01 8868616e 646c6500 4...JV...handle. 4b420 000028a2 01521f01 8870436f 6e666967 ..(..R...pConfig 4b430 00000028 f3015300 2201945f 48494675 ...(..S.".._HIFu 4b440 73625f73 74617274 00010103 92012002 sb_start...... . 4b450 9000008e 34a0008e 351a0000 4a8d1f01 ....4...5...J... 4b460 9468616e 646c6500 000028a2 01520023 .handle...(..R.# 4b470 01b95f48 49467573 625f6765 745f7265 .._HIFusb_get_re 4b480 73657276 65645f68 65616472 6f6f6d00 served_headroom. 4b490 00000109 01039201 20029000 008e351c ........ .....5. 4b4a0 008e3523 00004ad7 1f01b968 616e646c ..5#..J....handl 4b4b0 65000000 28a20152 001e01d4 656e6162 e...(..R....enab 4b4c0 6c655f72 78000103 92012002 9000008e le_rx..... ..... 4b4d0 3524008e 354f0000 4b071f01 d4706970 5$..5O..K....pip 4b4e0 65000000 01090152 002301e9 6765745f e......R.#..get_ 4b4f0 71756575 655f6672 6f6d5f70 69706500 queue_from_pipe. 4b500 0000434d 01039201 20029000 008e3550 ..CM.... .....5P 4b510 008e356e 00004b4c 1f01e970 69706500 ..5n..KL...pipe. 4b520 00000109 01521d71 00000043 4d002401 .....R.q...CM.$. 4b530 01075f48 49467573 625f636f 6e666967 .._HIFusb_config 4b540 5f706970 65000101 01039201 30029000 _pipe.......0... 4b550 008e3570 008e361b 00004bd3 25010107 ..5p..6...K.%... 4b560 68616e64 6c650000 0028a201 52250101 handle...(..R%.. 4b570 07706970 65000000 01090153 25010107 .pipe......S%... 4b580 63726564 6974436f 756e7400 00000109 creditCount..... 4b590 01541d68 65616400 00001310 1d690000 .T.head......i.. 4b5a0 0001091d 64657363 00000013 101d7100 ....desc......q. 4b5b0 0000434d 00260101 4f5f4849 46757362 ..CM.&..O_HIFusb 4b5c0 5f73656e 645f6275 66666572 00000001 _send_buffer.... 4b5d0 09010101 03920120 02900000 8e361c00 ....... .....6.. 4b5e0 8e367a00 004c3425 01014f68 616e646c .6z..L4%..Ohandl 4b5f0 65000000 28a20152 2501014f 70697065 e...(..R%..Opipe 4b600 00000001 09015325 01014f62 75660000 ......S%..Obuf.. 4b610 00142e01 54002701 01605f48 49467573 ....T.'..`_HIFus 4b620 625f7265 7475726e 5f726563 765f6275 b_return_recv_bu 4b630 66000101 03920120 02900000 8e367c00 f...... .....6|. 4b640 8e36a600 004c9b25 01016068 616e646c .6...L.%..`handl 4b650 65000000 28a20152 25010160 70697065 e...(..R%..`pipe 4b660 00000001 09015325 01016062 75660000 ......S%..`buf.. 4b670 00142e01 541d7100 0000434d 00270101 ....T.q...CM.'.. 4b680 725f4849 46757362 5f736574 5f726563 r_HIFusb_set_rec 4b690 765f6275 66737a00 01010392 01200290 v_bufsz...... .. 4b6a0 00008e36 a8008e36 ad00004c fc250101 ...6...6...L.%.. 4b6b0 7268616e 646c6500 000028a2 01522501 rhandle...(..R%. 4b6c0 01727069 70650000 00010901 53250101 .rpipe......S%.. 4b6d0 72627566 737a0000 00010901 54002701 rbufsz......T.'. 4b6e0 01785f48 49467573 625f7061 7573655f .x_HIFusb_pause_ 4b6f0 72656376 00010103 92012002 9000008e recv...... ..... 4b700 36b0008e 36b50000 4d492501 01786861 6...6...MI%..xha 4b710 6e646c65 00000028 a2015225 01017870 ndle...(..R%..xp 4b720 69706500 00000109 01530027 01017d5f ipe......S.'..}_ 4b730 48494675 73625f72 6573756d 655f7265 HIFusb_resume_re 4b740 63760001 01039201 20029000 008e36b8 cv...... .....6. 4b750 008e36bd 00004d97 2501017d 68616e64 ..6...M.%..}hand 4b760 6c650000 0028a201 52250101 7d706970 le...(..R%..}pip 4b770 65000000 01090153 00280101 825f4849 e......S.(..._HI 4b780 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup 4b790 706f7274 65640000 00010901 01039201 ported.......... 4b7a0 20029000 008e36c0 008e36d0 00004def .....6...6...M. 4b7b0 25010182 68616e64 6c650000 0028a201 %...handle...(.. 4b7c0 52250101 82706970 65000000 01090153 R%...pipe......S 4b7d0 00280101 8b5f4849 46757362 5f676574 .(..._HIFusb_get 4b7e0 5f6d6178 5f6d7367 5f6c656e 00000001 _max_msg_len.... 4b7f0 09010103 92012002 9000008e 36d0008e ...... .....6... 4b800 36e20000 4e452501 018b6861 6e646c65 6...NE%...handle 4b810 00000028 a2015225 01018b70 69706500 ...(..R%...pipe. 4b820 00000109 01530024 0102065f 48494675 .....S.$..._HIFu 4b830 73625f69 73725f68 616e646c 65720001 sb_isr_handler.. 4b840 01010392 01200290 00008e36 e4008e37 ..... .....6...7 4b850 9100004e 95250102 06680000 0028a201 ...N.%...h...(.. 4b860 521d696e 74720000 0001911d 63686563 R.intr......chec 4b870 6b000000 01090027 0102515f 48494675 k......'..Q_HIFu 4b880 73625f67 65745f64 65666175 6c745f70 sb_get_default_p 4b890 69706500 01010392 01200290 00008e37 ipe...... .....7 4b8a0 94008e37 a300004f 07250102 5168616e ...7...O.%..Qhan 4b8b0 646c6500 000028a2 01522501 02517069 dle...(..R%..Qpi 4b8c0 70655f75 706c696e 6b000000 12dc0153 pe_uplink......S 4b8d0 25010251 70697065 5f646f77 6e6c696e %..Qpipe_downlin 4b8e0 6b000000 12dc0154 00270102 59686966 k......T.'..Yhif 4b8f0 5f757362 5f6d6f64 756c655f 696e7374 _usb_module_inst 4b900 616c6c00 01010392 01200290 00008e37 all...... .....7 4b910 a4008e37 e500004f 47250102 59617069 ...7...OG%..Yapi 4b920 73000000 48060152 00290102 6d484946 s...H..R.)..mHIF 4b930 7573625f 44657363 54726163 6544756d usb_DescTraceDum 4b940 70000101 03920120 02900000 8e37e800 p...... .....7.. 4b950 8e388400 00000053 0f000200 001be804 .8.....S........ 4b960 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace 4b970 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 4b980 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 4b990 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ 4b9a0 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ 4b9b0 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 4b9c0 69662f75 73622f73 72632f75 73625f61 if/usb/src/usb_a 4b9d0 70692e63 002f726f 6f742f57 6f726b73 pi.c./root/Works 4b9e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 4b9f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 4ba00 315f312f 726f6d2f 6869662f 75736200 1_1/rom/hif/usb. 4ba10 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 4ba20 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst 4ba30 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 4ba40 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. 4ba50 00000106 bc02756e 7369676e 65642063 ......unsigned c 4ba60 68617200 07010375 696e7438 5f740000 har....uint8_t.. 4ba70 00010002 73686f72 7420756e 7369676e ....short unsign 4ba80 65642069 6e740007 02037569 6e743136 ed int....uint16 4ba90 5f740000 00011e04 53657475 705f5061 _t......Setup_Pa 4baa0 636b6574 000c0000 01bf0544 69726563 cket.......Direc 4bab0 74696f6e 00000001 11022300 05547970 tion......#..Typ 4bac0 65000000 01110223 01054f62 6a656374 e......#..Object 4bad0 00000001 11022302 05526571 75657374 ......#..Request 4bae0 00000001 34022304 0556616c 75650000 ....4.#..Value.. 4baf0 00013402 23060549 6e646578 00000001 ..4.#..Index.... 4bb00 34022308 054c656e 67746800 00000134 4.#..Length....4 4bb10 02230a00 06010700 0001bf04 0002696e .#............in 4bb20 74000504 02636861 72000701 08000001 t....char....... 4bb30 cf080000 01cf0700 0001dc04 00090000 ................ 4bb40 01c80107 000001e8 04000470 72696e74 ...........print 4bb50 665f6170 69000800 00022c05 5f707269 f_api.....,._pri 4bb60 6e74665f 696e6974 00000001 c1022300 ntf_init......#. 4bb70 055f7072 696e7466 00000001 ee022304 ._printf......#. 4bb80 00026c6f 6e672075 6e736967 6e656420 ..long unsigned 4bb90 696e7400 07040375 696e7433 325f7400 int....uint32_t. 4bba0 0000022c 04756172 745f6669 666f0008 ...,.uart_fifo.. 4bbb0 0000029a 05737461 72745f69 6e646578 .....start_index 4bbc0 00000001 34022300 05656e64 5f696e64 ....4.#..end_ind 4bbd0 65780000 00013402 2302056f 76657272 ex....4.#..overr 4bbe0 756e5f65 72720000 00024102 23040004 un_err....A.#... 4bbf0 75617274 5f617069 00200000 0353055f uart_api. ...S._ 4bc00 75617274 5f696e69 74000000 03aa0223 uart_init......# 4bc10 00055f75 6172745f 63686172 5f707574 .._uart_char_put 4bc20 00000003 b3022304 055f7561 72745f63 ......#.._uart_c 4bc30 6861725f 67657400 000003c7 02230805 har_get......#.. 4bc40 5f756172 745f7374 725f6f75 74000000 _uart_str_out... 4bc50 03d00223 0c055f75 6172745f 7461736b ...#.._uart_task 4bc60 00000001 c1022310 055f7561 72745f73 ......#.._uart_s 4bc70 74617475 73000000 03aa0223 14055f75 tatus......#.._u 4bc80 6172745f 636f6e66 69670000 0003d902 art_config...... 4bc90 2318055f 75617274 5f687769 6e697400 #.._uart_hwinit. 4bca0 000003e2 02231c00 07000002 9a040004 .....#.......... 4bcb0 75617274 5f626c6b 00100000 03a40564 uart_blk.......d 4bcc0 65627567 5f6d6f64 65000000 01340223 ebug_mode....4.# 4bcd0 00056261 75640000 00013402 2302055f ..baud....4.#.._ 4bce0 75617274 00000003 53022304 055f7478 uart....S.#.._tx 4bcf0 00000002 4f022308 00090000 02410107 ....O.#......A.. 4bd00 000003a4 04000601 07000003 b1040007 ................ 4bd10 00000111 04000900 00013401 07000003 ..........4..... 4bd20 c1040006 01070000 03ce0400 06010700 ................ 4bd30 0003d704 00060107 000003e0 04000700 ................ 4bd40 0001cf04 00090000 01c80107 000003f0 ................ 4bd50 04000444 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST 4bd60 52554354 000c0000 04480563 6d645f73 RUCT.....H.cmd_s 4bd70 74720000 0003e902 23000568 656c705f tr......#..help_ 4bd80 73747200 000003e9 02230405 636d645f str......#..cmd_ 4bd90 66756e63 00000003 f6022308 00046462 func......#...db 4bda0 675f6170 69000800 00047b05 5f646267 g_api.....{._dbg 4bdb0 5f696e69 74000000 01c10223 00055f64 _init......#.._d 4bdc0 62675f74 61736b00 000001c1 02230400 bg_task......#.. 4bdd0 0a040002 756e7369 676e6564 20696e74 ....unsigned int 4bde0 00070409 0000047b 01070000 048e0400 .......{........ 4bdf0 0b0b0700 00049c04 00090000 047b0107 .............{.. 4be00 000004a4 04000900 0001c801 07000004 ................ 4be10 b1040004 6d656d5f 61706900 14000005 ....mem_api..... 4be20 20055f6d 656d5f69 6e697400 000001c1 ._mem_init..... 4be30 02230005 5f6d656d 73657400 00000494 .#.._memset..... 4be40 02230405 5f6d656d 63707900 000004aa .#.._memcpy..... 4be50 02230805 5f6d656d 6d6f7665 00000004 .#.._memmove.... 4be60 aa02230c 055f6d65 6d636d70 00000004 ..#.._memcmp.... 4be70 b7022310 000c7265 67697374 65725f64 ..#...register_d 4be80 756d705f 73000001 07000005 20040006 ump_s....... ... 4be90 01070000 053a0400 06010700 00054304 .....:........C. 4bea0 00090000 01c80107 0000054c 04000d68 ...........L...h 4beb0 6f737469 665f7300 04000005 a80e4849 ostif_s.......HI 4bec0 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE 4bed0 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI 4bee0 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. 4bef0 040e4849 465f4e4f 4e450005 0003415f ..HIF_NONE....A_ 4bf00 484f5354 49460000 00055909 000005a8 HOSTIF....Y..... 4bf10 01070000 05b60400 09000001 11010700 ................ 4bf20 0005c304 00090000 01340107 000005d0 .........4...... 4bf30 0400046d 6973635f 61706900 24000006 ...misc_api.$... 4bf40 c0055f73 79737465 6d5f7265 73657400 .._system_reset. 4bf50 000001c1 02230005 5f6d6163 5f726573 .....#.._mac_res 4bf60 65740000 0001c102 2304055f 61737366 et......#.._assf 4bf70 61696c00 0000053c 02230805 5f6d6973 ail....<.#.._mis 4bf80 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han 4bf90 646c6572 00000005 3c02230c 055f7265 dler....<.#.._re 4bfa0 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ 4bfb0 686f7374 00000005 45022310 055f7461 host....E.#.._ta 4bfc0 72676574 5f69645f 67657400 00000552 rget_id_get....R 4bfd0 02231405 5f69735f 686f7374 5f707265 .#.._is_host_pre 4bfe0 73656e74 00000005 bc022318 055f6b62 sent......#.._kb 4bff0 68697400 000005c9 02231c05 5f726f6d hit......#.._rom 4c000 5f766572 73696f6e 5f676574 00000005 _version_get.... 4c010 d6022320 00090000 03e90107 000006c0 ..# ............ 4c020 04000900 0003e901 07000006 cd040009 ................ 4c030 000001c8 01070000 06da0400 09000001 ................ 4c040 c8010700 0006e704 00090000 01c80107 ................ 4c050 000006f4 04000473 7472696e 675f6170 .......string_ap 4c060 69001800 00077a05 5f737472 696e675f i.....z._string_ 4c070 696e6974 00000001 c1022300 055f7374 init......#.._st 4c080 72637079 00000006 c6022304 055f7374 rcpy......#.._st 4c090 726e6370 79000000 06d30223 08055f73 rncpy......#.._s 4c0a0 74726c65 6e000000 06e00223 0c055f73 trlen......#.._s 4c0b0 7472636d 70000000 06ed0223 10055f73 trcmp......#.._s 4c0c0 74726e63 6d700000 0006fa02 2314000f trncmp......#... 4c0d0 0000047e 14000007 87100400 035f415f ...~........._A_ 4c0e0 54494d45 525f5350 41434500 0000077a TIMER_SPACE....z 4c0f0 03415f74 696d6572 5f740000 00078707 .A_timer_t...... 4c100 0000079b 04000601 07000007 b1040006 ................ 4c110 01070000 07ba0400 03415f48 414e444c .........A_HANDL 4c120 45000000 047e0601 03415f54 494d4552 E....~...A_TIMER 4c130 5f46554e 43000000 07d10700 0007d304 _FUNC........... 4c140 00060107 000007ec 04000474 696d6572 ...........timer 4c150 5f617069 00140000 086b055f 74696d65 _api.....k._time 4c160 725f696e 69740000 0001c102 2300055f r_init......#.._ 4c170 74696d65 725f6172 6d000000 07b30223 timer_arm......# 4c180 04055f74 696d6572 5f646973 61726d00 .._timer_disarm. 4c190 000007bc 02230805 5f74696d 65725f73 .....#.._timer_s 4c1a0 6574666e 00000007 ee02230c 055f7469 etfn......#.._ti 4c1b0 6d65725f 72756e00 000001c1 02231000 mer_run......#.. 4c1c0 03424f4f 4c45414e 00000001 34090000 .BOOLEAN....4... 4c1d0 086b0107 00000878 04000900 00086b01 .k.....x......k. 4c1e0 07000008 85040009 0000086b 01070000 ...........k.... 4c1f0 08920400 04726f6d 705f6170 69001000 .....romp_api... 4c200 00090405 5f726f6d 705f696e 69740000 ...._romp_init.. 4c210 0001c102 2300055f 726f6d70 5f646f77 ....#.._romp_dow 4c220 6e6c6f61 64000000 087e0223 04055f72 nload....~.#.._r 4c230 6f6d705f 696e7374 616c6c00 0000088b omp_install..... 4c240 02230805 5f726f6d 705f6465 636f6465 .#.._romp_decode 4c250 00000008 9802230c 0004726f 6d5f7061 ......#...rom_pa 4c260 7463685f 73740010 00000960 05637263 tch_st.....`.crc 4c270 31360000 00013402 2300056c 656e0000 16....4.#..len.. 4c280 00013402 2302056c 645f6164 64720000 ..4.#..ld_addr.. 4c290 00024102 23040566 756e5f61 64647200 ..A.#..fun_addr. 4c2a0 00000241 02230805 7066756e 00000003 ...A.#..pfun.... 4c2b0 ba02230c 00046565 705f7265 6469725f ..#...eep_redir_ 4c2c0 61646472 00040000 0992056f 66667365 addr.......offse 4c2d0 74000000 01340223 00057369 7a650000 t....4.#..size.. 4c2e0 00013402 23020003 415f5549 4e543332 ..4.#...A_UINT32 4c2f0 00000004 7e090000 047b0107 000009a0 ....~....{...... 4c300 04000461 6c6c6f63 72616d5f 61706900 ...allocram_api. 4c310 0c00000a 1105636d 6e6f735f 616c6c6f ......cmnos_allo 4c320 6372616d 5f696e69 74000000 09a60223 cram_init......# 4c330 0005636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 4c340 00000009 a6022304 05636d6e 6f735f61 ......#..cmnos_a 4c350 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... 4c360 01c10223 08000601 0700000a 11040003 ...#............ 4c370 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. 4c380 000a1304 5f746173 6b6c6574 00100000 ...._tasklet.... 4c390 0a720566 756e6300 00000a1a 02230005 .r.func......#.. 4c3a0 61726700 0000047b 02230405 73746174 arg....{.#..stat 4c3b0 65000000 01c80223 08056e65 78740000 e......#..next.. 4c3c0 000a7202 230c0007 00000a2e 04000700 ..r.#........... 4c3d0 000a2e04 0003415f 7461736b 6c65745f ......A_tasklet_ 4c3e0 74000000 0a2e0700 000a8004 00060107 t............... 4c3f0 00000a98 04000601 0700000a a1040004 ................ 4c400 7461736b 6c65745f 61706900 1400000b tasklet_api..... 4c410 36055f74 61736b6c 65745f69 6e697400 6._tasklet_init. 4c420 000001c1 02230005 5f746173 6b6c6574 .....#.._tasklet 4c430 5f696e69 745f7461 736b0000 000a9a02 _init_task...... 4c440 2304055f 7461736b 6c65745f 64697361 #.._tasklet_disa 4c450 626c6500 00000aa3 02230805 5f746173 ble......#.._tas 4c460 6b6c6574 5f736368 6564756c 65000000 klet_schedule... 4c470 0aa30223 0c055f74 61736b6c 65745f72 ...#.._tasklet_r 4c480 756e0000 0001c102 23100006 01070000 un......#....... 4c490 0b360400 09000009 92010700 000b3f04 .6............?. 4c4a0 00060107 00000b4c 04000463 6c6f636b .......L...clock 4c4b0 5f617069 00240000 0c2e055f 636c6f63 _api.$....._cloc 4c4c0 6b5f696e 69740000 000b3802 2300055f k_init....8.#.._ 4c4d0 636c6f63 6b726567 735f696e 69740000 clockregs_init.. 4c4e0 0001c102 2304055f 75617274 5f667265 ....#.._uart_fre 4c4f0 7175656e 63790000 000b4502 2308055f quency....E.#.._ 4c500 64656c61 795f7573 0000000b 4e02230c delay_us....N.#. 4c510 055f776c 616e5f62 616e645f 73657400 ._wlan_band_set. 4c520 00000b4e 02231005 5f726566 636c6b5f ...N.#.._refclk_ 4c530 73706565 645f6765 74000000 0b450223 speed_get....E.# 4c540 14055f6d 696c6c69 7365636f 6e647300 .._milliseconds. 4c550 00000b45 02231805 5f737973 636c6b5f ...E.#.._sysclk_ 4c560 6368616e 67650000 0001c102 231c055f change......#.._ 4c570 636c6f63 6b5f7469 636b0000 0001c102 clock_tick...... 4c580 23200009 00000241 01070000 0c2e0400 # .....A........ 4c590 03415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... 4c5a0 02410900 000c3b01 0700000c 4d040006 .A....;.....M... 4c5b0 01070000 0c5a0400 06010700 000c6304 .....Z........c. 4c5c0 00090000 02410107 00000c6c 04000341 .....A.....l...A 4c5d0 5f697372 5f740000 000c7206 01070000 _isr_t....r..... 4c5e0 0c860400 09000004 7e010700 000c8f04 ........~....... 4c5f0 00060107 00000c9c 04000469 6e74725f ...........intr_ 4c600 61706900 2c00000d be055f69 6e74725f api.,....._intr_ 4c610 696e6974 00000001 c1022300 055f696e init......#.._in 4c620 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... 4c630 0c340223 04055f69 6e74725f 64697361 .4.#.._intr_disa 4c640 626c6500 00000c53 02230805 5f696e74 ble....S.#.._int 4c650 725f7265 73746f72 65000000 0c5c0223 r_restore....\.# 4c660 0c055f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu 4c670 6d000000 0c650223 10055f69 6e74725f m....e.#.._intr_ 4c680 756e6d61 736b5f69 6e756d00 00000c65 unmask_inum....e 4c690 02231405 5f696e74 725f6174 74616368 .#.._intr_attach 4c6a0 5f697372 0000000c 88022318 055f6765 _isr......#.._ge 4c6b0 745f696e 7472656e 61626c65 0000000c t_intrenable.... 4c6c0 9502231c 055f7365 745f696e 7472656e ..#.._set_intren 4c6d0 61626c65 0000000c 9e022320 055f6765 able......# ._ge 4c6e0 745f696e 74727065 6e64696e 67000000 t_intrpending... 4c6f0 0c950223 24055f75 6e626c6f 636b5f61 ...#$._unblock_a 4c700 6c6c5f69 6e74726c 766c0000 0001c102 ll_intrlvl...... 4c710 23280011 0400000d e4057469 6d656f75 #(........timeou 4c720 74000000 02410223 00056163 74696f6e t....A.#..action 4c730 00000002 41022300 00120800 000dff05 ....A.#......... 4c740 636d6400 00000241 02230013 00000dbe cmd....A.#...... 4c750 02230400 03545f57 44545f43 4d440000 .#...T_WDT_CMD.. 4c760 000de406 01070000 0e0e0400 14040000 ................ 4c770 0e640e45 4e554d5f 5744545f 424f4f54 .d.ENUM_WDT_BOOT 4c780 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO 4c790 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO 4c7a0 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW 4c7b0 4e5f424f 4f540004 0003545f 424f4f54 N_BOOT....T_BOOT 4c7c0 5f545950 45000000 0e170900 000e6401 _TYPE.........d. 4c7d0 0700000e 75040004 7764745f 61706900 ....u...wdt_api. 4c7e0 1c00000f 19055f77 64745f69 6e697400 ......_wdt_init. 4c7f0 000001c1 02230005 5f776474 5f656e61 .....#.._wdt_ena 4c800 626c6500 000001c1 02230405 5f776474 ble......#.._wdt 4c810 5f646973 61626c65 00000001 c1022308 _disable......#. 4c820 055f7764 745f7365 74000000 0e100223 ._wdt_set......# 4c830 0c055f77 64745f74 61736b00 000001c1 .._wdt_task..... 4c840 02231005 5f776474 5f726573 65740000 .#.._wdt_reset.. 4c850 0001c102 2314055f 7764745f 6c617374 ....#.._wdt_last 4c860 5f626f6f 74000000 0e7b0223 18001404 _boot....{.#.... 4c870 00000f80 0e524554 5f535543 43455353 .....RET_SUCCESS 4c880 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. 4c890 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. 4c8a0 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP 4c8b0 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER 4c8c0 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO 4c8d0 574e0005 0003545f 4545505f 52455400 WN....T_EEP_RET. 4c8e0 00000f19 07000001 34040009 00000f80 ........4....... 4c8f0 01070000 0f960400 0900000f 80010700 ................ 4c900 000fa304 00046565 705f6170 69001000 ......eep_api... 4c910 00100c05 5f656570 5f696e69 74000000 ...._eep_init... 4c920 01c10223 00055f65 65705f72 65616400 ...#.._eep_read. 4c930 00000f9c 02230405 5f656570 5f777269 .....#.._eep_wri 4c940 74650000 000f9c02 2308055f 6565705f te......#.._eep_ 4c950 69735f65 78697374 0000000f a902230c is_exist......#. 4c960 00047573 625f6170 69007000 0012b905 ..usb_api.p..... 4c970 5f757362 5f696e69 74000000 01c10223 _usb_init......# 4c980 00055f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. 4c990 000001c1 02230405 5f757362 5f66775f .....#.._usb_fw_ 4c9a0 7461736b 00000001 c1022308 055f7573 task......#.._us 4c9b0 625f696e 69745f70 68790000 0001c102 b_init_phy...... 4c9c0 230c055f 7573625f 6570305f 73657475 #.._usb_ep0_setu 4c9d0 70000000 01c10223 10055f75 73625f65 p......#.._usb_e 4c9e0 70305f74 78000000 01c10223 14055f75 p0_tx......#.._u 4c9f0 73625f65 70305f72 78000000 01c10223 sb_ep0_rx......# 4ca00 18055f75 73625f67 65745f69 6e746572 .._usb_get_inter 4ca10 66616365 00000008 8b02231c 055f7573 face......#.._us 4ca20 625f7365 745f696e 74657266 61636500 b_set_interface. 4ca30 0000088b 02232005 5f757362 5f676574 .....# ._usb_get 4ca40 5f636f6e 66696775 72617469 6f6e0000 _configuration.. 4ca50 00088b02 2324055f 7573625f 7365745f ....#$._usb_set_ 4ca60 636f6e66 69677572 6174696f 6e000000 configuration... 4ca70 088b0223 28055f75 73625f73 74616e64 ...#(._usb_stand 4ca80 6172645f 636d6400 0000088b 02232c05 ard_cmd......#,. 4ca90 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. 4caa0 000001c1 02233005 5f757362 5f706f77 .....#0._usb_pow 4cab0 65725f6f 66660000 0001c102 2334055f er_off......#4._ 4cac0 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. 4cad0 0001c102 2338055f 7573625f 67656e5f ....#8._usb_gen_ 4cae0 77647400 000001c1 02233c05 5f757362 wdt......#<._usb 4caf0 5f6a756d 705f626f 6f740000 0001c102 _jump_boot...... 4cb00 2340055f 7573625f 636c725f 66656174 #@._usb_clr_feat 4cb10 75726500 0000088b 02234405 5f757362 ure......#D._usb 4cb20 5f736574 5f666561 74757265 00000008 _set_feature.... 4cb30 8b022348 055f7573 625f7365 745f6164 ..#H._usb_set_ad 4cb40 64726573 73000000 088b0223 4c055f75 dress......#L._u 4cb50 73625f67 65745f64 65736372 6970746f sb_get_descripto 4cb60 72000000 088b0223 50055f75 73625f67 r......#P._usb_g 4cb70 65745f73 74617475 73000000 088b0223 et_status......# 4cb80 54055f75 73625f73 65747570 5f646573 T._usb_setup_des 4cb90 63000000 01c10223 58055f75 73625f72 c......#X._usb_r 4cba0 65675f6f 75740000 0001c102 235c055f eg_out......#\._ 4cbb0 7573625f 73746174 75735f69 6e000000 usb_status_in... 4cbc0 01c10223 60055f75 73625f65 70305f74 ...#`._usb_ep0_t 4cbd0 785f6461 74610000 0001c102 2364055f x_data......#d._ 4cbe0 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. 4cbf0 000001c1 02236805 5f757362 5f636c6b .....#h._usb_clk 4cc00 5f696e69 74000000 01c10223 6c00045f _init......#l.._ 4cc10 56444553 43002400 00134505 6e657874 VDESC.$...E.next 4cc20 5f646573 63000000 13450223 00056275 _desc....E.#..bu 4cc30 665f6164 64720000 00135902 23040562 f_addr....Y.#..b 4cc40 75665f73 697a6500 00001360 02230805 uf_size....`.#.. 4cc50 64617461 5f6f6666 73657400 00001360 data_offset....` 4cc60 02230a05 64617461 5f73697a 65000000 .#..data_size... 4cc70 13600223 0c05636f 6e74726f 6c000000 .`.#..control... 4cc80 13600223 0e056877 5f646573 635f6275 .`.#..hw_desc_bu 4cc90 66000000 136e0223 10000700 0012b904 f....n.#........ 4cca0 0003415f 55494e54 38000000 01000700 ..A_UINT8....... 4ccb0 00134c04 0003415f 55494e54 31360000 ..L...A_UINT16.. 4ccc0 00011e0f 0000134c 14000013 7b101300 .......L....{... 4ccd0 07000012 b9040003 56444553 43000000 ........VDESC... 4cce0 12b90700 00138204 00090000 138d0107 ................ 4ccf0 00001394 04000900 00135901 07000013 ..........Y..... 4cd00 a1040006 01070000 13ae0400 04766465 .............vde 4cd10 73635f61 70690014 00001426 055f696e sc_api.....&._in 4cd20 69740000 000b4e02 2300055f 616c6c6f it....N.#.._allo 4cd30 635f7664 65736300 0000139a 02230405 c_vdesc......#.. 4cd40 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... 4cd50 a7022308 055f7377 61705f76 64657363 ..#.._swap_vdesc 4cd60 00000013 b002230c 05705265 73657276 ......#..pReserv 4cd70 65640000 00047b02 23100004 5f564255 ed....{.#..._VBU 4cd80 46002000 00148605 64657363 5f6c6973 F. .....desc_lis 4cd90 74000000 138d0223 00056e65 78745f62 t......#..next_b 4cda0 75660000 00148602 23040562 75665f6c uf......#..buf_l 4cdb0 656e6774 68000000 13600223 08057265 ength....`.#..re 4cdc0 73657276 65640000 00148d02 230a0563 served......#..c 4cdd0 74780000 00136e02 230c0007 00001426 tx....n.#......& 4cde0 04000f00 00134c02 0000149a 10010007 ......L......... 4cdf0 00001426 04000356 42554600 00001426 ...&...VBUF....& 4ce00 07000014 a1040009 000014ab 01070000 ................ 4ce10 14b20400 09000014 ab010700 0014bf04 ................ 4ce20 00060107 000014cc 04000476 6275665f ...........vbuf_ 4ce30 61706900 14000015 4a055f69 6e697400 api.....J._init. 4ce40 00000b4e 02230005 5f616c6c 6f635f76 ...N.#.._alloc_v 4ce50 62756600 000014b8 02230405 5f616c6c buf......#.._all 4ce60 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz 4ce70 65000000 14c50223 08055f66 7265655f e......#.._free_ 4ce80 76627566 00000014 ce02230c 05705265 vbuf......#..pRe 4ce90 73657276 65640000 00047b02 23100004 served....{.#... 4cea0 5f5f6164 665f6465 76696365 00040000 __adf_device.... 4ceb0 156c0564 756d6d79 00000001 c8022300 .l.dummy......#. 4cec0 00070000 09920400 045f5f61 64665f64 .........__adf_d 4ced0 6d615f6d 6170000c 000015b3 05627566 ma_map.......buf 4cee0 00000014 ab022300 0564735f 61646472 ......#..ds_addr 4cef0 00000015 6c022304 0564735f 6c656e00 ....l.#..ds_len. 4cf00 00001360 02230800 120c0000 15ed055f ...`.#........._ 4cf10 5f76615f 73746b00 000003e9 02230005 _va_stk......#.. 4cf20 5f5f7661 5f726567 00000003 e9022304 __va_reg......#. 4cf30 055f5f76 615f6e64 78000000 01c80223 .__va_ndx......# 4cf40 0800035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 4cf50 61646472 5f740000 00099203 6164665f addr_t......adf_ 4cf60 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 4cf70 15ed035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 4cf80 73697a65 5f740000 00099203 6164665f size_t......adf_ 4cf90 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 4cfa0 161d045f 5f646d61 5f736567 73000800 ...__dma_segs... 4cfb0 00167905 70616464 72000000 16060223 ..y.paddr......# 4cfc0 00056c65 6e000000 16360223 0400035f ..len....6.#..._ 4cfd0 5f615f75 696e7433 325f7400 00000992 _a_uint32_t..... 4cfe0 03615f75 696e7433 325f7400 00001679 .a_uint32_t....y 4cff0 0f000016 4d080000 16a81000 00046164 ....M.........ad 4d000 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 4d010 000c0000 16e1056e 73656773 00000016 .......nsegs.... 4d020 8b022300 05646d61 5f736567 73000000 ..#..dma_segs... 4d030 169b0223 0400035f 5f615f75 696e7438 ...#...__a_uint8 4d040 5f740000 00134c03 615f7569 6e74385f _t....L.a_uint8_ 4d050 74000000 16e10700 0016f204 00045f5f t.............__ 4d060 73675f73 65677300 08000017 33057661 sg_segs.....3.va 4d070 64647200 00001701 02230005 6c656e00 ddr......#..len. 4d080 0000168b 02230400 0f000017 08200000 .....#....... .. 4d090 17401003 00046164 665f6f73 5f73676c .@....adf_os_sgl 4d0a0 69737400 24000017 73056e73 65677300 ist.$...s.nsegs. 4d0b0 0000168b 02230005 73675f73 65677300 .....#..sg_segs. 4d0c0 00001733 02230400 12100000 17bc0576 ...3.#.........v 4d0d0 656e646f 72000000 168b0223 00056465 endor......#..de 4d0e0 76696365 00000016 8b022304 05737562 vice......#..sub 4d0f0 76656e64 6f720000 00168b02 23080573 vendor......#..s 4d100 75626465 76696365 00000016 8b02230c ubdevice......#. 4d110 00026c6f 6e67206c 6f6e6720 756e7369 ..long long unsi 4d120 676e6564 20696e74 00070803 415f5549 gned int....A_UI 4d130 4e543634 00000017 bc035f5f 615f7569 NT64......__a_ui 4d140 6e743634 5f740000 0017d603 615f7569 nt64_t......a_ui 4d150 6e743634 5f740000 0017e414 04000018 nt64_t.......... 4d160 420e4144 465f4f53 5f524553 4f555243 B.ADF_OS_RESOURC 4d170 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF 4d180 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP 4d190 455f494f 00010003 6164665f 6f735f72 E_IO....adf_os_r 4d1a0 65736f75 7263655f 74797065 5f740000 esource_type_t.. 4d1b0 00180612 18000018 8c057374 61727400 ..........start. 4d1c0 000017f6 02230005 656e6400 000017f6 .....#..end..... 4d1d0 02230805 74797065 00000018 42022310 .#..type....B.#. 4d1e0 00036164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev 4d1f0 5f69645f 74000000 17730700 00188c04 _id_t....s...... 4d200 00110400 0018cb05 70636900 000018a5 ........pci..... 4d210 02230005 72617700 0000047b 02230000 .#..raw....{.#.. 4d220 11100000 18ea0570 63690000 00188c02 .......pci...... 4d230 23000572 61770000 00047b02 23000003 #..raw....{.#... 4d240 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t 4d250 00000004 7b036164 665f6f73 5f726573 ....{.adf_os_res 4d260 6f757263 655f7400 0000185e 07000019 ource_t....^.... 4d270 00040003 6164665f 6f735f61 74746163 ....adf_os_attac 4d280 685f6461 74615f74 00000018 cb070000 h_data_t........ 4d290 191e0400 07000015 4a040003 5f5f6164 ........J...__ad 4d2a0 665f6f73 5f646576 6963655f 74000000 f_os_device_t... 4d2b0 193f0361 64665f6f 735f6465 76696365 .?.adf_os_device 4d2c0 5f740000 00194609 000018ea 01070000 _t....F......... 4d2d0 19720400 06010700 00197f04 00036164 .r............ad 4d2e0 665f6f73 5f706d5f 74000000 047b0601 f_os_pm_t....{.. 4d2f0 07000019 99040014 04000019 d90e4144 ..............AD 4d300 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC 4d310 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T 4d320 5950455f 47454e45 52494300 02000361 YPE_GENERIC....a 4d330 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t 4d340 00000019 a2036164 665f6f73 5f627573 ......adf_os_bus 4d350 5f726567 5f646174 615f7400 000018ac _reg_data_t..... 4d360 07000001 00040004 5f616466 5f647276 ........_adf_drv 4d370 5f696e66 6f002000 001ab605 6472765f _info. .....drv_ 4d380 61747461 63680000 00197802 23000564 attach....x.#..d 4d390 72765f64 65746163 68000000 19810223 rv_detach......# 4d3a0 04056472 765f7375 7370656e 64000000 ..drv_suspend... 4d3b0 199b0223 08056472 765f7265 73756d65 ...#..drv_resume 4d3c0 00000019 8102230c 05627573 5f747970 ......#..bus_typ 4d3d0 65000000 19d90223 10056275 735f6461 e......#..bus_da 4d3e0 74610000 0019f002 2314056d 6f645f6e ta......#..mod_n 4d3f0 616d6500 00001a0b 02231805 69666e61 ame......#..ifna 4d400 6d650000 001a0b02 231c0003 6164665f me......#...adf_ 4d410 6f735f68 616e646c 655f7400 0000047b os_handle_t....{ 4d420 07000016 e1040006 01060103 5f5f6164 ............__ad 4d430 665f6f73 5f73697a 655f7400 0000047e f_os_size_t....~ 4d440 14040000 1b050e41 5f46414c 53450000 .......A_FALSE.. 4d450 0e415f54 52554500 01000361 5f626f6f .A_TRUE....a_boo 4d460 6c5f7400 00001aeb 07000015 73040003 l_t.........s... 4d470 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map 4d480 5f740000 001b1306 010d6164 665f6f73 _t........adf_os 4d490 5f636163 68655f73 796e6300 0400001b _cache_sync..... 4d4a0 9d0e4144 465f5359 4e435f50 52455245 ..ADF_SYNC_PRERE 4d4b0 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR 4d4c0 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN 4d4d0 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF 4d4e0 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. 4d4f0 03000361 64665f6f 735f6361 6368655f ...adf_os_cache_ 4d500 73796e63 5f740000 001b3406 01036164 sync_t....4...ad 4d510 665f6f73 5f73697a 655f7400 00001ad6 f_os_size_t..... 4d520 0900001b b8010361 64665f6f 735f646d .......adf_os_dm 4d530 615f6d61 705f7400 00001b1a 0700001b a_map_t......... 4d540 d1040009 0000047b 01070000 1b1a0400 .......{........ 4d550 09000004 7b010601 09000016 06010601 ....{........... 4d560 0273686f 72742069 6e740005 0203415f .short int....A_ 4d570 494e5431 36000000 1c0b035f 5f615f69 INT16......__a_i 4d580 6e743136 5f740000 001c1803 615f696e nt16_t......a_in 4d590 7431365f 74000000 1c250273 69676e65 t16_t....%.signe 4d5a0 64206368 61720005 0103415f 494e5438 d char....A_INT8 4d5b0 0000001c 45035f5f 615f696e 74385f74 ....E.__a_int8_t 4d5c0 0000001c 5403615f 696e7438 5f740000 ....T.a_int8_t.. 4d5d0 001c6012 0c00001c d7057375 70706f72 ..`.......suppor 4d5e0 74656400 0000168b 02230005 61647665 ted......#..adve 4d5f0 7274697a 65640000 00168b02 23040573 rtized......#..s 4d600 70656564 0000001c 36022308 05647570 peed....6.#..dup 4d610 6c657800 00001c70 02230a05 6175746f lex....p.#..auto 4d620 6e656700 000016f2 02230b00 0f000016 neg......#...... 4d630 f2060000 1ce41005 00046164 665f6e65 ..........adf_ne 4d640 745f6574 68616464 72000600 001d0805 t_ethaddr....... 4d650 61646472 0000001c d7022300 00035f5f addr......#...__ 4d660 615f7569 6e743136 5f740000 00136003 a_uint16_t....`. 4d670 615f7569 6e743136 5f740000 001d0812 a_uint16_t...... 4d680 0e00001d 6c056574 6865725f 64686f73 ....l.ether_dhos 4d690 74000000 1cd70223 00056574 6865725f t......#..ether_ 4d6a0 73686f73 74000000 1cd70223 06056574 shost......#..et 4d6b0 6865725f 74797065 0000001d 1a02230c her_type......#. 4d6c0 00121400 001e2d15 69705f76 65727369 ......-.ip_versi 4d6d0 6f6e0000 0016f201 00040223 00156970 on.........#..ip 4d6e0 5f686c00 000016f2 01040402 23000569 _hl.........#..i 4d6f0 705f746f 73000000 16f20223 01056970 p_tos......#..ip 4d700 5f6c656e 0000001d 1a022302 0569705f _len......#..ip_ 4d710 69640000 001d1a02 23040569 705f6672 id......#..ip_fr 4d720 61675f6f 66660000 001d1a02 23060569 ag_off......#..i 4d730 705f7474 6c000000 16f20223 08056970 p_ttl......#..ip 4d740 5f70726f 746f0000 0016f202 23090569 _proto......#..i 4d750 705f6368 65636b00 00001d1a 02230a05 p_check......#.. 4d760 69705f73 61646472 00000016 8b02230c ip_saddr......#. 4d770 0569705f 64616464 72000000 168b0223 .ip_daddr......# 4d780 10000461 64665f6e 65745f76 6c616e68 ...adf_net_vlanh 4d790 64720004 00001e7f 05747069 64000000 dr.......tpid... 4d7a0 1d1a0223 00157072 696f0000 0016f201 ...#..prio...... 4d7b0 00030223 02156366 69000000 16f20103 ...#..cfi....... 4d7c0 01022302 15766964 0000001d 1a02040c ..#..vid........ 4d7d0 02230200 04616466 5f6e6574 5f766964 .#...adf_net_vid 4d7e0 00020000 1eb01572 65730000 0016f201 .......res...... 4d7f0 00040223 00157661 6c000000 1d1a0204 ...#..val....... 4d800 0c022300 00120c00 001eec05 72785f62 ..#.........rx_b 4d810 75667369 7a650000 00168b02 23000572 ufsize......#..r 4d820 785f6e64 65736300 0000168b 02230405 x_ndesc......#.. 4d830 74785f6e 64657363 00000016 8b022308 tx_ndesc......#. 4d840 00120800 001f1205 706f6c6c 65640000 ........polled.. 4d850 001b0502 23000570 6f6c6c5f 77740000 ....#..poll_wt.. 4d860 00168b02 2304000f 000016f2 4000001f ....#.......@... 4d870 1f103f00 12460000 1f470569 665f6e61 ..?..F...G.if_na 4d880 6d650000 001f1202 23000564 65765f61 me......#..dev_a 4d890 64647200 00001cd7 02234000 14040000 ddr......#@..... 4d8a0 1f7e0e41 44465f4f 535f444d 415f4d41 .~.ADF_OS_DMA_MA 4d8b0 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O 4d8c0 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT 4d8d0 00010003 6164665f 6f735f64 6d615f6d ....adf_os_dma_m 4d8e0 61736b5f 74000000 1f470461 64665f64 ask_t....G.adf_d 4d8f0 6d615f69 6e666f00 0800001f cb05646d ma_info.......dm 4d900 615f6d61 736b0000 001f7e02 23000573 a_mask....~.#..s 4d910 675f6e73 65677300 0000168b 02230400 g_nsegs......#.. 4d920 14040000 20210e41 44465f4e 45545f43 .... !.ADF_NET_C 4d930 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 4d940 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD 4d950 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET 4d960 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 4d970 50763600 02000361 64665f6e 65745f63 Pv6....adf_net_c 4d980 6b73756d 5f747970 655f7400 00001fcb ksum_type_t..... 4d990 12080000 20640574 785f636b 73756d00 .... d.tx_cksum. 4d9a0 00002021 02230005 72785f63 6b73756d .. !.#..rx_cksum 4d9b0 00000020 21022304 00036164 665f6e65 ... !.#...adf_ne 4d9c0 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. 4d9d0 00203b14 04000020 bd0e4144 465f4e45 . ;.... ..ADF_NE 4d9e0 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF 4d9f0 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... 4da00 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. 4da10 02000361 64665f6e 65745f74 736f5f74 ...adf_net_tso_t 4da20 7970655f 74000000 207e1210 00002111 ype_t... ~....!. 4da30 05636b73 756d5f63 61700000 00206402 .cksum_cap... d. 4da40 23000574 736f0000 0020bd02 23080576 #..tso... ..#..v 4da50 6c616e5f 73757070 6f727465 64000000 lan_supported... 4da60 16f20223 0c001220 000021aa 0574785f ...#... ..!..tx_ 4da70 7061636b 65747300 0000168b 02230005 packets......#.. 4da80 72785f70 61636b65 74730000 00168b02 rx_packets...... 4da90 23040574 785f6279 74657300 0000168b #..tx_bytes..... 4daa0 02230805 72785f62 79746573 00000016 .#..rx_bytes.... 4dab0 8b02230c 0574785f 64726f70 70656400 ..#..tx_dropped. 4dac0 0000168b 02231005 72785f64 726f7070 .....#..rx_dropp 4dad0 65640000 00168b02 23140572 785f6572 ed......#..rx_er 4dae0 726f7273 00000016 8b022318 0574785f rors......#..tx_ 4daf0 6572726f 72730000 00168b02 231c0003 errors......#... 4db00 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ 4db10 74000000 1ce41600 0021aa03 00000021 t........!.....! 4db20 cf107f00 17616466 5f6e6574 5f636d64 .....adf_net_cmd 4db30 5f6d6361 64647200 03040000 2206056e _mcaddr....."..n 4db40 656c656d 00000016 8b022300 056d6361 elem......#..mca 4db50 73740000 0021c102 23040003 6164665f st...!..#...adf_ 4db60 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf 4db70 6f5f7400 00001c7e 03616466 5f6e6574 o_t....~.adf_net 4db80 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t 4db90 0000001e ec036164 665f6e65 745f636d ......adf_net_cm 4dba0 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. 4dbb0 00206403 6164665f 6e65745f 636d645f . d.adf_net_cmd_ 4dbc0 72696e67 5f696e66 6f5f7400 00001eb0 ring_info_t..... 4dbd0 03616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma 4dbe0 5f696e66 6f5f7400 00001f95 03616466 _info_t......adf 4dbf0 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. 4dc00 001d1a03 6164665f 6e65745f 636d645f ....adf_net_cmd_ 4dc10 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... 4dc20 20d50361 64665f6e 65745f63 6d645f73 ..adf_net_cmd_s 4dc30 74617473 5f740000 00211103 6164665f tats_t...!..adf_ 4dc40 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t 4dc50 00000021 cf0d6164 665f6e65 745f636d ...!..adf_net_cm 4dc60 645f6d63 6173745f 63617000 04000023 d_mcast_cap....# 4dc70 480e4144 465f4e45 545f4d43 4153545f H.ADF_NET_MCAST_ 4dc80 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC 4dc90 4153545f 4e4f5453 55500001 00036164 AST_NOTSUP....ad 4dca0 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 4dcb0 6361705f 74000000 23001803 04000024 cap_t...#......$ 4dcc0 1a056c69 6e6b5f69 6e666f00 00002206 ..link_info...". 4dcd0 02230005 706f6c6c 5f696e66 6f000000 .#..poll_info... 4dce0 22230223 0005636b 73756d5f 696e666f "#.#..cksum_info 4dcf0 00000022 40022300 0572696e 675f696e ..."@.#..ring_in 4dd00 666f0000 00225e02 23000564 6d615f69 fo..."^.#..dma_i 4dd10 6e666f00 0000227b 02230005 76696400 nfo..."{.#..vid. 4dd20 00002297 02230005 6f66666c 6f61645f .."..#..offload_ 4dd30 63617000 000022ae 02230005 73746174 cap..."..#..stat 4dd40 73000000 22cd0223 00056d63 6173745f s..."..#..mcast_ 4dd50 696e666f 00000022 e6022300 056d6361 info..."..#..mca 4dd60 73745f63 61700000 00234802 23000014 st_cap...#H.#... 4dd70 04000024 710e4144 465f4e42 55465f52 ...$q.ADF_NBUF_R 4dd80 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A 4dd90 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 4dda0 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R 4ddb0 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS 4ddc0 41525900 02000361 64665f6e 6275665f ARY....adf_nbuf_ 4ddd0 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. 4dde0 0000241a 12080000 24b10572 6573756c ..$.....$..resul 4ddf0 74000000 24710223 00057661 6c000000 t...$q.#..val... 4de00 168b0223 04001208 000024e1 05747970 ...#......$..typ 4de10 65000000 20bd0223 00056d73 73000000 e... ..#..mss... 4de20 1d1a0223 04056864 725f6f66 66000000 ...#..hdr_off... 4de30 16f20223 0600045f 5f616466 5f6e6275 ...#...__adf_nbu 4de40 665f7168 65616400 0c000025 20056865 f_qhead....% .he 4de50 61640000 0014ab02 23000574 61696c00 ad......#..tail. 4de60 000014ab 02230405 716c656e 00000016 .....#..qlen.... 4de70 8b022308 00035f5f 6164665f 6e627566 ..#...__adf_nbuf 4de80 5f740000 0014ab07 00001701 04000700 _t.............. 4de90 00168b04 00060109 0000138d 01090000 ................ 4dea0 168b0109 00001701 01090000 17010107 ................ 4deb0 0000136e 0400035f 5f616466 5f6e6275 ...n...__adf_nbu 4dec0 665f7168 6561645f 74000000 24e1035f f_qhead_t...$.._ 4ded0 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ 4dee0 74000000 25610700 00257904 00090000 t...%a...%y..... 4def0 25200109 00002520 01140400 0026990e % ....% .....&.. 4df00 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ 4df10 53544154 55535f46 41494c45 4400010e STATUS_FAILED... 4df20 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. 4df30 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME 4df40 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN 4df50 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E 4df60 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S 4df70 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. 4df80 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. 4df90 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG 4dfa0 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD 4dfb0 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST 4dfc0 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S 4dfd0 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A 4dfe0 5f535441 5455535f 45494f00 0c000361 _STATUS_EIO....a 4dff0 5f737461 7475735f 74000000 25a40900 _status_t...%... 4e000 00269901 09000001 c8010601 03616466 .&...........adf 4e010 5f6e6275 665f7400 00002520 14040000 _nbuf_t...% .... 4e020 26fe0e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO 4e030 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS 4e040 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE 4e050 00010003 6164665f 6f735f64 6d615f64 ....adf_os_dma_d 4e060 69725f74 00000026 c7090000 26990106 ir_t...&....&... 4e070 01036164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ 4e080 696e666f 5f740000 0016a807 0000271c info_t........'. 4e090 04000601 06010900 0026b701 09000025 .........&.....% 4e0a0 20010601 06010900 0026b701 09000025 ........&.....% 4e0b0 20010900 0026b701 09000025 20010900 ....&.....% ... 4e0c0 0026b701 06010601 09000016 8b010900 .&.............. 4e0d0 00170101 06010601 0900001b b8010900 ................ 4e0e0 001b0501 0900001b 05010361 64665f6f ...........adf_o 4e0f0 735f7367 6c697374 5f740000 00174007 s_sglist_t....@. 4e100 00002795 04000601 06010601 09000017 ..'............. 4e110 01010361 64665f6e 6275665f 71756575 ...adf_nbuf_queu 4e120 655f7400 00002579 07000027 bd040006 e_t...%y...'.... 4e130 01070000 25610400 06010601 06010900 ....%a.......... 4e140 0026b701 09000025 20010900 00168b01 .&.....% ....... 4e150 09000016 8b010900 001b0501 0900001b ................ 4e160 05010900 00202101 09000016 8b010361 ..... !........a 4e170 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 4e180 5f740000 00248f07 00002819 04000601 _t...$....(..... 4e190 06010361 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ 4e1a0 74000000 24b10700 00283d04 00060106 t...$....(=..... 4e1b0 01036164 665f6e65 745f6861 6e646c65 ..adf_net_handle 4e1c0 5f740000 00047b03 6164665f 6e65745f _t....{.adf_net_ 4e1d0 766c616e 6864725f 74000000 1e2d0700 vlanhdr_t....-.. 4e1e0 00287204 00090000 26990109 00002699 .(r.....&.....&. 4e1f0 01060106 01045f48 49465f43 4f4e4649 ......_HIF_CONFI 4e200 47000400 0028c105 64756d6d 79000000 G....(..dummy... 4e210 01c80223 00000601 07000028 c1040006 ...#.......(.... 4e220 01070000 28ca0400 045f4849 465f4341 ....(...._HIF_CA 4e230 4c4c4241 434b000c 0000291f 0573656e LLBACK....)..sen 4e240 645f6275 665f646f 6e650000 0028c302 d_buf_done...(.. 4e250 23000572 6563765f 62756600 000028cc #..recv_buf...(. 4e260 02230405 636f6e74 65787400 0000047b .#..context....{ 4e270 02230800 03686966 5f68616e 646c655f .#...hif_handle_ 4e280 74000000 047b0348 49465f43 4f4e4649 t....{.HIF_CONFI 4e290 47000000 28a00700 00293104 00090000 G...(....)1..... 4e2a0 291f0107 00002948 04000601 07000029 ).....)H.......) 4e2b0 55040003 4849465f 43414c4c 4241434b U...HIF_CALLBACK 4e2c0 00000028 d3070000 295e0400 06010700 ...(....)^...... 4e2d0 00297704 00090000 01c80107 00002980 .)w...........). 4e2e0 04000601 07000029 8d040009 000001c8 .......)........ 4e2f0 01070000 29960400 06010700 0029a304 ....)........).. 4e300 00090000 01c80107 000029ac 04000601 ..........)..... 4e310 07000029 b9040004 6869665f 61706900 ...)....hif_api. 4e320 3800002b 12055f69 6e697400 0000294e 8..+.._init...)N 4e330 02230005 5f736875 74646f77 6e000000 .#.._shutdown... 4e340 29570223 04055f72 65676973 7465725f )W.#.._register_ 4e350 63616c6c 6261636b 00000029 79022308 callback...)y.#. 4e360 055f6765 745f746f 74616c5f 63726564 ._get_total_cred 4e370 69745f63 6f756e74 00000029 8602230c it_count...)..#. 4e380 055f7374 61727400 00002957 02231005 ._start...)W.#.. 4e390 5f636f6e 6669675f 70697065 00000029 _config_pipe...) 4e3a0 8f022314 055f7365 6e645f62 75666665 ..#.._send_buffe 4e3b0 72000000 299c0223 18055f72 65747572 r...)..#.._retur 4e3c0 6e5f7265 63765f62 75660000 0029a502 n_recv_buf...).. 4e3d0 231c055f 69735f70 6970655f 73757070 #.._is_pipe_supp 4e3e0 6f727465 64000000 29b20223 20055f67 orted...)..# ._g 4e3f0 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. 4e400 0029b202 2324055f 6765745f 72657365 .)..#$._get_rese 4e410 72766564 5f686561 64726f6f 6d000000 rved_headroom... 4e420 29860223 28055f69 73725f68 616e646c )..#(._isr_handl 4e430 65720000 00295702 232c055f 6765745f er...)W.#,._get_ 4e440 64656661 756c745f 70697065 00000029 default_pipe...) 4e450 bb022330 05705265 73657276 65640000 ..#0.pReserved.. 4e460 00047b02 2334000d 646d615f 656e6769 ..{.#4..dma_engi 4e470 6e650004 00002b9b 0e444d41 5f454e47 ne....+..DMA_ENG 4e480 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN 4e490 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E 4e4a0 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ 4e4b0 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA 4e4c0 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM 4e4d0 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D 4e4e0 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... 4e4f0 03646d61 5f656e67 696e655f 74000000 .dma_engine_t... 4e500 2b120d64 6d615f69 66747970 65000400 +..dma_iftype... 4e510 002be80e 444d415f 49465f47 4d414300 .+..DMA_IF_GMAC. 4e520 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D 4e530 4d415f49 465f5043 49450002 0003646d MA_IF_PCIE....dm 4e540 615f6966 74797065 5f740000 002bad09 a_iftype_t...+.. 4e550 00001360 01070000 2bfa0400 06010700 ...`....+....... 4e560 002c0704 00060107 00002c10 04000900 .,........,..... 4e570 00099201 0700002c 19040009 00001360 .......,.......` 4e580 01070000 2c260400 09000013 60010700 ....,&......`... 4e590 002c3304 00090000 14ab0107 00002c40 .,3...........,@ 4e5a0 04000601 0700002c 4d040004 646d615f .......,M...dma_ 4e5b0 6c69625f 61706900 3400002d 54057478 lib_api.4..-T.tx 4e5c0 5f696e69 74000000 2c000223 00057478 _init...,..#..tx 4e5d0 5f737461 72740000 002c0902 23040572 _start...,..#..r 4e5e0 785f696e 69740000 002c0002 23080572 x_init...,..#..r 4e5f0 785f636f 6e666967 0000002c 1202230c x_config...,..#. 4e600 0572785f 73746172 74000000 2c090223 .rx_start...,..# 4e610 1005696e 74725f73 74617475 73000000 ..intr_status... 4e620 2c1f0223 14056861 72645f78 6d697400 ,..#..hard_xmit. 4e630 00002c2c 02231805 666c7573 685f786d ..,,.#..flush_xm 4e640 69740000 002c0902 231c0578 6d69745f it...,..#..xmit_ 4e650 646f6e65 0000002c 39022320 05726561 done...,9.# .rea 4e660 705f786d 69747465 64000000 2c460223 p_xmitted...,F.# 4e670 24057265 61705f72 65637600 00002c46 $.reap_recv...,F 4e680 02232805 72657475 726e5f72 65637600 .#(.return_recv. 4e690 00002c4f 02232c05 72656376 5f706b74 ..,O.#,.recv_pkt 4e6a0 0000002c 39022330 00045f5f 7063695f ...,9.#0..__pci_ 4e6b0 736f6674 63000c00 002d7205 73770000 softc....-r.sw.. 4e6c0 00295e02 23000003 5f5f7063 695f736f .)^.#...__pci_so 4e6d0 6674635f 74000000 2d540700 002d7204 ftc_t...-T...-r. 4e6e0 00060107 00002d8c 04000900 00134c01 ......-.......L. 4e6f0 0700002d 9504000d 6869665f 7063695f ...-....hif_pci_ 4e700 70697065 5f747800 0400002d f50e4849 pipe_tx....-..HI 4e710 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. 4e720 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 4e730 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 4e740 5f54585f 4d415800 02000368 69665f70 _TX_MAX....hif_p 4e750 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- 4e760 a2090000 2b9b0107 00002e0c 04000d68 ....+..........h 4e770 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. 4e780 00002e92 0e484946 5f504349 5f504950 .....HIF_PCI_PIP 4e790 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ 4e7a0 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P 4e7b0 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI 4e7c0 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. 4e7d0 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 4e7e0 5f4d4158 00040003 6869665f 7063695f _MAX....hif_pci_ 4e7f0 70697065 5f72785f 74000000 2e190900 pipe_rx_t....... 4e800 002b9b01 0700002e a9040004 6869665f .+..........hif_ 4e810 7063695f 61706900 2400002f 87057063 pci_api.$../..pc 4e820 695f626f 6f745f69 6e697400 000001c1 i_boot_init..... 4e830 02230005 7063695f 696e6974 00000029 .#..pci_init...) 4e840 4e022304 05706369 5f726573 65740000 N.#..pci_reset.. 4e850 0001c102 23080570 63695f65 6e61626c ....#..pci_enabl 4e860 65000000 01c10223 0c057063 695f7265 e......#..pci_re 4e870 61705f78 6d697474 65640000 002d8e02 ap_xmitted...-.. 4e880 23100570 63695f72 6561705f 72656376 #..pci_reap_recv 4e890 0000002d 8e022314 05706369 5f676574 ...-..#..pci_get 4e8a0 5f706970 65000000 2d9b0223 18057063 _pipe...-..#..pc 4e8b0 695f6765 745f7478 5f656e67 0000002e i_get_tx_eng.... 4e8c0 1202231c 05706369 5f676574 5f72785f ..#..pci_get_rx_ 4e8d0 656e6700 00002eaf 02232000 04676d61 eng......# ..gma 4e8e0 635f6170 69000400 002fae05 676d6163 c_api..../..gmac 4e8f0 5f626f6f 745f696e 69740000 0001c102 _boot_init...... 4e900 2300000f 00000100 0600002f bb100500 #........../.... 4e910 045f5f65 74686864 72000e00 002ff105 .__ethhdr..../.. 4e920 64737400 00002fae 02230005 73726300 dst.../..#..src. 4e930 00002fae 02230605 65747970 65000000 ../..#..etype... 4e940 13600223 0c00045f 5f617468 68647200 .`.#...__athhdr. 4e950 04000030 3f157265 73000000 134c0100 ...0?.res....L.. 4e960 02022300 1570726f 746f0000 00134c01 ..#..proto....L. 4e970 02060223 00057265 735f6c6f 00000013 ...#..res_lo.... 4e980 4c022301 05726573 5f686900 00001360 L.#..res_hi....` 4e990 02230200 045f5f67 6d61635f 68647200 .#...__gmac_hdr. 4e9a0 14000030 7b056574 68000000 2fbb0223 ...0{.eth.../..# 4e9b0 00056174 68000000 2ff10223 0e05616c ..ath.../..#..al 4e9c0 69676e5f 70616400 00001360 02231200 ign_pad....`.#.. 4e9d0 035f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... 4e9e0 303f045f 5f676d61 635f736f 66746300 0?.__gmac_softc. 4e9f0 24000030 c5056864 72000000 307b0223 $..0..hdr...0{.# 4ea00 00056772 616e0000 00136002 23140573 ..gran....`.#..s 4ea10 77000000 295e0223 1800045f 415f6f73 w...)^.#..._A_os 4ea20 5f6c696e 6b616765 5f636865 636b0008 _linkage_check.. 4ea30 000030fe 05766572 73696f6e 00000001 ..0..version.... 4ea40 c8022300 05746162 6c650000 0001c802 ..#..table...... 4ea50 23040007 000030c5 04000900 0001c801 #.....0......... 4ea60 07000031 05040007 0000047e 0400175f ...1.......~..._ 4ea70 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 4ea80 696f6e5f 7461626c 650001b8 00003255 ion_table.....2U 4ea90 0568616c 5f6c696e 6b616765 5f636865 .hal_linkage_che 4eaa0 636b0000 00310b02 23000573 74617274 ck...1..#..start 4eab0 5f627373 00000031 12022304 05617070 _bss...1..#..app 4eac0 5f737461 72740000 0001c102 2308056d _start......#..m 4ead0 656d0000 0004be02 230c056d 69736300 em......#..misc. 4eae0 000005dd 02232005 7072696e 74660000 .....# .printf.. 4eaf0 0001f502 23440575 61727400 0000029a ....#D.uart..... 4eb00 02234c05 676d6163 0000002f 8702236c .#L.gmac.../..#l 4eb10 05757362 00000010 0c022370 05636c6f .usb......#p.clo 4eb20 636b0000 000b5503 23e00105 74696d65 ck....U.#...time 4eb30 72000000 07f50323 84020569 6e747200 r......#...intr. 4eb40 00000ca5 03239802 05616c6c 6f637261 .....#...allocra 4eb50 6d000000 09ad0323 c4020572 6f6d7000 m......#...romp. 4eb60 0000089f 0323d002 05776474 5f74696d .....#...wdt_tim 4eb70 65720000 000e8203 23e00205 65657000 er......#...eep. 4eb80 00000fb0 0323fc02 05737472 696e6700 .....#...string. 4eb90 00000701 03238c03 05746173 6b6c6574 .....#...tasklet 4eba0 0000000a aa0323a4 0300045f 5553425f ......#...._USB_ 4ebb0 4649464f 5f434f4e 46494700 10000032 FIFO_CONFIG....2 4ebc0 c8056765 745f636f 6d6d616e 645f6275 ..get_command_bu 4ebd0 66000000 14b80223 00057265 63765f63 f......#..recv_c 4ebe0 6f6d6d61 6e640000 0014ce02 23040567 ommand......#..g 4ebf0 65745f65 76656e74 5f627566 00000014 et_event_buf.... 4ec00 b8022308 0573656e 645f6576 656e745f ..#..send_event_ 4ec10 646f6e65 00000014 ce02230c 00035553 done......#...US 4ec20 425f4649 464f5f43 4f4e4649 47000000 B_FIFO_CONFIG... 4ec30 32550700 0032c804 00060107 000032e4 2U...2........2. 4ec40 04000475 73626669 666f5f61 7069000c ...usbfifo_api.. 4ec50 0000333a 055f696e 69740000 0032e602 ..3:._init...2.. 4ec60 2300055f 656e6162 6c655f65 76656e74 #.._enable_event 4ec70 5f697372 00000001 c1022304 05705265 _isr......#..pRe 4ec80 73657276 65640000 00047b02 2308000f served....{.#... 4ec90 000016f2 02000033 47100100 045f4854 .......3G...._HT 4eca0 435f4652 414d455f 48445200 08000033 C_FRAME_HDR....3 4ecb0 b905456e 64706f69 6e744944 00000016 ..EndpointID.... 4ecc0 f2022300 05466c61 67730000 0016f202 ..#..Flags...... 4ecd0 23010550 61796c6f 61644c65 6e000000 #..PayloadLen... 4ece0 1d1a0223 0205436f 6e74726f 6c427974 ...#..ControlByt 4ecf0 65730000 00333a02 23040548 6f737453 es...3:.#..HostS 4ed00 65714e75 6d000000 1d1a0223 06001202 eqNum......#.... 4ed10 000033d2 054d6573 73616765 49440000 ..3..MessageID.. 4ed20 001d1a02 23000012 08000034 35054d65 ....#......45.Me 4ed30 73736167 65494400 00001d1a 02230005 ssageID......#.. 4ed40 43726564 6974436f 756e7400 00001d1a CreditCount..... 4ed50 02230205 43726564 69745369 7a650000 .#..CreditSize.. 4ed60 001d1a02 2304054d 6178456e 64706f69 ....#..MaxEndpoi 4ed70 6e747300 000016f2 02230605 5f506164 nts......#.._Pad 4ed80 31000000 16f20223 0700120a 000034cc 1......#......4. 4ed90 054d6573 73616765 49440000 001d1a02 .MessageID...... 4eda0 23000553 65727669 63654944 0000001d #..ServiceID.... 4edb0 1a022302 05436f6e 6e656374 696f6e46 ..#..ConnectionF 4edc0 6c616773 0000001d 1a022304 05446f77 lags......#..Dow 4edd0 6e4c696e 6b506970 65494400 000016f2 nLinkPipeID..... 4ede0 02230605 55704c69 6e6b5069 70654944 .#..UpLinkPipeID 4edf0 00000016 f2022307 05536572 76696365 ......#..Service 4ee00 4d657461 4c656e67 74680000 0016f202 MetaLength...... 4ee10 2308055f 50616431 00000016 f2022309 #.._Pad1......#. 4ee20 00120a00 00355405 4d657373 61676549 .....5T.MessageI 4ee30 44000000 1d1a0223 00055365 72766963 D......#..Servic 4ee40 65494400 00001d1a 02230205 53746174 eID......#..Stat 4ee50 75730000 0016f202 23040545 6e64706f us......#..Endpo 4ee60 696e7449 44000000 16f20223 05054d61 intID......#..Ma 4ee70 784d7367 53697a65 0000001d 1a022306 xMsgSize......#. 4ee80 05536572 76696365 4d657461 4c656e67 .ServiceMetaLeng 4ee90 74680000 0016f202 2308055f 50616431 th......#.._Pad1 4eea0 00000016 f2022309 00120200 00356d05 ......#......5m. 4eeb0 4d657373 61676549 44000000 1d1a0223 MessageID......# 4eec0 00001204 000035a9 054d6573 73616765 ......5..Message 4eed0 49440000 001d1a02 23000550 69706549 ID......#..PipeI 4eee0 44000000 16f20223 02054372 65646974 D......#..Credit 4eef0 436f756e 74000000 16f20223 03001204 Count......#.... 4ef00 000035e0 054d6573 73616765 49440000 ..5..MessageID.. 4ef10 001d1a02 23000550 69706549 44000000 ....#..PipeID... 4ef20 16f20223 02055374 61747573 00000016 ...#..Status.... 4ef30 f2022303 00120200 00360705 5265636f ..#......6..Reco 4ef40 72644944 00000016 f2022300 054c656e rdID......#..Len 4ef50 67746800 000016f2 02230100 12020000 gth......#...... 4ef60 36310545 6e64706f 696e7449 44000000 61.EndpointID... 4ef70 16f20223 00054372 65646974 73000000 ...#..Credits... 4ef80 16f20223 01001204 00003672 05456e64 ...#......6r.End 4ef90 706f696e 74494400 000016f2 02230005 pointID......#.. 4efa0 43726564 69747300 000016f2 02230105 Credits......#.. 4efb0 54677443 72656469 74536571 4e6f0000 TgtCreditSeqNo.. 4efc0 001d1a02 2302000f 000016f2 04000036 ....#..........6 4efd0 7f100300 12060000 36bb0550 72655661 ........6..PreVa 4efe0 6c696400 000016f2 02230005 4c6f6f6b lid......#..Look 4eff0 41686561 64000000 36720223 0105506f Ahead...6r.#..Po 4f000 73745661 6c696400 000016f2 02230500 stValid......#.. 4f010 03706f6f 6c5f6861 6e646c65 5f740000 .pool_handle_t.. 4f020 00047b09 000036bb 01070000 36ce0400 ..{...6.....6... 4f030 06010700 0036db04 00140400 0037590e .....6.......7Y. 4f040 504f4f4c 5f49445f 4854435f 434f4e54 POOL_ID_HTC_CONT 4f050 524f4c00 000e504f 4f4c5f49 445f574d ROL...POOL_ID_WM 4f060 495f5356 435f434d 445f5245 504c5900 I_SVC_CMD_REPLY. 4f070 010e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV 4f080 435f4556 454e5400 020e504f 4f4c5f49 C_EVENT...POOL_I 4f090 445f574c 414e5f52 585f4255 4600030e D_WLAN_RX_BUF... 4f0a0 504f4f4c 5f49445f 4d415800 0a000342 POOL_ID_MAX....B 4f0b0 55465f50 4f4f4c5f 49440000 0036e406 UF_POOL_ID...6.. 4f0c0 01070000 376a0400 09000026 b7010700 ....7j.....&.... 4f0d0 00377304 00090000 26b70107 00003780 .7s.....&.....7. 4f0e0 04000601 07000037 8d040004 6275665f .......7....buf_ 4f0f0 706f6f6c 5f617069 001c0000 382f055f pool_api....8/._ 4f100 696e6974 00000036 d4022300 055f7368 init...6..#.._sh 4f110 7574646f 776e0000 0036dd02 2304055f utdown...6..#.._ 4f120 63726561 74655f70 6f6f6c00 0000376c create_pool...7l 4f130 02230805 5f616c6c 6f635f62 75660000 .#.._alloc_buf.. 4f140 00377902 230c055f 616c6c6f 635f6275 .7y.#.._alloc_bu 4f150 665f616c 69676e00 00003786 02231005 f_align...7..#.. 4f160 5f667265 655f6275 66000000 378f0223 _free_buf...7..# 4f170 14057052 65736572 76656400 0000047b ..pReserved....{ 4f180 02231800 045f4854 435f5345 52564943 .#..._HTC_SERVIC 4f190 45001c00 00390e05 704e6578 74000000 E....9..pNext... 4f1a0 390e0223 00055072 6f636573 73526563 9..#..ProcessRec 4f1b0 764d7367 00000039 c3022304 0550726f vMsg...9..#..Pro 4f1c0 63657373 53656e64 42756666 6572436f cessSendBufferCo 4f1d0 6d706c65 74650000 0039cc02 23080550 mplete...9..#..P 4f1e0 726f6365 7373436f 6e6e6563 74000000 rocessConnect... 4f1f0 39e00223 0c055365 72766963 65494400 9..#..ServiceID. 4f200 00001360 02231005 53657276 69636546 ...`.#..ServiceF 4f210 6c616773 00000013 60022312 054d6178 lags....`.#..Max 4f220 5376634d 73675369 7a650000 00136002 SvcMsgSize....`. 4f230 23140554 7261696c 65725370 63436865 #..TrailerSpcChe 4f240 636b4c69 6d697400 00001360 02231605 ckLimit....`.#.. 4f250 53657276 69636543 74780000 00047b02 ServiceCtx....{. 4f260 23180007 0000382f 04001404 000039ac #.....8/......9. 4f270 19454e44 504f494e 545f554e 55534544 .ENDPOINT_UNUSED 4f280 00ffffff ff0e454e 44504f49 4e543000 ......ENDPOINT0. 4f290 000e454e 44504f49 4e543100 010e454e ..ENDPOINT1...EN 4f2a0 44504f49 4e543200 020e454e 44504f49 DPOINT2...ENDPOI 4f2b0 4e543300 030e454e 44504f49 4e543400 NT3...ENDPOINT4. 4f2c0 040e454e 44504f49 4e543500 050e454e ..ENDPOINT5...EN 4f2d0 44504f49 4e543600 060e454e 44504f49 DPOINT6...ENDPOI 4f2e0 4e543700 070e454e 44504f49 4e543800 NT7...ENDPOINT8. 4f2f0 080e454e 44504f49 4e545f4d 41580016 ..ENDPOINT_MAX.. 4f300 00034854 435f454e 44504f49 4e545f49 ..HTC_ENDPOINT_I 4f310 44000000 39150601 07000039 c1040006 D...9......9.... 4f320 01070000 39ca0400 07000001 c8040009 ....9........... 4f330 0000134c 01070000 39da0400 07000038 ...L....9......8 4f340 2f040004 5f485443 5f434f4e 46494700 /..._HTC_CONFIG. 4f350 1400003a 5f054372 65646974 53697a65 ...:_.CreditSize 4f360 00000001 c8022300 05437265 6469744e ......#..CreditN 4f370 756d6265 72000000 01c80223 04054f53 umber......#..OS 4f380 48616e64 6c650000 001ab602 23080548 Handle......#..H 4f390 49464861 6e646c65 00000029 1f02230c IFHandle...)..#. 4f3a0 05506f6f 6c48616e 646c6500 000036bb .PoolHandle...6. 4f3b0 02231000 045f4854 435f4255 465f434f .#..._HTC_BUF_CO 4f3c0 4e544558 54000200 003a9b05 656e645f NTEXT....:..end_ 4f3d0 706f696e 74000000 134c0223 00056874 point....L.#..ht 4f3e0 635f666c 61677300 0000134c 02230100 c_flags....L.#.. 4f3f0 03687463 5f68616e 646c655f 74000000 .htc_handle_t... 4f400 047b0348 54435f53 45545550 5f434f4d .{.HTC_SETUP_COM 4f410 504c4554 455f4342 00000001 c1034854 PLETE_CB......HT 4f420 435f434f 4e464947 00000039 ee070000 C_CONFIG...9.... 4f430 3ac80400 0900003a 9b010700 003adf04 :......:.....:.. 4f440 00060107 00003aec 04000348 54435f53 ......:....HTC_S 4f450 45525649 43450000 00382f07 00003af5 ERVICE...8/...:. 4f460 04000601 0700003b 0d040006 01070000 .......;........ 4f470 3b160400 06010700 003b1f04 00090000 ;........;...... 4f480 01c80107 00003b28 04000468 74635f61 ......;(...htc_a 4f490 70697300 3400003c a5055f48 54435f49 pis.4..<.._HTC_I 4f4a0 6e697400 00003ae5 02230005 5f485443 nit...:..#.._HTC 4f4b0 5f536875 74646f77 6e000000 3aee0223 _Shutdown...:..# 4f4c0 04055f48 54435f52 65676973 74657253 .._HTC_RegisterS 4f4d0 65727669 63650000 003b0f02 2308055f ervice...;..#.._ 4f4e0 4854435f 52656164 79000000 3aee0223 HTC_Ready...:..# 4f4f0 0c055f48 54435f52 65747572 6e427566 .._HTC_ReturnBuf 4f500 66657273 0000003b 18022310 055f4854 fers...;..#.._HT 4f510 435f5265 7475726e 42756666 6572734c C_ReturnBuffersL 4f520 69737400 00003b21 02231405 5f485443 ist...;!.#.._HTC 4f530 5f53656e 644d7367 0000003b 18022318 _SendMsg...;..#. 4f540 055f4854 435f4765 74526573 65727665 ._HTC_GetReserve 4f550 64486561 64726f6f 6d000000 3b2e0223 dHeadroom...;..# 4f560 1c055f48 54435f4d 73675265 63764861 .._HTC_MsgRecvHa 4f570 6e646c65 72000000 28cc0223 20055f48 ndler...(..# ._H 4f580 54435f53 656e6444 6f6e6548 616e646c TC_SendDoneHandl 4f590 65720000 0028c302 2324055f 4854435f er...(..#$._HTC_ 4f5a0 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces 4f5b0 734d7367 00000039 c3022328 055f4854 sMsg...9..#(._HT 4f5c0 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc 4f5d0 65737353 656e6443 6f6d706c 65746500 essSendComplete. 4f5e0 000039cc 02232c05 70526573 65727665 ..9..#,.pReserve 4f5f0 64000000 047b0223 30000468 6f73745f d....{.#0..host_ 4f600 6170705f 61726561 5f730004 00003cd5 app_area_s....<. 4f610 05776d69 5f70726f 746f636f 6c5f7665 .wmi_protocol_ve 4f620 72000000 168b0223 0000120e 00003d0c r......#......=. 4f630 05647374 4d616300 00001cd7 02230005 .dstMac......#.. 4f640 7372634d 61630000 001cd702 23060574 srcMac......#..t 4f650 7970654f 724c656e 0000001d 1a02230c ypeOrLen......#. 4f660 000f0000 16f20300 003d1910 02001208 .........=...... 4f670 00003d69 05647361 70000000 16f20223 ..=i.dsap......# 4f680 00057373 61700000 0016f202 23010563 ..ssap......#..c 4f690 6e746c00 000016f2 02230205 6f726743 ntl......#..orgC 4f6a0 6f646500 00003d0c 02230305 65746865 ode...=..#..ethe 4f6b0 72547970 65000000 1d1a0223 06001202 rType......#.... 4f6c0 00003d8a 05727373 69000000 1c700223 ..=..rssi....p.# 4f6d0 0005696e 666f0000 0016f202 23010012 ..info......#... 4f6e0 0400003d b105636f 6d6d616e 64496400 ...=..commandId. 4f6f0 00001d1a 02230005 7365714e 6f000000 .....#..seqNo... 4f700 1d1a0223 02000f00 0016f201 00003dbe ...#..........=. 4f710 10000012 0200003d e5056d73 6753697a .......=..msgSiz 4f720 65000000 16f20223 00056d73 67446174 e......#..msgDat 4f730 61000000 3db10223 01001208 00003e2c a...=..#......>, 4f740 05616464 72657373 4c000000 1d1a0223 .addressL......# 4f750 00056164 64726573 73480000 001d1a02 ..addressH...... 4f760 23020576 616c7565 4c000000 1d1a0223 #..valueL......# 4f770 04057661 6c756548 0000001d 1a022306 ..valueH......#. 4f780 0003574d 495f4156 54000000 3de50f00 ..WMI_AVT...=... 4f790 003e2c08 00003e46 10000012 0c00003e .>,...>F.......> 4f7a0 7d057475 706c654e 756d4c00 00001d1a }.tupleNumL..... 4f7b0 02230005 7475706c 654e756d 48000000 .#..tupleNumH... 4f7c0 1d1a0223 02056176 74000000 3e390223 ...#..avt...>9.# 4f7d0 04001201 00003e9f 05626561 636f6e50 ......>..beaconP 4f7e0 656e6469 6e67436f 756e7400 000016f2 endingCount..... 4f7f0 02230000 045f574d 495f5356 435f434f .#..._WMI_SVC_CO 4f800 4e464947 00100000 3f080548 74634861 NFIG....?..HtcHa 4f810 6e646c65 0000003a 9b022300 05506f6f ndle...:..#..Poo 4f820 6c48616e 646c6500 000036bb 02230405 lHandle...6..#.. 4f830 4d617843 6d645265 706c7945 76747300 MaxCmdReplyEvts. 4f840 000001c8 02230805 4d617845 76656e74 .....#..MaxEvent 4f850 45767473 00000001 c802230c 00060107 Evts......#..... 4f860 00003f08 04000357 4d495f43 4d445f48 ..?....WMI_CMD_H 4f870 414e444c 45520000 003f0a04 5f574d49 ANDLER...?.._WMI 4f880 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. 4f890 0800003f 71057043 6d644861 6e646c65 ...?q.pCmdHandle 4f8a0 72000000 3f110223 0005436d 64494400 r...?..#..CmdID. 4f8b0 00001360 02230405 466c6167 73000000 ...`.#..Flags... 4f8c0 13600223 0600045f 574d495f 44495350 .`.#..._WMI_DISP 4f8d0 41544348 5f544142 4c450010 00003fd2 ATCH_TABLE....?. 4f8e0 05704e65 78740000 003fd202 23000570 .pNext...?..#..p 4f8f0 436f6e74 65787400 0000047b 02230405 Context....{.#.. 4f900 4e756d62 65724f66 456e7472 69657300 NumberOfEntries. 4f910 000001c8 02230805 70546162 6c650000 .....#..pTable.. 4f920 003ff102 230c0007 00003f71 04000357 .?..#.....?q...W 4f930 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR 4f940 59000000 3f260700 003fd904 00070000 Y...?&...?...... 4f950 3f710400 03485443 5f425546 5f434f4e ?q...HTC_BUF_CON 4f960 54455854 0000003a 5f0d574d 495f4556 TEXT...:_.WMI_EV 4f970 545f434c 41535300 04000040 8919574d T_CLASS....@..WM 4f980 495f4556 545f434c 4153535f 4e4f4e45 I_EVT_CLASS_NONE 4f990 00ffffff ff0e574d 495f4556 545f434c ......WMI_EVT_CL 4f9a0 4153535f 434d445f 4556454e 5400000e ASS_CMD_EVENT... 4f9b0 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM 4f9c0 445f5245 504c5900 010e574d 495f4556 D_REPLY...WMI_EV 4f9d0 545f434c 4153535f 4d415800 02000357 T_CLASS_MAX....W 4f9e0 4d495f45 56545f43 4c415353 00000040 MI_EVT_CLASS...@ 4f9f0 14045f57 4d495f42 55465f43 4f4e5445 .._WMI_BUF_CONTE 4fa00 5854000c 000040e7 05487463 42756643 XT....@..HtcBufC 4fa10 74780000 003fff02 23000545 76656e74 tx...?..#..Event 4fa20 436c6173 73000000 40890223 0405466c Class...@..#..Fl 4fa30 61677300 00001360 02230800 03776d69 ags....`.#...wmi 4fa40 5f68616e 646c655f 74000000 047b0357 _handle_t....{.W 4fa50 4d495f53 56435f43 4f4e4649 47000000 MI_SVC_CONFIG... 4fa60 3e9f0700 0040f904 00090000 40e70107 >....@......@... 4fa70 00004114 04000357 4d495f44 49535041 ..A....WMI_DISPA 4fa80 5443485f 5441424c 45000000 3f710700 TCH_TABLE...?q.. 4fa90 00412104 00060107 00004140 04000900 .A!.......A@.... 4faa0 0026b701 07000041 49040006 01070000 .&.....AI....... 4fab0 41560400 09000001 c8010700 00415f04 AV...........A_. 4fac0 00060107 0000416c 04000900 00134c01 ......Al......L. 4fad0 07000041 75040004 5f776d69 5f737663 ...Au..._wmi_svc 4fae0 5f617069 73002c00 0042bd05 5f574d49 _apis.,..B.._WMI 4faf0 5f496e69 74000000 411a0223 00055f57 _Init...A..#.._W 4fb00 4d495f52 65676973 74657244 69737061 MI_RegisterDispa 4fb10 74636854 61626c65 00000041 42022304 tchTable...AB.#. 4fb20 055f574d 495f416c 6c6f6345 76656e74 ._WMI_AllocEvent 4fb30 00000041 4f022308 055f574d 495f5365 ...AO.#.._WMI_Se 4fb40 6e644576 656e7400 00004158 02230c05 ndEvent...AX.#.. 4fb50 5f574d49 5f476574 50656e64 696e6745 _WMI_GetPendingE 4fb60 76656e74 73436f75 6e740000 00416502 ventsCount...Ae. 4fb70 2310055f 574d495f 53656e64 436f6d70 #.._WMI_SendComp 4fb80 6c657465 48616e64 6c657200 000039cc leteHandler...9. 4fb90 02231405 5f574d49 5f476574 436f6e74 .#.._WMI_GetCont 4fba0 726f6c45 70000000 41650223 18055f57 rolEp...Ae.#.._W 4fbb0 4d495f53 68757464 6f776e00 0000416e MI_Shutdown...An 4fbc0 02231c05 5f574d49 5f526563 764d6573 .#.._WMI_RecvMes 4fbd0 73616765 48616e64 6c657200 000039c3 sageHandler...9. 4fbe0 02232005 5f574d49 5f536572 76696365 .# ._WMI_Service 4fbf0 436f6e6e 65637400 0000417b 02232405 Connect...A{.#$. 4fc00 70526573 65727665 64000000 047b0223 pReserved....{.# 4fc10 2800047a 73446d61 44657363 00140000 (..zsDmaDesc.... 4fc20 433f0563 74726c00 0000011e 02230005 C?.ctrl......#.. 4fc30 73746174 75730000 00011e02 23020574 status......#..t 4fc40 6f74616c 4c656e00 0000011e 02230405 otalLen......#.. 4fc50 64617461 53697a65 00000001 1e022306 dataSize......#. 4fc60 056c6173 74416464 72000000 433f0223 .lastAddr...C?.# 4fc70 08056461 74614164 64720000 00022c02 ..dataAddr....,. 4fc80 230c056e 65787441 64647200 0000433f #..nextAddr...C? 4fc90 02231000 07000042 bd040007 000042bd .#.....B......B. 4fca0 0400047a 73446d61 51756575 65000800 ...zsDmaQueue... 4fcb0 00437f05 68656164 00000043 46022300 .C..head...CF.#. 4fcc0 05746572 6d696e61 746f7200 00004346 .terminator...CF 4fcd0 02230400 047a7354 78446d61 51756575 .#...zsTxDmaQueu 4fce0 65001000 0043e305 68656164 00000043 e....C..head...C 4fcf0 46022300 05746572 6d696e61 746f7200 F.#..terminator. 4fd00 00004346 02230405 786d6974 65645f62 ..CF.#..xmited_b 4fd10 75665f68 65616400 000014ab 02230805 uf_head......#.. 4fd20 786d6974 65645f62 75665f74 61696c00 xmited_buf_tail. 4fd30 000014ab 02230c00 06010700 0043e304 .....#.......C.. 4fd40 00070000 434d0400 06010700 0043f304 ....CM.......C.. 4fd50 00070000 437f0400 06010700 00440304 ....C........D.. 4fd60 00060107 0000440c 04000601 07000044 ......D........D 4fd70 15040009 000014ab 01070000 441e0400 ............D... 4fd80 06010700 00442b04 00090000 14ab0107 .....D+......... 4fd90 00004434 04000601 07000044 41040009 ..D4.......DA... 4fda0 000001c8 01070000 444a0400 09000043 ........DJ.....C 4fdb0 46010700 00445704 00060107 00004464 F....DW.......Dd 4fdc0 04000464 6d615f65 6e67696e 655f6170 ...dma_engine_ap 4fdd0 69004000 0045da05 5f696e69 74000000 i.@..E.._init... 4fde0 43e50223 00055f69 6e69745f 72785f71 C..#.._init_rx_q 4fdf0 75657565 00000043 f5022304 055f696e ueue...C..#.._in 4fe00 69745f74 785f7175 65756500 00004405 it_tx_queue...D. 4fe10 02230805 5f636f6e 6669675f 72785f71 .#.._config_rx_q 4fe20 75657565 00000044 0e02230c 055f786d ueue...D..#.._xm 4fe30 69745f62 75660000 00441702 2310055f it_buf...D..#.._ 4fe40 666c7573 685f786d 69740000 0043f502 flush_xmit...C.. 4fe50 2314055f 72656170 5f726563 765f6275 #.._reap_recv_bu 4fe60 66000000 44240223 18055f72 65747572 f...D$.#.._retur 4fe70 6e5f7265 63765f62 75660000 00442d02 n_recv_buf...D-. 4fe80 231c055f 72656170 5f786d69 7465645f #.._reap_xmited_ 4fe90 62756600 0000443a 02232005 5f737761 buf...D:.# ._swa 4fea0 705f6461 74610000 00444302 2324055f p_data...DC.#$._ 4feb0 6861735f 636f6d70 6c5f7061 636b6574 has_compl_packet 4fec0 73000000 44500223 28055f64 6573635f s...DP.#(._desc_ 4fed0 64756d70 00000043 f502232c 055f6765 dump...C..#,._ge 4fee0 745f7061 636b6574 00000044 5d022330 t_packet...D].#0 4fef0 055f7265 636c6169 6d5f7061 636b6574 ._reclaim_packet 4ff00 00000044 66022334 055f7075 745f7061 ...Df.#4._put_pa 4ff10 636b6574 00000044 66022338 05705265 cket...Df.#8.pRe 4ff20 73657276 65640000 00047b02 233c0003 served....{.#<.. 4ff30 5f415f63 6d6e6f73 5f696e64 69726563 _A_cmnos_indirec 4ff40 74696f6e 5f746162 6c655f74 00000031 tion_table_t...1 4ff50 1903574d 495f5356 435f4150 49530000 ..WMI_SVC_APIS.. 4ff60 00418217 5f415f6d 61677069 655f696e .A.._A_magpie_in 4ff70 64697265 6374696f 6e5f7461 626c6500 direction_table. 4ff80 034c0000 47080563 6d6e6f73 00000045 .L..G..cmnos...E 4ff90 da022300 05646267 00000004 480323b8 ..#..dbg....H.#. 4ffa0 03056869 66000000 29c20323 c0030568 ..hif...)..#...h 4ffb0 74630000 003b3503 23f80305 776d695f tc...;5.#...wmi_ 4ffc0 7376635f 61706900 000045fc 0323ac04 svc_api...E..#.. 4ffd0 05757362 6669666f 5f617069 00000032 .usbfifo_api...2 4ffe0 ed0323d8 04056275 665f706f 6f6c0000 ..#...buf_pool.. 4fff0 00379603 23e40405 76627566 00000014 .7..#...vbuf.... 50000 d5032380 05057664 65736300 000013b7 ..#...vdesc..... 50010 03239405 05616c6c 6f637261 6d000000 .#...allocram... 50020 09ad0323 a8050564 6d615f65 6e67696e ...#...dma_engin 50030 65000000 446d0323 b4050564 6d615f6c e...Dm.#...dma_l 50040 69620000 002c5603 23f40505 6869665f ib...,V.#...hif_ 50050 70636900 00002eb6 0323a806 00035f41 pci......#...._A 50060 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect 50070 696f6e5f 7461626c 655f7400 0000460e ion_table_t...F. 50080 03536574 75705061 636b6574 00000001 .SetupPacket.... 50090 421a436f 6e74726f 6c436d64 00000047 B.ControlCmd...G 500a0 2b050300 500a9801 1a757362 4669666f +...P....usbFifo 500b0 436f6e66 00000032 c8050300 500aa401 Conf...2....P... 500c0 1a667743 6865636b 53756d00 00000241 .fwCheckSum....A 500d0 05030050 0ab4010f 00000134 06000047 ...P.......4...G 500e0 8f100200 1a557362 53746174 75730000 .....UsbStatus.. 500f0 00478205 0300500a f0011a70 75384465 .G....P....pu8De 50100 73637269 70746f72 45580000 000f8f05 scriptorEX...... 50110 0300500a b8011a75 31365478 5278436f ..P....u16TxRxCo 50120 756e7465 72000000 01340503 00500abc unter....4...P.. 50130 011a7538 436f6e66 69674465 73637269 ..u8ConfigDescri 50140 70746f72 45580000 000f8f05 0300500a ptorEX........P. 50150 c0011404 00004826 0e414354 5f49444c ......H&.ACT_IDL 50160 4500000e 4143545f 444f4e45 00010e41 E...ACT_DONE...A 50170 43545f53 54414c4c 00020003 41637469 CT_STALL....Acti 50180 6f6e0000 0047fd1a 65557362 43784669 on...G..eUsbCxFi 50190 6e697368 41637469 6f6e0000 00482605 nishAction...H&. 501a0 0300500a c4011404 0000488d 0e434d44 ..P.......H..CMD 501b0 5f564f49 4400000e 434d445f 4745545f _VOID...CMD_GET_ 501c0 44455343 52495054 4f520001 0e434d44 DESCRIPTOR...CMD 501d0 5f534554 5f444553 43524950 544f5200 _SET_DESCRIPTOR. 501e0 02000343 6f6d6d61 6e645479 70650000 ...CommandType.. 501f0 0048511a 65557362 4378436f 6d6d616e .HQ.eUsbCxComman 50200 64000000 488d0503 00500ac8 011a5573 d...H....P....Us 50210 62436869 72704669 6e697368 00000008 bChirpFinish.... 50220 6b050300 500acc01 1a753855 7362436f k...P....u8UsbCo 50230 6e666967 56616c75 65000000 01340503 nfigValue....4.. 50240 00500ace 011a7538 55736249 6e746572 .P....u8UsbInter 50250 66616365 56616c75 65000000 01340503 faceValue....4.. 50260 00500ad0 011a7538 55736249 6e746572 .P....u8UsbInter 50270 66616365 416c7465 726e6174 65536574 faceAlternateSet 50280 74696e67 00000001 34050300 500ad201 ting....4...P... 50290 1a753136 4669726d 77617265 436f6d70 .u16FirmwareComp 502a0 6c657465 00000001 34050300 500ad401 lete....4...P... 502b0 1a753855 73624465 76696365 44657363 .u8UsbDeviceDesc 502c0 72697074 6f720000 000f8f05 0300500a riptor........P. 502d0 d8011a75 38537472 696e6730 30446573 ...u8String00Des 502e0 63726970 746f7200 00000f8f 05030050 criptor........P 502f0 0adc011a 75385374 72696e67 31304465 ....u8String10De 50300 73637269 70746f72 0000000f 8f050300 scriptor........ 50310 500ae001 1a753853 7472696e 67323044 P....u8String20D 50320 65736372 6970746f 72000000 0f8f0503 escriptor....... 50330 00500ae4 011a7538 53747269 6e673330 .P....u8String30 50340 44657363 72697074 6f720000 000f8f05 Descriptor...... 50350 0300500a e8010700 00011104 00070000 ..P............. 50360 02410400 1b000001 34010000 4a1c1002 .A......4...J... 50370 001a5465 73745061 746e3000 00004a0f ..TestPatn0...J. 50380 05030050 0720011b 00000241 0100004a ...P. .....A...J 50390 3f100c00 1a546573 74506174 6e310000 ?....TestPatn1.. 503a0 004a3205 03005007 30011b00 00013401 .J2...P.0.....4. 503b0 00004a61 1c001b00 00013401 00004a6d ..Ja......4...Jm 503c0 1c000700 00024104 000f0000 01cf1500 ......A......... 503d0 004a8110 14000700 004a7404 00070000 .J.......Jt..... 503e0 0f8f0400 1d000002 2c1d0000 022c0700 ........,....,.. 503f0 004a9404 000f0000 01cf2500 004aad10 .J........%..J.. 50400 24000700 004aa004 000f0000 01cf2000 $....J........ . 50410 004ac110 1f000700 004ab404 000f0000 .J.......J...... 50420 01cf0900 004ad510 08000700 004ac804 .....J.......J.. 50430 000f0000 01cf1e00 004ae910 1d000700 .........J...... 50440 004adc04 001b0000 01340100 004afc1c .J.......4...J.. 50450 001b0000 01340100 004b081c 000f0000 .....4...K...... 50460 01cf1100 004b1510 10000700 004b0804 .....K.......K.. 50470 000f0000 01cf1000 004b2910 0f000700 .........K)..... 50480 004b1c04 000f0000 01cf1600 004b3d10 .K...........K=. 50490 15000700 004b3004 000f0000 01cf0d00 .....K0......... 504a0 004b5110 0c000700 004b4404 000f0000 .KQ......KD..... 504b0 01cf0f00 004b6510 0e000700 004b5804 .....Ke......KX. 504c0 000f0000 01cf0e00 004b7910 0d000700 .........Ky..... 504d0 004b6c04 000f0000 01cf1200 004b8d10 .Kl..........K.. 504e0 11000700 004b8004 00070000 32ed0400 .....K......2... 504f0 06010700 00100c04 0006011e 01013f76 ..............?v 50500 5573625f 65703074 78000103 92012002 Usb_ep0tx..... . 50510 9000008e 3884008e 38ac1e01 01597655 ....8...8....YvU 50520 73625f65 70307278 00010392 01200290 sb_ep0rx..... .. 50530 00008e38 ac008e38 ce1f0101 6d765573 ...8...8....mvUs 50540 62436c72 45507800 01039201 20029000 bClrEPx..... ... 50550 008e38d0 008e3951 00004c12 20753865 ..8...9Q..L. u8e 50560 70000000 01110021 01018662 4765745f p......!...bGet_ 50570 73746174 75730000 00086b01 03920120 status....k.... 50580 02900000 8e395400 8e398800 004c5320 .....9T..9...LS 50590 52656369 7069656e 74537461 7475734c RecipientStatusL 505a0 6f770000 00011100 220101a1 62436c65 ow......"...bCle 505b0 61725f66 65617475 72650000 00086b01 ar_feature....k. 505c0 03920120 02900000 8e398800 8e39ab21 ... .....9...9.! 505d0 0101c662 5365745f 66656174 75726500 ...bSet_feature. 505e0 0000086b 01039201 20029000 008e39ac ...k.... .....9. 505f0 008e3a7c 00004cac 20696900 00000134 ..:|..L. ii....4 50600 00220102 48625365 745f6164 64726573 ."..HbSet_addres 50610 73000000 086b0103 92012002 9000008e s....k.... ..... 50620 3a7c008e 3a9b2201 02626247 65745f64 :|..:."..bbGet_d 50630 65736372 6970746f 72000000 086b0103 escriptor....k.. 50640 92012002 9000008e 3a9c008e 3b2b2201 .. .....:...;+". 50650 02c96247 65745f63 6f6e6669 67757261 ..bGet_configura 50660 74696f6e 00000008 6b010392 01200290 tion....k.... .. 50670 00008e3b 2c008e3b 52220102 e7625365 ...;,..;R"...bSe 50680 745f636f 6e666967 75726174 696f6e00 t_configuration. 50690 0000086b 01039201 20029000 008e3b54 ...k.... .....;T 506a0 008e3bf9 2201032a 62476574 5f696e74 ..;."..*bGet_int 506b0 65726661 63650000 00086b01 03920120 erface....k.... 506c0 02900000 8e3bfc00 8e3c4122 01035f62 .....;... u8temp. 50720 00000111 20753863 6f756e74 00000001 .... u8count.... 50730 11206570 305f6c6f 77000000 01342065 . ep0_low....4 e 50740 70305f68 69676800 00000134 20657030 p0_high....4 ep0 50750 5f646174 61000000 02412072 656d6169 _data....A remai 50760 6e646572 00000001 11206570 305f6c6f nder..... ep0_lo 50770 77000000 01342065 70305f68 69676800 w....4 ep0_high. 50780 00000134 20657030 5f646174 61000000 ...4 ep0_data... 50790 0241001f 0103ea76 55736245 50305278 .A.....vUsbEP0Rx 507a0 44617461 00010392 01200290 00008e3d Data..... .....= 507b0 8c008e3e 0800004e 93207538 74656d70 ...>...N. u8temp 507c0 00000001 11207000 000003ba 20753863 ..... p..... u8c 507d0 6f756e74 00000001 11206570 305f6461 ount..... ep0_da 507e0 74610000 00024100 1e01041e 76557362 ta....A.....vUsb 507f0 5f536574 75704465 73637269 70746f72 _SetupDescriptor 50800 00010392 01200290 00008e3e 08008e3e ..... .....>...> 50810 63220104 48625374 616e6461 7264436f c"..HbStandardCo 50820 6d6d616e 64000000 086b0103 92012002 mmand....k.... . 50830 9000008e 3e64008e 3f611f01 04d75665 ....>d..?a....Ve 50840 6e646f72 436f6d6d 616e6400 01039201 ndorCommand..... 50850 20029000 008e3f64 008e4056 00004f28 .....?d..@V..O( 50860 20746578 745f6164 64720000 000f8f20 text_addr..... 50870 66756e63 50747200 000001c1 001f0105 funcPtr......... 50880 2a765573 625f6570 30736574 75700001 *vUsb_ep0setup.. 50890 03920130 02900000 8e405800 8e41ce00 ...0.....@X..A.. 508a0 004f7120 69690000 00011120 6b6b6b00 .Oq ii..... kkk. 508b0 000001c8 23657030 5f646174 61000000 ....#ep0_data... 508c0 02410291 50001e01 05bd6346 55534232 .A..P.....cFUSB2 508d0 3030496e 69740001 03920120 02900000 00Init..... .... 508e0 8e41d000 8e42511e 0105ea5f 75736266 .A...BQ...._usbf 508f0 69666f5f 656e6162 6c655f65 76656e74 ifo_enable_event 50900 5f697372 00010392 01200290 00008e42 _isr..... .....B 50910 54008e42 6e1f0105 ef5f7573 62666966 T..Bn...._usbfif 50920 6f5f696e 69740001 03920120 02900000 o_init..... .... 50930 8e427000 8e428800 004ff924 0105ef70 .Bp..B...O.$...p 50940 436f6e66 69670000 0032dd01 52001f01 Config...2..R... 50950 05f77655 73625f52 65675f4f 75740001 ..vUsb_Reg_Out.. 50960 03920120 02900000 8e428800 8e42f000 ... .....B...B.. 50970 00506720 62756600 000014ab 20726567 .Pg buf..... reg 50980 61646472 0000004a 08207573 62666966 addr...J. usbfif 50990 6f6c656e 00000001 3420636d 644c656e olen....4 cmdLen 509a0 00000001 34206969 00000001 34206570 ....4 ii....4 ep 509b0 345f6461 74610000 00024100 1f010636 4_data....A....6 509c0 76557362 5f537461 7475735f 496e0001 vUsb_Status_In.. 509d0 03920120 02900000 8e42f000 8e439200 ... .....B...C.. 509e0 0050d220 65766e74 62756600 000014ab .P. evntbuf..... 509f0 20726567 61646472 0000004a 99205265 regaddr...J. Re 50a00 67427566 4c656e00 0000011e 20636f75 gBufLen..... cou 50a10 6e740000 00013420 72656d61 696e6465 nt....4 remainde 50a20 72000000 0134001e 0106857a 66526573 r....4.....zfRes 50a30 65745553 42464946 4f000103 92012002 etUSBFIFO..... . 50a40 9000008e 4394008e 43a51e01 06927a66 ....C...C.....zf 50a50 5475726e 4f666650 6f776572 00010392 TurnOffPower.... 50a60 01200290 00008e43 a8008e44 1a1f0106 . .....C...D.... 50a70 b37a6647 656e5761 74636844 6f674576 .zfGenWatchDogEv 50a80 656e7400 01039201 20029000 008e441c ent..... .....D. 50a90 008e445e 0000514f 20657665 6e740000 ..D^..QO event.. 50aa0 00024100 1e0106c2 7a664a75 6d70546f ..A.....zfJumpTo 50ab0 426f6f74 436f6465 00010392 01200290 BootCode..... .. 50ac0 00008e44 60008e44 681f0106 ca5f7573 ...D`..Dh...._us 50ad0 625f726f 6d5f7461 736b0001 03920120 b_rom_task..... 50ae0 02900000 8e446800 8e452e00 0051cf20 .....Dh..E...Q. 50af0 7573625f 696e7465 72727570 745f6c65 usb_interrupt_le 50b00 76656c31 00000001 11207573 625f696e vel1..... usb_in 50b10 74657272 7570745f 6c657665 6c320000 terrupt_level2.. 50b20 00011100 1f010715 5f757362 5f66775f ........_usb_fw_ 50b30 7461736b 00010392 01200290 00008e45 task..... .....E 50b40 30008e46 65000052 29207573 625f696e 0..Fe..R) usb_in 50b50 74657272 7570745f 6c657665 6c310000 terrupt_level1.. 50b60 00011120 7573625f 696e7465 72727570 ... usb_interrup 50b70 745f6c65 76656c32 00000001 11001f01 t_level2........ 50b80 08315f75 73625f63 6c6b5f69 6e697400 .1_usb_clk_init. 50b90 01039201 20029000 008e4668 008e476c .... .....Fh..Gl 50ba0 0000525f 206d426f 6f744d6f 64650000 ..R_ mBootMode.. 50bb0 000e6400 2501085d 5f757362 5f696e69 ..d.%..]_usb_ini 50bc0 74000101 03920120 02900000 8e476c00 t...... .....Gl. 50bd0 8e484500 00529320 636f6c64 5f737461 .HE..R. cold_sta 50be0 72740000 00022c00 250108cd 75736266 rt....,.%...usbf 50bf0 69666f5f 6d6f6475 6c655f69 6e737461 ifo_module_insta 50c00 6c6c0001 01039201 20029000 008e4848 ll...... .....HH 50c10 008e4857 000052d3 240108cd 61706973 ..HW..R.$...apis 50c20 0000004b 94015200 260108d5 636d6e6f ...K..R.&...cmno 50c30 735f7573 625f6d6f 64756c65 5f696e73 s_usb_module_ins 50c40 74616c6c 00010103 92012002 9000008e tall...... ..... 50c50 4858008e 48f52401 08d56170 69730000 HX..H.$...apis.. 50c60 004b9d01 52000000 000003d7 00020000 .K..R........... 50c70 1db50401 2f726f6f 742f576f 726b7370 ..../root/Worksp 50c80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 50c90 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 50ca0 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ 50cb0 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui 50cc0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 50cd0 6d2f6869 662f7573 622f7372 632f7573 m/hif/usb/src/us 50ce0 625f7461 626c652e 63002f72 6f6f742f b_table.c./root/ 50cf0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 50d00 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 50d10 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 50d20 2f757362 0078742d 78636320 666f7220 /usb.xt-xcc for 50d30 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align 50d40 5f696e73 74727563 74696f6e 733d3332 _instructions=32 50d50 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp 50d60 61636500 01000275 6e736967 6e656420 ace....unsigned 50d70 63686172 00070103 75696e74 385f7400 char....uint8_t. 50d80 000000fe 0273686f 72742075 6e736967 .....short unsig 50d90 6e656420 696e7400 07020375 696e7431 ned int....uint1 50da0 365f7400 0000011c 04536574 75705f50 6_t......Setup_P 50db0 61636b65 74000c00 0001bd05 44697265 acket.......Dire 50dc0 6374696f 6e000000 010f0223 00055479 ction......#..Ty 50dd0 70650000 00010f02 2301054f 626a6563 pe......#..Objec 50de0 74000000 010f0223 02055265 71756573 t......#..Reques 50df0 74000000 01320223 04055661 6c756500 t....2.#..Value. 50e00 00000132 02230605 496e6465 78000000 ...2.#..Index... 50e10 01320223 08054c65 6e677468 00000001 .2.#..Length.... 50e20 3202230a 00060000 01320100 0001c907 2.#......2...... 50e30 00080000 01bd0600 00013201 000001da ..........2..... 50e40 07000955 73624465 76696365 44657363 ...UsbDeviceDesc 50e50 72697074 6f720000 0001c905 03004e01 riptor........N. 50e60 00010600 00013201 00000206 07000800 ......2......... 50e70 0001fa06 00000132 01000002 17070009 .......2........ 50e80 75384853 436f6e66 69674465 73637269 u8HSConfigDescri 50e90 70746f72 30310000 00020605 03004e01 ptor01........N. 50ea0 20010600 00013201 00000246 07000800 .....2....F.... 50eb0 00023a06 00000132 01000002 57070009 ..:....2....W... 50ec0 75384653 436f6e66 69674465 73637269 u8FSConfigDescri 50ed0 70746f72 30310000 00024605 03004e01 ptor01....F...N. 50ee0 60010600 00013201 00000287 0a040009 `.....2......... 50ef0 75384465 76696365 5175616c 69666965 u8DeviceQualifie 50f00 72446573 63726970 746f7245 58000000 rDescriptorEX... 50f10 027a0503 00500770 01060000 01320100 .z...P.p.....2.. 50f20 0002be0a 1d000975 384f7468 65725370 .......u8OtherSp 50f30 65656443 6f6e6669 67446573 63726970 eedConfigDescrip 50f40 746f7245 58000000 02b10503 00500780 torEX........P.. 50f50 01060000 01320100 0002f507 00080000 .....2.......... 50f60 02e90600 00013201 00000306 07000953 ......2........S 50f70 7472696e 67303044 65736372 6970746f tring00Descripto 50f80 72000000 02f50503 004e01a0 01060000 r........N...... 50f90 01320100 00033107 00080000 03250600 .2....1......%.. 50fa0 00013201 00000342 07000953 7472696e ..2....B...Strin 50fb0 67313044 65736372 6970746f 72000000 g10Descriptor... 50fc0 03310503 004e01b0 01060000 01320100 .1...N.......2.. 50fd0 00036d07 00080000 03610600 00013201 ..m......a....2. 50fe0 0000037e 07000953 7472696e 67323044 ...~...String20D 50ff0 65736372 6970746f 72000000 036d0503 escriptor....m.. 51000 004e01c0 01060000 01320100 0003a907 .N.......2...... 51010 00080000 039d0600 00013201 000003ba ..........2..... 51020 07000953 7472696e 67333044 65736372 ...String30Descr 51030 6970746f 72000000 03a90503 004e01e0 iptor........N.. 51040 01000000 00499200 0200001e 1a04012f .....I........./ 51050 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 51060 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 51070 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 51080 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 51090 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 510a0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 510b0 2f757362 2f737263 2f757362 5f666966 /usb/src/usb_fif 510c0 6f2e6300 2f726f6f 742f576f 726b7370 o.c./root/Worksp 510d0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 510e0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 510f0 5f312f72 6f6d2f68 69662f75 73620078 _1/rom/hif/usb.x 51100 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 51110 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr 51120 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - 51130 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... 51140 00012545 02756e73 69676e65 64206368 ..%E.unsigned ch 51150 61720007 01037569 6e74385f 74000000 ar....uint8_t... 51160 01010273 686f7274 20756e73 69676e65 ...short unsigne 51170 6420696e 74000702 0375696e 7431365f d int....uint16_ 51180 74000000 011f0453 65747570 5f506163 t......Setup_Pac 51190 6b657400 0c000001 c0054469 72656374 ket.......Direct 511a0 696f6e00 00000112 02230005 54797065 ion......#..Type 511b0 00000001 12022301 054f626a 65637400 ......#..Object. 511c0 00000112 02230205 52657175 65737400 .....#..Request. 511d0 00000135 02230405 56616c75 65000000 ...5.#..Value... 511e0 01350223 0605496e 64657800 00000135 .5.#..Index....5 511f0 02230805 4c656e67 74680000 00013502 .#..Length....5. 51200 230a0006 01070000 01c00400 02696e74 #............int 51210 00050402 63686172 00070108 000001d0 ....char........ 51220 08000001 d0070000 01dd0400 09000001 ................ 51230 c9010700 0001e904 00047072 696e7466 ..........printf 51240 5f617069 00080000 022d055f 7072696e _api.....-._prin 51250 74665f69 6e697400 000001c2 02230005 tf_init......#.. 51260 5f707269 6e746600 000001ef 02230400 _printf......#.. 51270 026c6f6e 6720756e 7369676e 65642069 .long unsigned i 51280 6e740007 04037569 6e743332 5f740000 nt....uint32_t.. 51290 00022d04 75617274 5f666966 6f000800 ..-.uart_fifo... 512a0 00029b05 73746172 745f696e 64657800 ....start_index. 512b0 00000135 02230005 656e645f 696e6465 ...5.#..end_inde 512c0 78000000 01350223 02056f76 65727275 x....5.#..overru 512d0 6e5f6572 72000000 02420223 04000475 n_err....B.#...u 512e0 6172745f 61706900 20000003 54055f75 art_api. ...T._u 512f0 6172745f 696e6974 00000003 ab022300 art_init......#. 51300 055f7561 72745f63 6861725f 70757400 ._uart_char_put. 51310 000003b4 02230405 5f756172 745f6368 .....#.._uart_ch 51320 61725f67 65740000 0003c802 2308055f ar_get......#.._ 51330 75617274 5f737472 5f6f7574 00000003 uart_str_out.... 51340 d102230c 055f7561 72745f74 61736b00 ..#.._uart_task. 51350 000001c2 02231005 5f756172 745f7374 .....#.._uart_st 51360 61747573 00000003 ab022314 055f7561 atus......#.._ua 51370 72745f63 6f6e6669 67000000 03da0223 rt_config......# 51380 18055f75 6172745f 6877696e 69740000 .._uart_hwinit.. 51390 0003e302 231c0007 0000029b 04000475 ....#..........u 513a0 6172745f 626c6b00 10000003 a5056465 art_blk.......de 513b0 6275675f 6d6f6465 00000001 35022300 bug_mode....5.#. 513c0 05626175 64000000 01350223 02055f75 .baud....5.#.._u 513d0 61727400 00000354 02230405 5f747800 art....T.#.._tx. 513e0 00000250 02230800 09000002 42010700 ...P.#......B... 513f0 0003a504 00060107 000003b2 04000700 ................ 51400 00011204 00090000 01350107 000003c2 .........5...... 51410 04000601 07000003 cf040006 01070000 ................ 51420 03d80400 06010700 0003e104 00070000 ................ 51430 01d00400 09000001 c9010700 0003f104 ................ 51440 00044442 5f434f4d 4d414e44 5f535452 ..DB_COMMAND_STR 51450 55435400 0c000004 4905636d 645f7374 UCT.....I.cmd_st 51460 72000000 03ea0223 00056865 6c705f73 r......#..help_s 51470 74720000 0003ea02 23040563 6d645f66 tr......#..cmd_f 51480 756e6300 000003f7 02230800 04646267 unc......#...dbg 51490 5f617069 00080000 047c055f 6462675f _api.....|._dbg_ 514a0 696e6974 00000001 c2022300 055f6462 init......#.._db 514b0 675f7461 736b0000 0001c202 2304000a g_task......#... 514c0 04000275 6e736967 6e656420 696e7400 ...unsigned int. 514d0 07040900 00047c01 07000004 8f04000b ......|......... 514e0 0b070000 049d0400 09000004 7c010700 ............|... 514f0 0004a504 00090000 01c90107 000004b2 ................ 51500 0400046d 656d5f61 70690014 00000521 ...mem_api.....! 51510 055f6d65 6d5f696e 69740000 0001c202 ._mem_init...... 51520 2300055f 6d656d73 65740000 00049502 #.._memset...... 51530 2304055f 6d656d63 70790000 0004ab02 #.._memcpy...... 51540 2308055f 6d656d6d 6f766500 000004ab #.._memmove..... 51550 02230c05 5f6d656d 636d7000 000004b8 .#.._memcmp..... 51560 02231000 0c726567 69737465 725f6475 .#...register_du 51570 6d705f73 00000107 00000521 04000601 mp_s.......!.... 51580 07000005 3b040006 01070000 05440400 ....;........D.. 51590 09000001 c9010700 00054d04 000d686f ..........M...ho 515a0 73746966 5f730004 000005a9 0e484946 stif_s.......HIF 515b0 5f555342 00000e48 49465f50 43494500 _USB...HIF_PCIE. 515c0 010e4849 465f474d 41430002 0e484946 ..HIF_GMAC...HIF 515d0 5f504349 00030e48 49465f4e 554d0004 _PCI...HIF_NUM.. 515e0 0e484946 5f4e4f4e 45000500 03415f48 .HIF_NONE....A_H 515f0 4f535449 46000000 055a0900 0005a901 OSTIF....Z...... 51600 07000005 b7040009 00000112 01070000 ................ 51610 05c40400 09000001 35010700 0005d104 ........5....... 51620 00046d69 73635f61 70690024 000006c1 ..misc_api.$.... 51630 055f7379 7374656d 5f726573 65740000 ._system_reset.. 51640 0001c202 2300055f 6d61635f 72657365 ....#.._mac_rese 51650 74000000 01c20223 04055f61 73736661 t......#.._assfa 51660 696c0000 00053d02 2308055f 6d697361 il....=.#.._misa 51670 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand 51680 6c657200 0000053d 02230c05 5f726570 ler....=.#.._rep 51690 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h 516a0 6f737400 00000546 02231005 5f746172 ost....F.#.._tar 516b0 6765745f 69645f67 65740000 00055302 get_id_get....S. 516c0 2314055f 69735f68 6f73745f 70726573 #.._is_host_pres 516d0 656e7400 000005bd 02231805 5f6b6268 ent......#.._kbh 516e0 69740000 0005ca02 231c055f 726f6d5f it......#.._rom_ 516f0 76657273 696f6e5f 67657400 000005d7 version_get..... 51700 02232000 09000003 ea010700 0006c104 .# ............. 51710 00090000 03ea0107 000006ce 04000900 ................ 51720 0001c901 07000006 db040009 000001c9 ................ 51730 01070000 06e80400 09000001 c9010700 ................ 51740 0006f504 00047374 72696e67 5f617069 ......string_api 51750 00180000 077b055f 73747269 6e675f69 .....{._string_i 51760 6e697400 000001c2 02230005 5f737472 nit......#.._str 51770 63707900 000006c7 02230405 5f737472 cpy......#.._str 51780 6e637079 00000006 d4022308 055f7374 ncpy......#.._st 51790 726c656e 00000006 e102230c 055f7374 rlen......#.._st 517a0 72636d70 00000006 ee022310 055f7374 rcmp......#.._st 517b0 726e636d 70000000 06fb0223 14000f00 rncmp......#.... 517c0 00047f14 00000788 10040003 5f415f54 ............_A_T 517d0 494d4552 5f535041 43450000 00077b03 IMER_SPACE....{. 517e0 415f7469 6d65725f 74000000 07880700 A_timer_t....... 517f0 00079c04 00060107 000007b2 04000601 ................ 51800 07000007 bb040003 415f4841 4e444c45 ........A_HANDLE 51810 00000004 7f060103 415f5449 4d45525f ........A_TIMER_ 51820 46554e43 00000007 d2070000 07d40400 FUNC............ 51830 06010700 0007ed04 00047469 6d65725f ..........timer_ 51840 61706900 14000008 6c055f74 696d6572 api.....l._timer 51850 5f696e69 74000000 01c20223 00055f74 _init......#.._t 51860 696d6572 5f61726d 00000007 b4022304 imer_arm......#. 51870 055f7469 6d65725f 64697361 726d0000 ._timer_disarm.. 51880 0007bd02 2308055f 74696d65 725f7365 ....#.._timer_se 51890 74666e00 000007ef 02230c05 5f74696d tfn......#.._tim 518a0 65725f72 756e0000 0001c202 23100003 er_run......#... 518b0 424f4f4c 45414e00 00000135 09000008 BOOLEAN....5.... 518c0 6c010700 00087904 00090000 086c0107 l.....y......l.. 518d0 00000886 04000900 00086c01 07000008 ..........l..... 518e0 93040004 726f6d70 5f617069 00100000 ....romp_api.... 518f0 0905055f 726f6d70 5f696e69 74000000 ..._romp_init... 51900 01c20223 00055f72 6f6d705f 646f776e ...#.._romp_down 51910 6c6f6164 00000008 7f022304 055f726f load......#.._ro 51920 6d705f69 6e737461 6c6c0000 00088c02 mp_install...... 51930 2308055f 726f6d70 5f646563 6f646500 #.._romp_decode. 51940 00000899 02230c00 04726f6d 5f706174 .....#...rom_pat 51950 63685f73 74001000 00096105 63726331 ch_st.....a.crc1 51960 36000000 01350223 00056c65 6e000000 6....5.#..len... 51970 01350223 02056c64 5f616464 72000000 .5.#..ld_addr... 51980 02420223 04056675 6e5f6164 64720000 .B.#..fun_addr.. 51990 00024202 23080570 66756e00 000003bb ..B.#..pfun..... 519a0 02230c00 04656570 5f726564 69725f61 .#...eep_redir_a 519b0 64647200 04000009 93056f66 66736574 ddr.......offset 519c0 00000001 35022300 0573697a 65000000 ....5.#..size... 519d0 01350223 02000341 5f55494e 54333200 .5.#...A_UINT32. 519e0 0000047f 09000004 7c010700 0009a104 ........|....... 519f0 0004616c 6c6f6372 616d5f61 7069000c ..allocram_api.. 51a00 00000a12 05636d6e 6f735f61 6c6c6f63 .....cmnos_alloc 51a10 72616d5f 696e6974 00000009 a7022300 ram_init......#. 51a20 05636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. 51a30 000009a7 02230405 636d6e6f 735f616c .....#..cmnos_al 51a40 6c6f6372 616d5f64 65627567 00000001 locram_debug.... 51a50 c2022308 00060107 00000a12 04000341 ..#............A 51a60 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... 51a70 0a14045f 7461736b 6c657400 1000000a ..._tasklet..... 51a80 73056675 6e630000 000a1b02 23000561 s.func......#..a 51a90 72670000 00047c02 23040573 74617465 rg....|.#..state 51aa0 00000001 c9022308 056e6578 74000000 ......#..next... 51ab0 0a730223 0c000700 000a2f04 00070000 .s.#....../..... 51ac0 0a2f0400 03415f74 61736b6c 65745f74 ./...A_tasklet_t 51ad0 0000000a 2f070000 0a810400 06010700 ..../........... 51ae0 000a9904 00060107 00000aa2 04000474 ...............t 51af0 61736b6c 65745f61 70690014 00000b37 asklet_api.....7 51b00 055f7461 736b6c65 745f696e 69740000 ._tasklet_init.. 51b10 0001c202 2300055f 7461736b 6c65745f ....#.._tasklet_ 51b20 696e6974 5f746173 6b000000 0a9b0223 init_task......# 51b30 04055f74 61736b6c 65745f64 69736162 .._tasklet_disab 51b40 6c650000 000aa402 2308055f 7461736b le......#.._task 51b50 6c65745f 73636865 64756c65 0000000a let_schedule.... 51b60 a402230c 055f7461 736b6c65 745f7275 ..#.._tasklet_ru 51b70 6e000000 01c20223 10000601 0700000b n......#........ 51b80 37040009 00000993 01070000 0b400400 7............@.. 51b90 06010700 000b4d04 0004636c 6f636b5f ......M...clock_ 51ba0 61706900 2400000c 2f055f63 6c6f636b api.$.../._clock 51bb0 5f696e69 74000000 0b390223 00055f63 _init....9.#.._c 51bc0 6c6f636b 72656773 5f696e69 74000000 lockregs_init... 51bd0 01c20223 04055f75 6172745f 66726571 ...#.._uart_freq 51be0 75656e63 79000000 0b460223 08055f64 uency....F.#.._d 51bf0 656c6179 5f757300 00000b4f 02230c05 elay_us....O.#.. 51c00 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. 51c10 000b4f02 2310055f 72656663 6c6b5f73 ..O.#.._refclk_s 51c20 70656564 5f676574 0000000b 46022314 peed_get....F.#. 51c30 055f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. 51c40 000b4602 2318055f 73797363 6c6b5f63 ..F.#.._sysclk_c 51c50 68616e67 65000000 01c20223 1c055f63 hange......#.._c 51c60 6c6f636b 5f746963 6b000000 01c20223 lock_tick......# 51c70 20000900 00024201 0700000c 2f040003 .....B...../... 51c80 415f6f6c 645f696e 74725f74 00000002 A_old_intr_t.... 51c90 42090000 0c3c0107 00000c4e 04000601 B....<.....N.... 51ca0 0700000c 5b040006 01070000 0c640400 ....[........d.. 51cb0 09000002 42010700 000c6d04 0003415f ....B.....m...A_ 51cc0 6973725f 74000000 0c730601 0700000c isr_t....s...... 51cd0 87040009 0000047f 01070000 0c900400 ................ 51ce0 06010700 000c9d04 0004696e 74725f61 ..........intr_a 51cf0 7069002c 00000dbf 055f696e 74725f69 pi.,....._intr_i 51d00 6e697400 000001c2 02230005 5f696e74 nit......#.._int 51d10 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... 51d20 35022304 055f696e 74725f64 69736162 5.#.._intr_disab 51d30 6c650000 000c5402 2308055f 696e7472 le....T.#.._intr 51d40 5f726573 746f7265 0000000c 5d02230c _restore....].#. 51d50 055f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum 51d60 0000000c 66022310 055f696e 74725f75 ....f.#.._intr_u 51d70 6e6d6173 6b5f696e 756d0000 000c6602 nmask_inum....f. 51d80 2314055f 696e7472 5f617474 6163685f #.._intr_attach_ 51d90 69737200 00000c89 02231805 5f676574 isr......#.._get 51da0 5f696e74 72656e61 626c6500 00000c96 _intrenable..... 51db0 02231c05 5f736574 5f696e74 72656e61 .#.._set_intrena 51dc0 626c6500 00000c9f 02232005 5f676574 ble......# ._get 51dd0 5f696e74 7270656e 64696e67 0000000c _intrpending.... 51de0 96022324 055f756e 626c6f63 6b5f616c ..#$._unblock_al 51df0 6c5f696e 74726c76 6c000000 01c20223 l_intrlvl......# 51e00 28001104 00000de5 0574696d 656f7574 (........timeout 51e10 00000002 42022300 05616374 696f6e00 ....B.#..action. 51e20 00000242 02230000 12080000 0e000563 ...B.#.........c 51e30 6d640000 00024202 23001300 000dbf02 md....B.#....... 51e40 23040003 545f5744 545f434d 44000000 #...T_WDT_CMD... 51e50 0de50601 0700000e 0f040014 0400000e ................ 51e60 650e454e 554d5f57 44545f42 4f4f5400 e.ENUM_WDT_BOOT. 51e70 010e454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT 51e80 00020e45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO 51e90 5400030e 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN 51ea0 5f424f4f 54000400 03545f42 4f4f545f _BOOT....T_BOOT_ 51eb0 54595045 0000000e 18090000 0e650107 TYPE.........e.. 51ec0 00000e76 04000477 64745f61 7069001c ...v...wdt_api.. 51ed0 00000f1a 055f7764 745f696e 69740000 ....._wdt_init.. 51ee0 0001c202 2300055f 7764745f 656e6162 ....#.._wdt_enab 51ef0 6c650000 0001c202 2304055f 7764745f le......#.._wdt_ 51f00 64697361 626c6500 000001c2 02230805 disable......#.. 51f10 5f776474 5f736574 0000000e 1102230c _wdt_set......#. 51f20 055f7764 745f7461 736b0000 0001c202 ._wdt_task...... 51f30 2310055f 7764745f 72657365 74000000 #.._wdt_reset... 51f40 01c20223 14055f77 64745f6c 6173745f ...#.._wdt_last_ 51f50 626f6f74 0000000e 7c022318 00140400 boot....|.#..... 51f60 000f810e 5245545f 53554343 45535300 ....RET_SUCCESS. 51f70 000e5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. 51f80 0e524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. 51f90 0e524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT 51fa0 00030e52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF 51fb0 4c4f5700 040e5245 545f554e 4b4e4f57 LOW...RET_UNKNOW 51fc0 4e000500 03545f45 45505f52 45540000 N....T_EEP_RET.. 51fd0 000f1a07 00000135 04000900 000f8101 .......5........ 51fe0 0700000f 97040009 00000f81 01070000 ................ 51ff0 0fa40400 04656570 5f617069 00100000 .....eep_api.... 52000 100d055f 6565705f 696e6974 00000001 ..._eep_init.... 52010 c2022300 055f6565 705f7265 61640000 ..#.._eep_read.. 52020 000f9d02 2304055f 6565705f 77726974 ....#.._eep_writ 52030 65000000 0f9d0223 08055f65 65705f69 e......#.._eep_i 52040 735f6578 69737400 00000faa 02230c00 s_exist......#.. 52050 04757362 5f617069 00700000 12ba055f .usb_api.p....._ 52060 7573625f 696e6974 00000001 c2022300 usb_init......#. 52070 055f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. 52080 0001c202 2304055f 7573625f 66775f74 ....#.._usb_fw_t 52090 61736b00 000001c2 02230805 5f757362 ask......#.._usb 520a0 5f696e69 745f7068 79000000 01c20223 _init_phy......# 520b0 0c055f75 73625f65 70305f73 65747570 .._usb_ep0_setup 520c0 00000001 c2022310 055f7573 625f6570 ......#.._usb_ep 520d0 305f7478 00000001 c2022314 055f7573 0_tx......#.._us 520e0 625f6570 305f7278 00000001 c2022318 b_ep0_rx......#. 520f0 055f7573 625f6765 745f696e 74657266 ._usb_get_interf 52100 61636500 0000088c 02231c05 5f757362 ace......#.._usb 52110 5f736574 5f696e74 65726661 63650000 _set_interface.. 52120 00088c02 2320055f 7573625f 6765745f ....# ._usb_get_ 52130 636f6e66 69677572 6174696f 6e000000 configuration... 52140 088c0223 24055f75 73625f73 65745f63 ...#$._usb_set_c 52150 6f6e6669 67757261 74696f6e 00000008 onfiguration.... 52160 8c022328 055f7573 625f7374 616e6461 ..#(._usb_standa 52170 72645f63 6d640000 00088c02 232c055f rd_cmd......#,._ 52180 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. 52190 0001c202 2330055f 7573625f 706f7765 ....#0._usb_powe 521a0 725f6f66 66000000 01c20223 34055f75 r_off......#4._u 521b0 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... 521c0 01c20223 38055f75 73625f67 656e5f77 ...#8._usb_gen_w 521d0 64740000 0001c202 233c055f 7573625f dt......#<._usb_ 521e0 6a756d70 5f626f6f 74000000 01c20223 jump_boot......# 521f0 40055f75 73625f63 6c725f66 65617475 @._usb_clr_featu 52200 72650000 00088c02 2344055f 7573625f re......#D._usb_ 52210 7365745f 66656174 75726500 0000088c set_feature..... 52220 02234805 5f757362 5f736574 5f616464 .#H._usb_set_add 52230 72657373 00000008 8c02234c 055f7573 ress......#L._us 52240 625f6765 745f6465 73637269 70746f72 b_get_descriptor 52250 00000008 8c022350 055f7573 625f6765 ......#P._usb_ge 52260 745f7374 61747573 00000008 8c022354 t_status......#T 52270 055f7573 625f7365 7475705f 64657363 ._usb_setup_desc 52280 00000001 c2022358 055f7573 625f7265 ......#X._usb_re 52290 675f6f75 74000000 01c20223 5c055f75 g_out......#\._u 522a0 73625f73 74617475 735f696e 00000001 sb_status_in.... 522b0 c2022360 055f7573 625f6570 305f7478 ..#`._usb_ep0_tx 522c0 5f646174 61000000 01c20223 64055f75 _data......#d._u 522d0 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. 522e0 0001c202 2368055f 7573625f 636c6b5f ....#h._usb_clk_ 522f0 696e6974 00000001 c202236c 00045f56 init......#l.._V 52300 44455343 00240000 1346056e 6578745f DESC.$...F.next_ 52310 64657363 00000013 46022300 05627566 desc....F.#..buf 52320 5f616464 72000000 135a0223 04056275 _addr....Z.#..bu 52330 665f7369 7a650000 00136102 23080564 f_size....a.#..d 52340 6174615f 6f666673 65740000 00136102 ata_offset....a. 52350 230a0564 6174615f 73697a65 00000013 #..data_size.... 52360 6102230c 05636f6e 74726f6c 00000013 a.#..control.... 52370 6102230e 0568775f 64657363 5f627566 a.#..hw_desc_buf 52380 00000013 6f022310 00070000 12ba0400 ....o.#......... 52390 03415f55 494e5438 00000001 01070000 .A_UINT8........ 523a0 134d0400 03415f55 494e5431 36000000 .M...A_UINT16... 523b0 011f0f00 00134d14 0000137c 10130007 ......M....|.... 523c0 000012ba 04000356 44455343 00000012 .......VDESC.... 523d0 ba070000 13830400 09000013 8e010700 ................ 523e0 00139504 00090000 135a0107 000013a2 .........Z...... 523f0 04000601 07000013 af040004 76646573 ............vdes 52400 635f6170 69001400 00142705 5f696e69 c_api.....'._ini 52410 74000000 0b4f0223 00055f61 6c6c6f63 t....O.#.._alloc 52420 5f766465 73630000 00139b02 2304055f _vdesc......#.._ 52430 6765745f 68775f64 65736300 000013a8 get_hw_desc..... 52440 02230805 5f737761 705f7664 65736300 .#.._swap_vdesc. 52450 000013b1 02230c05 70526573 65727665 .....#..pReserve 52460 64000000 047c0223 1000045f 56425546 d....|.#..._VBUF 52470 00200000 14870564 6573635f 6c697374 . .....desc_list 52480 00000013 8e022300 056e6578 745f6275 ......#..next_bu 52490 66000000 14870223 04056275 665f6c65 f......#..buf_le 524a0 6e677468 00000013 61022308 05726573 ngth....a.#..res 524b0 65727665 64000000 148e0223 0a056374 erved......#..ct 524c0 78000000 136f0223 0c000700 00142704 x....o.#......'. 524d0 000f0000 134d0200 00149b10 01000700 .....M.......... 524e0 00142704 00035642 55460000 00142707 ..'...VBUF....'. 524f0 000014a2 04000900 0014ac01 07000014 ................ 52500 b3040009 000014ac 01070000 14c00400 ................ 52510 06010700 0014cd04 00047662 75665f61 ..........vbuf_a 52520 70690014 0000154b 055f696e 69740000 pi.....K._init.. 52530 000b4f02 2300055f 616c6c6f 635f7662 ..O.#.._alloc_vb 52540 75660000 0014b902 2304055f 616c6c6f uf......#.._allo 52550 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size 52560 00000014 c6022308 055f6672 65655f76 ......#.._free_v 52570 62756600 000014cf 02230c05 70526573 buf......#..pRes 52580 65727665 64000000 047c0223 1000045f erved....|.#..._ 52590 5f616466 5f646576 69636500 04000015 _adf_device..... 525a0 6d056475 6d6d7900 000001c9 02230000 m.dummy......#.. 525b0 07000009 93040004 5f5f6164 665f646d ........__adf_dm 525c0 615f6d61 70000c00 0015b405 62756600 a_map.......buf. 525d0 000014ac 02230005 64735f61 64647200 .....#..ds_addr. 525e0 0000156d 02230405 64735f6c 656e0000 ...m.#..ds_len.. 525f0 00136102 23080012 0c000015 ee055f5f ..a.#.........__ 52600 76615f73 746b0000 0003ea02 2300055f va_stk......#.._ 52610 5f76615f 72656700 000003ea 02230405 _va_reg......#.. 52620 5f5f7661 5f6e6478 00000001 c9022308 __va_ndx......#. 52630 00035f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a 52640 6464725f 74000000 09930361 64665f6f ddr_t......adf_o 52650 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... 52660 ee035f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s 52670 697a655f 74000000 09930361 64665f6f ize_t......adf_o 52680 735f646d 615f7369 7a655f74 00000016 s_dma_size_t.... 52690 1e045f5f 646d615f 73656773 00080000 ..__dma_segs.... 526a0 167a0570 61646472 00000016 07022300 .z.paddr......#. 526b0 056c656e 00000016 37022304 00035f5f .len....7.#...__ 526c0 615f7569 6e743332 5f740000 00099303 a_uint32_t...... 526d0 615f7569 6e743332 5f740000 00167a0f a_uint32_t....z. 526e0 0000164e 08000016 a9100000 04616466 ...N.........adf 526f0 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. 52700 0c000016 e2056e73 65677300 0000168c ......nsegs..... 52710 02230005 646d615f 73656773 00000016 .#..dma_segs.... 52720 9c022304 00035f5f 615f7569 6e74385f ..#...__a_uint8_ 52730 74000000 134d0361 5f75696e 74385f74 t....M.a_uint8_t 52740 00000016 e2070000 16f30400 045f5f73 .............__s 52750 675f7365 67730008 00001734 05766164 g_segs.....4.vad 52760 64720000 00170202 2300056c 656e0000 dr......#..len.. 52770 00168c02 2304000f 00001709 20000017 ....#....... ... 52780 41100300 04616466 5f6f735f 73676c69 A....adf_os_sgli 52790 73740024 00001774 056e7365 67730000 st.$...t.nsegs.. 527a0 00168c02 23000573 675f7365 67730000 ....#..sg_segs.. 527b0 00173402 23040012 10000017 bd057665 ..4.#.........ve 527c0 6e646f72 00000016 8c022300 05646576 ndor......#..dev 527d0 69636500 0000168c 02230405 73756276 ice......#..subv 527e0 656e646f 72000000 168c0223 08057375 endor......#..su 527f0 62646576 69636500 0000168c 02230c00 bdevice......#.. 52800 026c6f6e 67206c6f 6e672075 6e736967 .long long unsig 52810 6e656420 696e7400 07080341 5f55494e ned int....A_UIN 52820 54363400 000017bd 035f5f61 5f75696e T64......__a_uin 52830 7436345f 74000000 17d70361 5f75696e t64_t......a_uin 52840 7436345f 74000000 17e51404 00001843 t64_t..........C 52850 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 52860 5f545950 455f4d45 4d00000e 4144465f _TYPE_MEM...ADF_ 52870 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 52880 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re 52890 736f7572 63655f74 7970655f 74000000 source_type_t... 528a0 18071218 0000188d 05737461 72740000 .........start.. 528b0 0017f702 23000565 6e640000 0017f702 ....#..end...... 528c0 23080574 79706500 00001843 02231000 #..type....C.#.. 528d0 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ 528e0 69645f74 00000017 74070000 188d0400 id_t....t....... 528f0 11040000 18cc0570 63690000 0018a602 .......pci...... 52900 23000572 61770000 00047c02 23000011 #..raw....|.#... 52910 10000018 eb057063 69000000 188d0223 ......pci......# 52920 00057261 77000000 047c0223 00000361 ..raw....|.#...a 52930 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. 52940 0000047c 03616466 5f6f735f 7265736f ...|.adf_os_reso 52950 75726365 5f740000 00185f07 00001901 urce_t...._..... 52960 04000361 64665f6f 735f6174 74616368 ...adf_os_attach 52970 5f646174 615f7400 000018cc 07000019 _data_t......... 52980 1f040007 0000154b 0400035f 5f616466 .......K...__adf 52990 5f6f735f 64657669 63655f74 00000019 _os_device_t.... 529a0 40036164 665f6f73 5f646576 6963655f @.adf_os_device_ 529b0 74000000 19470900 0018eb01 07000019 t....G.......... 529c0 73040006 01070000 19800400 03616466 s............adf 529d0 5f6f735f 706d5f74 00000004 7c060107 _os_pm_t....|... 529e0 0000199a 04001404 000019da 0e414446 .............ADF 529f0 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI 52a00 00010e41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY 52a10 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad 52a20 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. 52a30 000019a3 03616466 5f6f735f 6275735f .....adf_os_bus_ 52a40 7265675f 64617461 5f740000 0018ad07 reg_data_t...... 52a50 00000101 0400045f 6164665f 6472765f ......._adf_drv_ 52a60 696e666f 00200000 1ab70564 72765f61 info. .....drv_a 52a70 74746163 68000000 19790223 00056472 ttach....y.#..dr 52a80 765f6465 74616368 00000019 82022304 v_detach......#. 52a90 05647276 5f737573 70656e64 00000019 .drv_suspend.... 52aa0 9c022308 05647276 5f726573 756d6500 ..#..drv_resume. 52ab0 00001982 02230c05 6275735f 74797065 .....#..bus_type 52ac0 00000019 da022310 05627573 5f646174 ......#..bus_dat 52ad0 61000000 19f10223 14056d6f 645f6e61 a......#..mod_na 52ae0 6d650000 001a0c02 23180569 666e616d me......#..ifnam 52af0 65000000 1a0c0223 1c000361 64665f6f e......#...adf_o 52b00 735f6861 6e646c65 5f740000 00047c07 s_handle_t....|. 52b10 000016e2 04000601 0601035f 5f616466 ...........__adf 52b20 5f6f735f 73697a65 5f740000 00047f14 _os_size_t...... 52b30 0400001b 060e415f 46414c53 4500000e ......A_FALSE... 52b40 415f5452 55450001 0003615f 626f6f6c A_TRUE....a_bool 52b50 5f740000 001aec07 00001574 0400035f _t.........t..._ 52b60 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ 52b70 74000000 1b140601 0d616466 5f6f735f t........adf_os_ 52b80 63616368 655f7379 6e630004 00001b9e cache_sync...... 52b90 0e414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA 52ba0 4400000e 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE 52bb0 57524954 4500020e 4144465f 53594e43 WRITE...ADF_SYNC 52bc0 5f504f53 54524541 4400010e 4144465f _POSTREAD...ADF_ 52bd0 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. 52be0 00036164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 52bf0 796e635f 74000000 1b350601 03616466 ync_t....5...adf 52c00 5f6f735f 73697a65 5f740000 001ad709 _os_size_t...... 52c10 00001bb9 01036164 665f6f73 5f646d61 ......adf_os_dma 52c20 5f6d6170 5f740000 001b1b07 00001bd2 _map_t.......... 52c30 04000900 00047c01 0700001b 1b040009 ......|......... 52c40 0000047c 01060109 00001607 01060102 ...|............ 52c50 73686f72 7420696e 74000502 03415f49 short int....A_I 52c60 4e543136 0000001c 0c035f5f 615f696e NT16......__a_in 52c70 7431365f 74000000 1c190361 5f696e74 t16_t......a_int 52c80 31365f74 0000001c 26027369 676e6564 16_t....&.signed 52c90 20636861 72000501 03415f49 4e543800 char....A_INT8. 52ca0 00001c46 035f5f61 5f696e74 385f7400 ...F.__a_int8_t. 52cb0 00001c55 03615f69 6e74385f 74000000 ...U.a_int8_t... 52cc0 1c61120c 00001cd8 05737570 706f7274 .a.......support 52cd0 65640000 00168c02 23000561 64766572 ed......#..adver 52ce0 74697a65 64000000 168c0223 04057370 tized......#..sp 52cf0 65656400 00001c37 02230805 6475706c eed....7.#..dupl 52d00 65780000 001c7102 230a0561 75746f6e ex....q.#..auton 52d10 65670000 0016f302 230b000f 000016f3 eg......#....... 52d20 0600001c e5100500 04616466 5f6e6574 .........adf_net 52d30 5f657468 61646472 00060000 1d090561 _ethaddr.......a 52d40 64647200 00001cd8 02230000 035f5f61 ddr......#...__a 52d50 5f75696e 7431365f 74000000 13610361 _uint16_t....a.a 52d60 5f75696e 7431365f 74000000 1d09120e _uint16_t....... 52d70 00001d6d 05657468 65725f64 686f7374 ...m.ether_dhost 52d80 0000001c d8022300 05657468 65725f73 ......#..ether_s 52d90 686f7374 0000001c d8022306 05657468 host......#..eth 52da0 65725f74 79706500 00001d1b 02230c00 er_type......#.. 52db0 12140000 1e2e1569 705f7665 7273696f .......ip_versio 52dc0 6e000000 16f30100 04022300 1569705f n.........#..ip_ 52dd0 686c0000 0016f301 04040223 00056970 hl.........#..ip 52de0 5f746f73 00000016 f3022301 0569705f _tos......#..ip_ 52df0 6c656e00 00001d1b 02230205 69705f69 len......#..ip_i 52e00 64000000 1d1b0223 04056970 5f667261 d......#..ip_fra 52e10 675f6f66 66000000 1d1b0223 06056970 g_off......#..ip 52e20 5f74746c 00000016 f3022308 0569705f _ttl......#..ip_ 52e30 70726f74 6f000000 16f30223 09056970 proto......#..ip 52e40 5f636865 636b0000 001d1b02 230a0569 _check......#..i 52e50 705f7361 64647200 0000168c 02230c05 p_saddr......#.. 52e60 69705f64 61646472 00000016 8c022310 ip_daddr......#. 52e70 00046164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd 52e80 72000400 001e8005 74706964 0000001d r.......tpid.... 52e90 1b022300 15707269 6f000000 16f30100 ..#..prio....... 52ea0 03022302 15636669 00000016 f3010301 ..#..cfi........ 52eb0 02230215 76696400 00001d1b 02040c02 .#..vid......... 52ec0 23020004 6164665f 6e65745f 76696400 #...adf_net_vid. 52ed0 0200001e b1157265 73000000 16f30100 ......res....... 52ee0 04022300 1576616c 0000001d 1b02040c ..#..val........ 52ef0 02230000 120c0000 1eed0572 785f6275 .#.........rx_bu 52f00 6673697a 65000000 168c0223 00057278 fsize......#..rx 52f10 5f6e6465 73630000 00168c02 23040574 _ndesc......#..t 52f20 785f6e64 65736300 0000168c 02230800 x_ndesc......#.. 52f30 12080000 1f130570 6f6c6c65 64000000 .......polled... 52f40 1b060223 0005706f 6c6c5f77 74000000 ...#..poll_wt... 52f50 168c0223 04000f00 0016f340 00001f20 ...#.......@... 52f60 103f0012 4600001f 48056966 5f6e616d .?..F...H.if_nam 52f70 65000000 1f130223 00056465 765f6164 e......#..dev_ad 52f80 64720000 001cd802 23400014 0400001f dr......#@...... 52f90 7f0e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS 52fa0 4b5f3332 42495400 000e4144 465f4f53 K_32BIT...ADF_OS 52fb0 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. 52fc0 01000361 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma 52fd0 736b5f74 0000001f 48046164 665f646d sk_t....H.adf_dm 52fe0 615f696e 666f0008 00001fcc 05646d61 a_info.......dma 52ff0 5f6d6173 6b000000 1f7f0223 00057367 _mask......#..sg 53000 5f6e7365 67730000 00168c02 23040014 _nsegs......#... 53010 04000020 220e4144 465f4e45 545f434b ... ".ADF_NET_CK 53020 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 53030 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 53040 5f495076 3400010e 4144465f 4e45545f _IPv4...ADF_NET_ 53050 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 53060 76360002 00036164 665f6e65 745f636b v6....adf_net_ck 53070 73756d5f 74797065 5f740000 001fcc12 sum_type_t...... 53080 08000020 65057478 5f636b73 756d0000 ... e.tx_cksum.. 53090 00202202 23000572 785f636b 73756d00 . ".#..rx_cksum. 530a0 00002022 02230400 03616466 5f6e6574 .. ".#...adf_net 530b0 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... 530c0 203c1404 000020be 0e414446 5f4e4554 <.... ..ADF_NET 530d0 5f54534f 5f4e4f4e 4500000e 4144465f _TSO_NONE...ADF_ 530e0 4e45545f 54534f5f 49505634 00010e41 NET_TSO_IPV4...A 530f0 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. 53100 00036164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty 53110 70655f74 00000020 7f121000 00211205 pe_t... .....!.. 53120 636b7375 6d5f6361 70000000 20650223 cksum_cap... e.# 53130 00057473 6f000000 20be0223 0805766c ..tso... ..#..vl 53140 616e5f73 7570706f 72746564 00000016 an_supported.... 53150 f302230c 00122000 0021ab05 74785f70 ..#... ..!..tx_p 53160 61636b65 74730000 00168c02 23000572 ackets......#..r 53170 785f7061 636b6574 73000000 168c0223 x_packets......# 53180 04057478 5f627974 65730000 00168c02 ..tx_bytes...... 53190 23080572 785f6279 74657300 0000168c #..rx_bytes..... 531a0 02230c05 74785f64 726f7070 65640000 .#..tx_dropped.. 531b0 00168c02 23100572 785f6472 6f707065 ....#..rx_droppe 531c0 64000000 168c0223 14057278 5f657272 d......#..rx_err 531d0 6f727300 0000168c 02231805 74785f65 ors......#..tx_e 531e0 72726f72 73000000 168c0223 1c000361 rrors......#...a 531f0 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t 53200 0000001c e5160000 21ab0300 000021d0 ........!.....!. 53210 107f0017 6164665f 6e65745f 636d645f ....adf_net_cmd_ 53220 6d636164 64720003 04000022 07056e65 mcaddr....."..ne 53230 6c656d00 0000168c 02230005 6d636173 lem......#..mcas 53240 74000000 21c20223 04000361 64665f6e t...!..#...adf_n 53250 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info 53260 5f740000 001c7f03 6164665f 6e65745f _t......adf_net_ 53270 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. 53280 00001eed 03616466 5f6e6574 5f636d64 .....adf_net_cmd 53290 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... 532a0 20650361 64665f6e 65745f63 6d645f72 e.adf_net_cmd_r 532b0 696e675f 696e666f 5f740000 001eb103 ing_info_t...... 532c0 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ 532d0 696e666f 5f740000 001f9603 6164665f info_t......adf_ 532e0 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... 532f0 1d1b0361 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o 53300 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... 53310 d6036164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st 53320 6174735f 74000000 21120361 64665f6e ats_t...!..adf_n 53330 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. 53340 000021d0 0d616466 5f6e6574 5f636d64 ..!..adf_net_cmd 53350 5f6d6361 73745f63 61700004 00002349 _mcast_cap....#I 53360 0e414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S 53370 55500000 0e414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA 53380 53545f4e 4f545355 50000100 03616466 ST_NOTSUP....adf 53390 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 533a0 61705f74 00000023 01180304 0000241b ap_t...#......$. 533b0 056c696e 6b5f696e 666f0000 00220702 .link_info...".. 533c0 23000570 6f6c6c5f 696e666f 00000022 #..poll_info..." 533d0 24022300 05636b73 756d5f69 6e666f00 $.#..cksum_info. 533e0 00002241 02230005 72696e67 5f696e66 .."A.#..ring_inf 533f0 6f000000 225f0223 0005646d 615f696e o..."_.#..dma_in 53400 666f0000 00227c02 23000576 69640000 fo..."|.#..vid.. 53410 00229802 2300056f 66666c6f 61645f63 ."..#..offload_c 53420 61700000 0022af02 23000573 74617473 ap..."..#..stats 53430 00000022 ce022300 056d6361 73745f69 ..."..#..mcast_i 53440 6e666f00 000022e7 02230005 6d636173 nfo..."..#..mcas 53450 745f6361 70000000 23490223 00001404 t_cap...#I.#.... 53460 00002472 0e414446 5f4e4255 465f5258 ..$r.ADF_NBUF_RX 53470 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD 53480 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 53490 48570001 0e414446 5f4e4255 465f5258 HW...ADF_NBUF_RX 534a0 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA 534b0 52590002 00036164 665f6e62 75665f72 RY....adf_nbuf_r 534c0 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. 534d0 00241b12 08000024 b2057265 73756c74 .$.....$..result 534e0 00000024 72022300 0576616c 00000016 ...$r.#..val.... 534f0 8c022304 00120800 0024e205 74797065 ..#......$..type 53500 00000020 be022300 056d7373 0000001d ... ..#..mss.... 53510 1b022304 05686472 5f6f6666 00000016 ..#..hdr_off.... 53520 f3022306 00045f5f 6164665f 6e627566 ..#...__adf_nbuf 53530 5f716865 6164000c 00002521 05686561 _qhead....%!.hea 53540 64000000 14ac0223 00057461 696c0000 d......#..tail.. 53550 0014ac02 23040571 6c656e00 0000168c ....#..qlen..... 53560 02230800 035f5f61 64665f6e 6275665f .#...__adf_nbuf_ 53570 74000000 14ac0700 00170204 00070000 t............... 53580 168c0400 06010900 00138e01 09000016 ................ 53590 8c010900 00170201 09000017 02010700 ................ 535a0 00136f04 00035f5f 6164665f 6e627566 ..o...__adf_nbuf 535b0 5f716865 61645f74 00000024 e2035f5f _qhead_t...$..__ 535c0 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 535d0 00000025 62070000 257a0400 09000025 ...%b...%z.....% 535e0 21010900 00252101 14040000 269a0e41 !....%!.....&..A 535f0 5f535441 5455535f 4f4b0000 0e415f53 _STATUS_OK...A_S 53600 54415455 535f4641 494c4544 00010e41 TATUS_FAILED...A 53610 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. 53620 0e415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM 53630 00030e41 5f535441 5455535f 45494e56 ...A_STATUS_EINV 53640 414c0004 0e415f53 54415455 535f4549 AL...A_STATUS_EI 53650 4e50524f 47524553 5300050e 415f5354 NPROGRESS...A_ST 53660 41545553 5f454e4f 54535550 5000060e ATUS_ENOTSUPP... 53670 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. 53680 0e415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. 53690 080e415f 53544154 55535f45 41444452 ..A_STATUS_EADDR 536a0 4e4f5441 5641494c 00090e41 5f535441 NOTAVAIL...A_STA 536b0 5455535f 454e5849 4f000a0e 415f5354 TUS_ENXIO...A_ST 536c0 41545553 5f454641 554c5400 0b0e415f ATUS_EFAULT...A_ 536d0 53544154 55535f45 494f000c 0003615f STATUS_EIO....a_ 536e0 73746174 75735f74 00000025 a5090000 status_t...%.... 536f0 269a0109 000001c9 01060103 6164665f &...........adf_ 53700 6e627566 5f740000 00252114 04000026 nbuf_t...%!....& 53710 ff0e4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ 53720 44455649 43450000 0e414446 5f4f535f DEVICE...ADF_OS_ 53730 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. 53740 01000361 64665f6f 735f646d 615f6469 ...adf_os_dma_di 53750 725f7400 000026c8 09000026 9a010601 r_t...&....&.... 53760 03616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i 53770 6e666f5f 74000000 16a90700 00271d04 nfo_t........'.. 53780 00060106 01090000 26b80109 00002521 ........&.....%! 53790 01060106 01090000 26b80109 00002521 ........&.....%! 537a0 01090000 26b80109 00002521 01090000 ....&.....%!.... 537b0 26b80106 01060109 0000168c 01090000 &............... 537c0 17020106 01060109 00001bb9 01090000 ................ 537d0 1b060109 00001b06 01036164 665f6f73 ..........adf_os 537e0 5f73676c 6973745f 74000000 17410700 _sglist_t....A.. 537f0 00279604 00060106 01060109 00001702 .'.............. 53800 01036164 665f6e62 75665f71 75657565 ..adf_nbuf_queue 53810 5f740000 00257a07 000027be 04000601 _t...%z...'..... 53820 07000025 62040006 01060106 01090000 ...%b........... 53830 26b80109 00002521 01090000 168c0109 &.....%!........ 53840 0000168c 01090000 1b060109 00001b06 ................ 53850 01090000 20220109 0000168c 01036164 .... "........ad 53860 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 53870 74000000 24900700 00281a04 00060106 t...$....(...... 53880 01036164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t 53890 00000024 b2070000 283e0400 06010601 ...$....(>...... 538a0 03616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ 538b0 74000000 047c0361 64665f6e 65745f76 t....|.adf_net_v 538c0 6c616e68 64725f74 0000001e 2e070000 lanhdr_t........ 538d0 28730400 09000026 9a010900 00269a01 (s.....&.....&.. 538e0 06010601 045f4849 465f434f 4e464947 ....._HIF_CONFIG 538f0 00040000 28c20564 756d6d79 00000001 ....(..dummy.... 53900 c9022300 00060107 000028c2 04000601 ..#.......(..... 53910 07000028 cb040004 5f484946 5f43414c ...(...._HIF_CAL 53920 4c424143 4b000c00 00292005 73656e64 LBACK....) .send 53930 5f627566 5f646f6e 65000000 28c40223 _buf_done...(..# 53940 00057265 63765f62 75660000 0028cd02 ..recv_buf...(.. 53950 23040563 6f6e7465 78740000 00047c02 #..context....|. 53960 23080003 6869665f 68616e64 6c655f74 #...hif_handle_t 53970 00000004 7c034849 465f434f 4e464947 ....|.HIF_CONFIG 53980 00000028 a1070000 29320400 09000029 ...(....)2.....) 53990 20010700 00294904 00060107 00002956 ....)I.......)V 539a0 04000348 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. 539b0 000028d4 07000029 5f040006 01070000 ..(....)_....... 539c0 29780400 09000001 c9010700 00298104 )x...........).. 539d0 00060107 0000298e 04000900 0001c901 ......)......... 539e0 07000029 97040006 01070000 29a40400 ...)........)... 539f0 09000001 c9010700 0029ad04 00060107 .........)...... 53a00 000029ba 04000468 69665f61 70690038 ..)....hif_api.8 53a10 00002b13 055f696e 69740000 00294f02 ..+.._init...)O. 53a20 2300055f 73687574 646f776e 00000029 #.._shutdown...) 53a30 58022304 055f7265 67697374 65725f63 X.#.._register_c 53a40 616c6c62 61636b00 0000297a 02230805 allback...)z.#.. 53a50 5f676574 5f746f74 616c5f63 72656469 _get_total_credi 53a60 745f636f 756e7400 00002987 02230c05 t_count...)..#.. 53a70 5f737461 72740000 00295802 2310055f _start...)X.#.._ 53a80 636f6e66 69675f70 69706500 00002990 config_pipe...). 53a90 02231405 5f73656e 645f6275 66666572 .#.._send_buffer 53aa0 00000029 9d022318 055f7265 7475726e ...)..#.._return 53ab0 5f726563 765f6275 66000000 29a60223 _recv_buf...)..# 53ac0 1c055f69 735f7069 70655f73 7570706f .._is_pipe_suppo 53ad0 72746564 00000029 b3022320 055f6765 rted...)..# ._ge 53ae0 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... 53af0 29b30223 24055f67 65745f72 65736572 )..#$._get_reser 53b00 7665645f 68656164 726f6f6d 00000029 ved_headroom...) 53b10 87022328 055f6973 725f6861 6e646c65 ..#(._isr_handle 53b20 72000000 29580223 2c055f67 65745f64 r...)X.#,._get_d 53b30 65666175 6c745f70 69706500 000029bc efault_pipe...). 53b40 02233005 70526573 65727665 64000000 .#0.pReserved... 53b50 047c0223 34000d64 6d615f65 6e67696e .|.#4..dma_engin 53b60 65000400 002b9c0e 444d415f 454e4749 e....+..DMA_ENGI 53b70 4e455f52 58300000 0e444d41 5f454e47 NE_RX0...DMA_ENG 53b80 494e455f 52583100 010e444d 415f454e INE_RX1...DMA_EN 53b90 47494e45 5f525832 00020e44 4d415f45 GINE_RX2...DMA_E 53ba0 4e47494e 455f5258 3300030e 444d415f NGINE_RX3...DMA_ 53bb0 454e4749 4e455f54 58300004 0e444d41 ENGINE_TX0...DMA 53bc0 5f454e47 494e455f 54583100 050e444d _ENGINE_TX1...DM 53bd0 415f454e 47494e45 5f4d4158 00060003 A_ENGINE_MAX.... 53be0 646d615f 656e6769 6e655f74 0000002b dma_engine_t...+ 53bf0 130d646d 615f6966 74797065 00040000 ..dma_iftype.... 53c00 2be90e44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. 53c10 0e444d41 5f49465f 50434900 010e444d .DMA_IF_PCI...DM 53c20 415f4946 5f504349 45000200 03646d61 A_IF_PCIE....dma 53c30 5f696674 7970655f 74000000 2bae0900 _iftype_t...+... 53c40 00136101 0700002b fb040006 01070000 ..a....+........ 53c50 2c080400 06010700 002c1104 00090000 ,........,...... 53c60 09930107 00002c1a 04000900 00136101 ......,.......a. 53c70 0700002c 27040009 00001361 01070000 ...,'......a.... 53c80 2c340400 09000014 ac010700 002c4104 ,4...........,A. 53c90 00060107 00002c4e 04000464 6d615f6c ......,N...dma_l 53ca0 69625f61 70690034 00002d55 0574785f ib_api.4..-U.tx_ 53cb0 696e6974 0000002c 01022300 0574785f init...,..#..tx_ 53cc0 73746172 74000000 2c0a0223 04057278 start...,..#..rx 53cd0 5f696e69 74000000 2c010223 08057278 _init...,..#..rx 53ce0 5f636f6e 66696700 00002c13 02230c05 _config...,..#.. 53cf0 72785f73 74617274 0000002c 0a022310 rx_start...,..#. 53d00 05696e74 725f7374 61747573 0000002c .intr_status..., 53d10 20022314 05686172 645f786d 69740000 .#..hard_xmit.. 53d20 002c2d02 23180566 6c757368 5f786d69 .,-.#..flush_xmi 53d30 74000000 2c0a0223 1c05786d 69745f64 t...,..#..xmit_d 53d40 6f6e6500 00002c3a 02232005 72656170 one...,:.# .reap 53d50 5f786d69 74746564 0000002c 47022324 _xmitted...,G.#$ 53d60 05726561 705f7265 63760000 002c4702 .reap_recv...,G. 53d70 23280572 65747572 6e5f7265 63760000 #(.return_recv.. 53d80 002c5002 232c0572 6563765f 706b7400 .,P.#,.recv_pkt. 53d90 00002c3a 02233000 045f5f70 63695f73 ..,:.#0..__pci_s 53da0 6f667463 000c0000 2d730573 77000000 oftc....-s.sw... 53db0 295f0223 0000035f 5f706369 5f736f66 )_.#...__pci_sof 53dc0 74635f74 0000002d 55070000 2d730400 tc_t...-U...-s.. 53dd0 06010700 002d8d04 00090000 134d0107 .....-.......M.. 53de0 00002d96 04000d68 69665f70 63695f70 ..-....hif_pci_p 53df0 6970655f 74780004 00002df6 0e484946 ipe_tx....-..HIF 53e00 5f504349 5f504950 455f5458 3000000e _PCI_PIPE_TX0... 53e10 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 53e20 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 53e30 54585f4d 41580002 00036869 665f7063 TX_MAX....hif_pc 53e40 695f7069 70655f74 785f7400 00002da3 i_pipe_tx_t...-. 53e50 0900002b 9c010700 002e0d04 000d6869 ...+..........hi 53e60 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... 53e70 002e930e 4849465f 5043495f 50495045 ....HIF_PCI_PIPE 53e80 5f525830 00000e48 49465f50 43495f50 _RX0...HIF_PCI_P 53e90 4950455f 52583100 010e4849 465f5043 IPE_RX1...HIF_PC 53ea0 495f5049 50455f52 58320002 0e484946 I_PIPE_RX2...HIF 53eb0 5f504349 5f504950 455f5258 3300030e _PCI_PIPE_RX3... 53ec0 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ 53ed0 4d415800 04000368 69665f70 63695f70 MAX....hif_pci_p 53ee0 6970655f 72785f74 0000002e 1a090000 ipe_rx_t........ 53ef0 2b9c0107 00002eaa 04000468 69665f70 +..........hif_p 53f00 63695f61 70690024 00002f88 05706369 ci_api.$../..pci 53f10 5f626f6f 745f696e 69740000 0001c202 _boot_init...... 53f20 23000570 63695f69 6e697400 0000294f #..pci_init...)O 53f30 02230405 7063695f 72657365 74000000 .#..pci_reset... 53f40 01c20223 08057063 695f656e 61626c65 ...#..pci_enable 53f50 00000001 c202230c 05706369 5f726561 ......#..pci_rea 53f60 705f786d 69747465 64000000 2d8f0223 p_xmitted...-..# 53f70 10057063 695f7265 61705f72 65637600 ..pci_reap_recv. 53f80 00002d8f 02231405 7063695f 6765745f ..-..#..pci_get_ 53f90 70697065 0000002d 9c022318 05706369 pipe...-..#..pci 53fa0 5f676574 5f74785f 656e6700 00002e13 _get_tx_eng..... 53fb0 02231c05 7063695f 6765745f 72785f65 .#..pci_get_rx_e 53fc0 6e670000 002eb002 23200004 676d6163 ng......# ..gmac 53fd0 5f617069 00040000 2faf0567 6d61635f _api..../..gmac_ 53fe0 626f6f74 5f696e69 74000000 01c20223 boot_init......# 53ff0 00000f00 00010106 00002fbc 10050004 ........../..... 54000 5f5f6574 68686472 000e0000 2ff20564 __ethhdr..../..d 54010 73740000 002faf02 23000573 72630000 st.../..#..src.. 54020 002faf02 23060565 74797065 00000013 ./..#..etype.... 54030 6102230c 00045f5f 61746868 64720004 a.#...__athhdr.. 54040 00003040 15726573 00000013 4d010002 ..0@.res....M... 54050 02230015 70726f74 6f000000 134d0102 .#..proto....M.. 54060 06022300 05726573 5f6c6f00 0000134d ..#..res_lo....M 54070 02230105 7265735f 68690000 00136102 .#..res_hi....a. 54080 23020004 5f5f676d 61635f68 64720014 #...__gmac_hdr.. 54090 0000307c 05657468 0000002f bc022300 ..0|.eth.../..#. 540a0 05617468 0000002f f202230e 05616c69 .ath.../..#..ali 540b0 676e5f70 61640000 00136102 23120003 gn_pad....a.#... 540c0 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 540d0 40045f5f 676d6163 5f736f66 74630024 @.__gmac_softc.$ 540e0 000030c6 05686472 00000030 7c022300 ..0..hdr...0|.#. 540f0 05677261 6e000000 13610223 14057377 .gran....a.#..sw 54100 00000029 5f022318 00045f41 5f6f735f ...)_.#..._A_os_ 54110 6c696e6b 6167655f 63686563 6b000800 linkage_check... 54120 0030ff05 76657273 696f6e00 000001c9 .0..version..... 54130 02230005 7461626c 65000000 01c90223 .#..table......# 54140 04000700 0030c604 00090000 01c90107 .....0.......... 54150 00003106 04000700 00047f04 00175f41 ..1..........._A 54160 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 54170 6f6e5f74 61626c65 0001b800 00325605 on_table.....2V. 54180 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec 54190 6b000000 310c0223 00057374 6172745f k...1..#..start_ 541a0 62737300 00003113 02230405 6170705f bss...1..#..app_ 541b0 73746172 74000000 01c20223 08056d65 start......#..me 541c0 6d000000 04bf0223 0c056d69 73630000 m......#..misc.. 541d0 0005de02 23200570 72696e74 66000000 ....# .printf... 541e0 01f60223 44057561 72740000 00029b02 ...#D.uart...... 541f0 234c0567 6d616300 00002f88 02236c05 #L.gmac.../..#l. 54200 75736200 0000100d 02237005 636c6f63 usb......#p.cloc 54210 6b000000 0b560323 e0010574 696d6572 k....V.#...timer 54220 00000007 f6032384 0205696e 74720000 ......#...intr.. 54230 000ca603 23980205 616c6c6f 6372616d ....#...allocram 54240 00000009 ae0323c4 0205726f 6d700000 ......#...romp.. 54250 0008a003 23d00205 7764745f 74696d65 ....#...wdt_time 54260 72000000 0e830323 e0020565 65700000 r......#...eep.. 54270 000fb103 23fc0205 73747269 6e670000 ....#...string.. 54280 00070203 238c0305 7461736b 6c657400 ....#...tasklet. 54290 00000aab 0323a403 00045f55 53425f46 .....#...._USB_F 542a0 49464f5f 434f4e46 49470010 000032c9 IFO_CONFIG....2. 542b0 05676574 5f636f6d 6d616e64 5f627566 .get_command_buf 542c0 00000014 b9022300 05726563 765f636f ......#..recv_co 542d0 6d6d616e 64000000 14cf0223 04056765 mmand......#..ge 542e0 745f6576 656e745f 62756600 000014b9 t_event_buf..... 542f0 02230805 73656e64 5f657665 6e745f64 .#..send_event_d 54300 6f6e6500 000014cf 02230c00 03555342 one......#...USB 54310 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 54320 56070000 32c90400 06010700 0032e504 V...2........2.. 54330 00047573 62666966 6f5f6170 69000c00 ..usbfifo_api... 54340 00333b05 5f696e69 74000000 32e70223 .3;._init...2..# 54350 00055f65 6e61626c 655f6576 656e745f .._enable_event_ 54360 69737200 000001c2 02230405 70526573 isr......#..pRes 54370 65727665 64000000 047c0223 08000f00 erved....|.#.... 54380 0016f302 00003348 10010004 5f485443 ......3H...._HTC 54390 5f465241 4d455f48 44520008 000033ba _FRAME_HDR....3. 543a0 05456e64 706f696e 74494400 000016f3 .EndpointID..... 543b0 02230005 466c6167 73000000 16f30223 .#..Flags......# 543c0 01055061 796c6f61 644c656e 0000001d ..PayloadLen.... 543d0 1b022302 05436f6e 74726f6c 42797465 ..#..ControlByte 543e0 73000000 333b0223 0405486f 73745365 s...3;.#..HostSe 543f0 714e756d 0000001d 1b022306 00120200 qNum......#..... 54400 0033d305 4d657373 61676549 44000000 .3..MessageID... 54410 1d1b0223 00001208 00003436 054d6573 ...#......46.Mes 54420 73616765 49440000 001d1b02 23000543 sageID......#..C 54430 72656469 74436f75 6e740000 001d1b02 reditCount...... 54440 23020543 72656469 7453697a 65000000 #..CreditSize... 54450 1d1b0223 04054d61 78456e64 706f696e ...#..MaxEndpoin 54460 74730000 0016f302 2306055f 50616431 ts......#.._Pad1 54470 00000016 f3022307 00120a00 0034cd05 ......#......4.. 54480 4d657373 61676549 44000000 1d1b0223 MessageID......# 54490 00055365 72766963 65494400 00001d1b ..ServiceID..... 544a0 02230205 436f6e6e 65637469 6f6e466c .#..ConnectionFl 544b0 61677300 00001d1b 02230405 446f776e ags......#..Down 544c0 4c696e6b 50697065 49440000 0016f302 LinkPipeID...... 544d0 23060555 704c696e 6b506970 65494400 #..UpLinkPipeID. 544e0 000016f3 02230705 53657276 6963654d .....#..ServiceM 544f0 6574614c 656e6774 68000000 16f30223 etaLength......# 54500 08055f50 61643100 000016f3 02230900 .._Pad1......#.. 54510 120a0000 3555054d 65737361 67654944 ....5U.MessageID 54520 0000001d 1b022300 05536572 76696365 ......#..Service 54530 49440000 001d1b02 23020553 74617475 ID......#..Statu 54540 73000000 16f30223 0405456e 64706f69 s......#..Endpoi 54550 6e744944 00000016 f3022305 054d6178 ntID......#..Max 54560 4d736753 697a6500 00001d1b 02230605 MsgSize......#.. 54570 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 54580 68000000 16f30223 08055f50 61643100 h......#.._Pad1. 54590 000016f3 02230900 12020000 356e054d .....#......5n.M 545a0 65737361 67654944 0000001d 1b022300 essageID......#. 545b0 00120400 0035aa05 4d657373 61676549 .....5..MessageI 545c0 44000000 1d1b0223 00055069 70654944 D......#..PipeID 545d0 00000016 f3022302 05437265 64697443 ......#..CreditC 545e0 6f756e74 00000016 f3022303 00120400 ount......#..... 545f0 0035e105 4d657373 61676549 44000000 .5..MessageID... 54600 1d1b0223 00055069 70654944 00000016 ...#..PipeID.... 54610 f3022302 05537461 74757300 000016f3 ..#..Status..... 54620 02230300 12020000 36080552 65636f72 .#......6..Recor 54630 64494400 000016f3 02230005 4c656e67 dID......#..Leng 54640 74680000 0016f302 23010012 02000036 th......#......6 54650 3205456e 64706f69 6e744944 00000016 2.EndpointID.... 54660 f3022300 05437265 64697473 00000016 ..#..Credits.... 54670 f3022301 00120400 00367305 456e6470 ..#......6s.Endp 54680 6f696e74 49440000 0016f302 23000543 ointID......#..C 54690 72656469 74730000 0016f302 23010554 redits......#..T 546a0 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... 546b0 1d1b0223 02000f00 0016f304 00003680 ...#..........6. 546c0 10030012 06000036 bc055072 6556616c .......6..PreVal 546d0 69640000 0016f302 2300054c 6f6f6b41 id......#..LookA 546e0 68656164 00000036 73022301 05506f73 head...6s.#..Pos 546f0 7456616c 69640000 0016f302 23050003 tValid......#... 54700 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... 54710 047c0900 0036bc01 07000036 cf040006 .|...6.....6.... 54720 01070000 36dc0400 14040000 375a0e50 ....6.......7Z.P 54730 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR 54740 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI 54750 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. 54760 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC 54770 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID 54780 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P 54790 4f4f4c5f 49445f4d 4158000a 00034255 OOL_ID_MAX....BU 547a0 465f504f 4f4c5f49 44000000 36e50601 F_POOL_ID...6... 547b0 07000037 6b040009 000026b8 01070000 ...7k.....&..... 547c0 37740400 09000026 b8010700 00378104 7t.....&.....7.. 547d0 00060107 0000378e 04000462 75665f70 ......7....buf_p 547e0 6f6f6c5f 61706900 1c000038 30055f69 ool_api....80._i 547f0 6e697400 000036d5 02230005 5f736875 nit...6..#.._shu 54800 74646f77 6e000000 36de0223 04055f63 tdown...6..#.._c 54810 72656174 655f706f 6f6c0000 00376d02 reate_pool...7m. 54820 2308055f 616c6c6f 635f6275 66000000 #.._alloc_buf... 54830 377a0223 0c055f61 6c6c6f63 5f627566 7z.#.._alloc_buf 54840 5f616c69 676e0000 00378702 2310055f _align...7..#.._ 54850 66726565 5f627566 00000037 90022314 free_buf...7..#. 54860 05705265 73657276 65640000 00047c02 .pReserved....|. 54870 23180004 5f485443 5f534552 56494345 #..._HTC_SERVICE 54880 001c0000 390f0570 4e657874 00000039 ....9..pNext...9 54890 0f022300 0550726f 63657373 52656376 ..#..ProcessRecv 548a0 4d736700 000039c4 02230405 50726f63 Msg...9..#..Proc 548b0 65737353 656e6442 75666665 72436f6d essSendBufferCom 548c0 706c6574 65000000 39cd0223 08055072 plete...9..#..Pr 548d0 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 548e0 e102230c 05536572 76696365 49440000 ..#..ServiceID.. 548f0 00136102 23100553 65727669 6365466c ..a.#..ServiceFl 54900 61677300 00001361 02231205 4d617853 ags....a.#..MaxS 54910 76634d73 6753697a 65000000 13610223 vcMsgSize....a.# 54920 14055472 61696c65 72537063 43686563 ..TrailerSpcChec 54930 6b4c696d 69740000 00136102 23160553 kLimit....a.#..S 54940 65727669 63654374 78000000 047c0223 erviceCtx....|.# 54950 18000700 00383004 00140400 0039ad19 .....80......9.. 54960 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. 54970 ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. 54980 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END 54990 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN 549a0 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. 549b0 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END 549c0 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN 549d0 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. 549e0 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... 549f0 03485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID 54a00 00000039 16060107 000039c2 04000601 ...9......9..... 54a10 07000039 cb040007 000001c9 04000900 ...9............ 54a20 00134d01 07000039 db040007 00003830 ..M....9......80 54a30 0400045f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. 54a40 00003a60 05437265 64697453 697a6500 ..:`.CreditSize. 54a50 000001c9 02230005 43726564 69744e75 .....#..CreditNu 54a60 6d626572 00000001 c9022304 054f5348 mber......#..OSH 54a70 616e646c 65000000 1ab70223 08054849 andle......#..HI 54a80 4648616e 646c6500 00002920 02230c05 FHandle...) .#.. 54a90 506f6f6c 48616e64 6c650000 0036bc02 PoolHandle...6.. 54aa0 23100004 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON 54ab0 54455854 00020000 3a9c0565 6e645f70 TEXT....:..end_p 54ac0 6f696e74 00000013 4d022300 05687463 oint....M.#..htc 54ad0 5f666c61 67730000 00134d02 23010003 _flags....M.#... 54ae0 6874635f 68616e64 6c655f74 00000004 htc_handle_t.... 54af0 7c034854 435f5345 5455505f 434f4d50 |.HTC_SETUP_COMP 54b00 4c455445 5f434200 000001c2 03485443 LETE_CB......HTC 54b10 5f434f4e 46494700 000039ef 0700003a _CONFIG...9....: 54b20 c9040009 00003a9c 01070000 3ae00400 ......:.....:... 54b30 06010700 003aed04 00034854 435f5345 .....:....HTC_SE 54b40 52564943 45000000 38300700 003af604 RVICE...80...:.. 54b50 00060107 00003b0e 04000601 0700003b ......;........; 54b60 17040006 01070000 3b200400 09000001 ........; ...... 54b70 c9010700 003b2904 00046874 635f6170 .....;)...htc_ap 54b80 69730034 00003ca6 055f4854 435f496e is.4..<.._HTC_In 54b90 69740000 003ae602 2300055f 4854435f it...:..#.._HTC_ 54ba0 53687574 646f776e 0000003a ef022304 Shutdown...:..#. 54bb0 055f4854 435f5265 67697374 65725365 ._HTC_RegisterSe 54bc0 72766963 65000000 3b100223 08055f48 rvice...;..#.._H 54bd0 54435f52 65616479 0000003a ef02230c TC_Ready...:..#. 54be0 055f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 54bf0 65727300 00003b19 02231005 5f485443 ers...;..#.._HTC 54c00 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi 54c10 73740000 003b2202 2314055f 4854435f st...;".#.._HTC_ 54c20 53656e64 4d736700 00003b19 02231805 SendMsg...;..#.. 54c30 5f485443 5f476574 52657365 72766564 _HTC_GetReserved 54c40 48656164 726f6f6d 0000003b 2f02231c Headroom...;/.#. 54c50 055f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan 54c60 646c6572 00000028 cd022320 055f4854 dler...(..# ._HT 54c70 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle 54c80 72000000 28c40223 24055f48 54435f43 r...(..#$._HTC_C 54c90 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 54ca0 4d736700 000039c4 02232805 5f485443 Msg...9..#(._HTC 54cb0 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce 54cc0 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. 54cd0 0039cd02 232c0570 52657365 72766564 .9..#,.pReserved 54ce0 00000004 7c022330 0004686f 73745f61 ....|.#0..host_a 54cf0 70705f61 7265615f 73000400 003cd605 pp_area_s....<.. 54d00 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver 54d10 00000016 8c022300 00120e00 003d0d05 ......#......=.. 54d20 6473744d 61630000 001cd802 23000573 dstMac......#..s 54d30 72634d61 63000000 1cd80223 06057479 rcMac......#..ty 54d40 70654f72 4c656e00 00001d1b 02230c00 peOrLen......#.. 54d50 0f000016 f3030000 3d1a1002 00120800 ........=....... 54d60 003d6a05 64736170 00000016 f3022300 .=j.dsap......#. 54d70 05737361 70000000 16f30223 0105636e .ssap......#..cn 54d80 746c0000 0016f302 2302056f 7267436f tl......#..orgCo 54d90 64650000 003d0d02 23030565 74686572 de...=..#..ether 54da0 54797065 0000001d 1b022306 00120200 Type......#..... 54db0 003d8b05 72737369 0000001c 71022300 .=..rssi....q.#. 54dc0 05696e66 6f000000 16f30223 01001204 .info......#.... 54dd0 00003db2 05636f6d 6d616e64 49640000 ..=..commandId.. 54de0 001d1b02 23000573 65714e6f 0000001d ....#..seqNo.... 54df0 1b022302 000f0000 16f30100 003dbf10 ..#..........=.. 54e00 00001202 00003de6 056d7367 53697a65 ......=..msgSize 54e10 00000016 f3022300 056d7367 44617461 ......#..msgData 54e20 0000003d b2022301 00120800 003e2d05 ...=..#......>-. 54e30 61646472 6573734c 0000001d 1b022300 addressL......#. 54e40 05616464 72657373 48000000 1d1b0223 .addressH......# 54e50 02057661 6c75654c 0000001d 1b022304 ..valueL......#. 54e60 0576616c 75654800 00001d1b 02230600 .valueH......#.. 54e70 03574d49 5f415654 0000003d e60f0000 .WMI_AVT...=.... 54e80 3e2d0800 003e4710 0000120c 00003e7e >-...>G.......>~ 54e90 05747570 6c654e75 6d4c0000 001d1b02 .tupleNumL...... 54ea0 23000574 75706c65 4e756d48 0000001d #..tupleNumH.... 54eb0 1b022302 05617674 0000003e 3a022304 ..#..avt...>:.#. 54ec0 00120100 003ea005 62656163 6f6e5065 .....>..beaconPe 54ed0 6e64696e 67436f75 6e740000 0016f302 ndingCount...... 54ee0 23000004 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON 54ef0 46494700 1000003f 09054874 6348616e FIG....?..HtcHan 54f00 646c6500 00003a9c 02230005 506f6f6c dle...:..#..Pool 54f10 48616e64 6c650000 0036bc02 2304054d Handle...6..#..M 54f20 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. 54f30 0001c902 2308054d 61784576 656e7445 ....#..MaxEventE 54f40 76747300 000001c9 02230c00 06010700 vts......#...... 54f50 003f0904 0003574d 495f434d 445f4841 .?....WMI_CMD_HA 54f60 4e444c45 52000000 3f0b045f 574d495f NDLER...?.._WMI_ 54f70 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. 54f80 00003f72 0570436d 6448616e 646c6572 ..?r.pCmdHandler 54f90 0000003f 12022300 05436d64 49440000 ...?..#..CmdID.. 54fa0 00136102 23040546 6c616773 00000013 ..a.#..Flags.... 54fb0 61022306 00045f57 4d495f44 49535041 a.#..._WMI_DISPA 54fc0 5443485f 5441424c 45001000 003fd305 TCH_TABLE....?.. 54fd0 704e6578 74000000 3fd30223 00057043 pNext...?..#..pC 54fe0 6f6e7465 78740000 00047c02 2304054e ontext....|.#..N 54ff0 756d6265 724f6645 6e747269 65730000 umberOfEntries.. 55000 0001c902 23080570 5461626c 65000000 ....#..pTable... 55010 3ff20223 0c000700 003f7204 0003574d ?..#.....?r...WM 55020 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY 55030 0000003f 27070000 3fda0400 0700003f ...?'...?......? 55040 72040003 4854435f 4255465f 434f4e54 r...HTC_BUF_CONT 55050 45585400 00003a60 0d574d49 5f455654 EXT...:`.WMI_EVT 55060 5f434c41 53530004 0000408a 19574d49 _CLASS....@..WMI 55070 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. 55080 ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA 55090 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W 550a0 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 550b0 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT 550c0 5f434c41 53535f4d 41580002 0003574d _CLASS_MAX....WM 550d0 495f4556 545f434c 41535300 00004015 I_EVT_CLASS...@. 550e0 045f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX 550f0 54000c00 0040e805 48746342 75664374 T....@..HtcBufCt 55100 78000000 40000223 00054576 656e7443 x...@..#..EventC 55110 6c617373 00000040 8a022304 05466c61 lass...@..#..Fla 55120 67730000 00136102 23080003 776d695f gs....a.#...wmi_ 55130 68616e64 6c655f74 00000004 7c03574d handle_t....|.WM 55140 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> 55150 a0070000 40fa0400 09000040 e8010700 ....@......@.... 55160 00411504 0003574d 495f4449 53504154 .A....WMI_DISPAT 55170 43485f54 41424c45 0000003f 72070000 CH_TABLE...?r... 55180 41220400 06010700 00414104 00090000 A".......AA..... 55190 26b80107 0000414a 04000601 07000041 &.....AJ.......A 551a0 57040009 000001c9 01070000 41600400 W...........A`.. 551b0 06010700 00416d04 00090000 134d0107 .....Am......M.. 551c0 00004176 0400045f 776d695f 7376635f ..Av..._wmi_svc_ 551d0 61706973 002c0000 42be055f 574d495f apis.,..B.._WMI_ 551e0 496e6974 00000041 1b022300 055f574d Init...A..#.._WM 551f0 495f5265 67697374 65724469 73706174 I_RegisterDispat 55200 63685461 626c6500 00004143 02230405 chTable...AC.#.. 55210 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. 55220 00004150 02230805 5f574d49 5f53656e ..AP.#.._WMI_Sen 55230 64457665 6e740000 00415902 230c055f dEvent...AY.#.._ 55240 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv 55250 656e7473 436f756e 74000000 41660223 entsCount...Af.# 55260 10055f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl 55270 65746548 616e646c 65720000 0039cd02 eteHandler...9.. 55280 2314055f 574d495f 47657443 6f6e7472 #.._WMI_GetContr 55290 6f6c4570 00000041 66022318 055f574d olEp...Af.#.._WM 552a0 495f5368 7574646f 776e0000 00416f02 I_Shutdown...Ao. 552b0 231c055f 574d495f 52656376 4d657373 #.._WMI_RecvMess 552c0 61676548 616e646c 65720000 0039c402 ageHandler...9.. 552d0 2320055f 574d495f 53657276 69636543 # ._WMI_ServiceC 552e0 6f6e6e65 63740000 00417c02 23240570 onnect...A|.#$.p 552f0 52657365 72766564 00000004 7c022328 Reserved....|.#( 55300 00047a73 446d6144 65736300 14000043 ..zsDmaDesc....C 55310 40056374 726c0000 00011f02 23000573 @.ctrl......#..s 55320 74617475 73000000 011f0223 0205746f tatus......#..to 55330 74616c4c 656e0000 00011f02 23040564 talLen......#..d 55340 61746153 697a6500 0000011f 02230605 ataSize......#.. 55350 6c617374 41646472 00000043 40022308 lastAddr...C@.#. 55360 05646174 61416464 72000000 022d0223 .dataAddr....-.# 55370 0c056e65 78744164 64720000 00434002 ..nextAddr...C@. 55380 23100007 000042be 04000700 0042be04 #.....B......B.. 55390 00047a73 446d6151 75657565 00080000 ..zsDmaQueue.... 553a0 43800568 65616400 00004347 02230005 C..head...CG.#.. 553b0 7465726d 696e6174 6f720000 00434702 terminator...CG. 553c0 23040004 7a735478 446d6151 75657565 #...zsTxDmaQueue 553d0 00100000 43e40568 65616400 00004347 ....C..head...CG 553e0 02230005 7465726d 696e6174 6f720000 .#..terminator.. 553f0 00434702 23040578 6d697465 645f6275 .CG.#..xmited_bu 55400 665f6865 61640000 0014ac02 23080578 f_head......#..x 55410 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. 55420 0014ac02 230c0006 01070000 43e40400 ....#.......C... 55430 07000043 4e040006 01070000 43f40400 ...CN.......C... 55440 07000043 80040006 01070000 44040400 ...C........D... 55450 06010700 00440d04 00060107 00004416 .....D........D. 55460 04000900 0014ac01 07000044 1f040006 ...........D.... 55470 01070000 442c0400 09000014 ac010700 ....D,.......... 55480 00443504 00060107 00004442 04000900 .D5.......DB.... 55490 0001c901 07000044 4b040009 00004347 .......DK.....CG 554a0 01070000 44580400 06010700 00446504 ....DX.......De. 554b0 0004646d 615f656e 67696e65 5f617069 ..dma_engine_api 554c0 00400000 45db055f 696e6974 00000043 .@..E.._init...C 554d0 e6022300 055f696e 69745f72 785f7175 ..#.._init_rx_qu 554e0 65756500 000043f6 02230405 5f696e69 eue...C..#.._ini 554f0 745f7478 5f717565 75650000 00440602 t_tx_queue...D.. 55500 2308055f 636f6e66 69675f72 785f7175 #.._config_rx_qu 55510 65756500 0000440f 02230c05 5f786d69 eue...D..#.._xmi 55520 745f6275 66000000 44180223 10055f66 t_buf...D..#.._f 55530 6c757368 5f786d69 74000000 43f60223 lush_xmit...C..# 55540 14055f72 6561705f 72656376 5f627566 .._reap_recv_buf 55550 00000044 25022318 055f7265 7475726e ...D%.#.._return 55560 5f726563 765f6275 66000000 442e0223 _recv_buf...D..# 55570 1c055f72 6561705f 786d6974 65645f62 .._reap_xmited_b 55580 75660000 00443b02 2320055f 73776170 uf...D;.# ._swap 55590 5f646174 61000000 44440223 24055f68 _data...DD.#$._h 555a0 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets 555b0 00000044 51022328 055f6465 73635f64 ...DQ.#(._desc_d 555c0 756d7000 000043f6 02232c05 5f676574 ump...C..#,._get 555d0 5f706163 6b657400 0000445e 02233005 _packet...D^.#0. 555e0 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. 555f0 00004467 02233405 5f707574 5f706163 ..Dg.#4._put_pac 55600 6b657400 00004467 02233805 70526573 ket...Dg.#8.pRes 55610 65727665 64000000 047c0223 3c00035f erved....|.#<.._ 55620 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 55630 696f6e5f 7461626c 655f7400 0000311a ion_table_t...1. 55640 03574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... 55650 4183175f 415f6d61 67706965 5f696e64 A.._A_magpie_ind 55660 69726563 74696f6e 5f746162 6c650003 irection_table.. 55670 4c000047 0905636d 6e6f7300 000045db L..G..cmnos...E. 55680 02230005 64626700 00000449 0323b803 .#..dbg....I.#.. 55690 05686966 00000029 c30323c0 03056874 .hif...)..#...ht 556a0 63000000 3b360323 f8030577 6d695f73 c...;6.#...wmi_s 556b0 76635f61 70690000 0045fd03 23ac0405 vc_api...E..#... 556c0 75736266 69666f5f 61706900 000032ee usbfifo_api...2. 556d0 0323d804 05627566 5f706f6f 6c000000 .#...buf_pool... 556e0 37970323 e4040576 62756600 000014d6 7..#...vbuf..... 556f0 03238005 05766465 73630000 0013b803 .#...vdesc...... 55700 23940505 616c6c6f 6372616d 00000009 #...allocram.... 55710 ae0323a8 0505646d 615f656e 67696e65 ..#...dma_engine 55720 00000044 6e0323b4 0505646d 615f6c69 ...Dn.#...dma_li 55730 62000000 2c570323 f4050568 69665f70 b...,W.#...hif_p 55740 63690000 002eb703 23a80600 035f415f ci......#...._A_ 55750 6d616770 69655f69 6e646972 65637469 magpie_indirecti 55760 6f6e5f74 61626c65 5f740000 00460f06 on_table_t...F.. 55770 01070000 01120400 06011a01 146d5573 .............mUs 55780 6245504d 61700001 01039201 20029000 bEPMap...... ... 55790 008e48f8 008e4914 0000477d 1b011445 ..H...I...G}...E 557a0 506e0000 00011201 521b0114 4d415000 Pn......R...MAP. 557b0 00000112 01531c72 65670000 00011200 .....S.reg...... 557c0 1a01246d 55736246 49464f4d 61700001 ..$mUsbFIFOMap.. 557d0 01039201 20029000 008e4914 008e4932 .... .....I...I2 557e0 000047c7 1b012446 49464f6e 00000001 ..G...$FIFOn.... 557f0 1201521b 01244d41 50000000 01120153 ..R..$MAP......S 55800 1c726567 00000001 12001a01 366d5573 .reg........6mUs 55810 62464946 4f436f6e 66696700 01010392 bFIFOConfig..... 55820 01200290 00008e49 34008e49 52000048 . .....I4..IR..H 55830 141b0136 4649464f 6e000000 01120152 ...6FIFOn......R 55840 1b013663 66670000 00011201 531c7265 ..6cfg......S.re 55850 67000000 0112001a 01456d55 73624550 g........EmUsbEP 55860 4d785074 537a4869 67680001 01039201 MxPtSzHigh...... 55870 20029000 008e4954 008e497b 0000486f .....IT..I{..Ho 55880 1b014545 506e0000 00011201 521b0145 ..EEPn......R..E 55890 64697200 00000112 01531b01 4573697a dir......S..Esiz 558a0 65000000 01350154 1c726567 00000001 e....5.T.reg.... 558b0 12001a01 526d5573 6245504d 78507453 ....RmUsbEPMxPtS 558c0 7a4c6f77 00010103 92012002 9000008e zLow...... ..... 558d0 497c008e 49a00000 48c91b01 5245506e I|..I...H...REPn 558e0 00000001 1201521b 01526469 72000000 ......R..Rdir... 558f0 01120153 1b015273 697a6500 00000135 ...S..Rsize....5 55900 01541c72 65670000 00011200 1a015e6d .T.reg........^m 55910 55736245 50696e48 69676842 616e6453 UsbEPinHighBandS 55920 65740001 01039201 20029000 008e49a0 et...... .....I. 55930 008e49ea 00004931 1b015e45 506e0000 ..I...I1..^EPn.. 55940 00011201 521b015e 64697200 00000112 ....R..^dir..... 55950 01531b01 5e73697a 65000000 01350154 .S..^size....5.T 55960 1c726567 00000001 121c7265 67320000 .reg......reg2.. 55970 00011200 1a018f76 55736246 49464f5f .......vUsbFIFO_ 55980 45507843 66675f48 53000101 03920120 EPxCfg_HS...... 55990 02900000 8e49ec00 8e4b7c00 0049641c .....I...K|..Id. 559a0 69000000 01c9001d 01013476 55736246 i.........4vUsbF 559b0 49464f5f 45507843 66675f46 53000101 IFO_EPxCfg_FS... 559c0 03920120 02900000 8e4b7c00 8e4cab1c ... .....K|..L.. 559d0 69000000 01c90000 0000004d 26000200 i..........M&... 559e0 001f5c04 012f726f 6f742f57 6f726b73 ..\../root/Works 559f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 55a00 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 55a10 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 55a20 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 55a30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 55a40 6f6d2f68 69662f64 6d615f6c 69622f2f om/hif/dma_lib// 55a50 646d615f 6c69622e 63002f72 6f6f742f dma_lib.c./root/ 55a60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 55a70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 55a80 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 55a90 2f646d61 5f6c6962 0078742d 78636320 /dma_lib.xt-xcc 55aa0 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a 55ab0 6c69676e 5f696e73 74727563 74696f6e lign_instruction 55ac0 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP 55ad0 543a7370 61636500 01000001 356e025f T:space.....5n._ 55ae0 56444553 43002400 00019103 6e657874 VDESC.$.....next 55af0 5f646573 63000000 01910223 00036275 _desc......#..bu 55b00 665f6164 64720000 0001b602 23040362 f_addr......#..b 55b10 75665f73 697a6500 000001d3 02230803 uf_size......#.. 55b20 64617461 5f6f6666 73657400 000001d3 data_offset..... 55b30 02230a03 64617461 5f73697a 65000000 .#..data_size... 55b40 01d30223 0c03636f 6e74726f 6c000000 ...#..control... 55b50 01d30223 0e036877 5f646573 635f6275 ...#..hw_desc_bu 55b60 66000000 01e10223 10000400 00010504 f......#........ 55b70 0005756e 7369676e 65642063 68617200 ..unsigned char. 55b80 07010641 5f55494e 54380000 00019804 ...A_UINT8...... 55b90 000001a9 04000573 686f7274 20756e73 .......short uns 55ba0 69676e65 6420696e 74000702 06415f55 igned int....A_U 55bb0 494e5431 36000000 01bd0700 0001a914 INT16........... 55bc0 000001ee 08130004 00000105 04000569 ...............i 55bd0 6e740005 04090104 000001fc 04000656 nt.............V 55be0 44455343 00000001 05040000 02050400 DESC............ 55bf0 0a000002 10010400 00021704 000a0000 ................ 55c00 01b60104 00000224 04000901 04000002 .......$........ 55c10 3104000b 04000276 64657363 5f617069 1......vdesc_api 55c20 00140000 02ac035f 696e6974 00000001 ......._init.... 55c30 fe022300 035f616c 6c6f635f 76646573 ..#.._alloc_vdes 55c40 63000000 021d0223 04035f67 65745f68 c......#.._get_h 55c50 775f6465 73630000 00022a02 2308035f w_desc....*.#.._ 55c60 73776170 5f766465 73630000 00023302 swap_vdesc....3. 55c70 230c0370 52657365 72766564 00000002 #..pReserved.... 55c80 3a022310 00025f56 42554600 20000003 :.#..._VBUF. ... 55c90 0c036465 73635f6c 69737400 00000210 ..desc_list..... 55ca0 02230003 6e657874 5f627566 00000003 .#..next_buf.... 55cb0 0c022304 03627566 5f6c656e 67746800 ..#..buf_length. 55cc0 000001d3 02230803 72657365 72766564 .....#..reserved 55cd0 00000003 1302230a 03637478 00000001 ......#..ctx.... 55ce0 e102230c 00040000 02ac0400 07000001 ..#............. 55cf0 a9020000 03200801 00040000 02ac0400 ..... .......... 55d00 06564255 46000000 02ac0400 00032704 .VBUF.........'. 55d10 000a0000 03310104 00000338 04000a00 .....1.....8.... 55d20 00033101 04000003 45040009 01040000 ..1.....E....... 55d30 03520400 02766275 665f6170 69001400 .R...vbuf_api... 55d40 0003d003 5f696e69 74000000 01fe0223 ...._init......# 55d50 00035f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... 55d60 033e0223 04035f61 6c6c6f63 5f766275 .>.#.._alloc_vbu 55d70 665f7769 74685f73 697a6500 0000034b f_with_size....K 55d80 02230803 5f667265 655f7662 75660000 .#.._free_vbuf.. 55d90 00035402 230c0370 52657365 72766564 ..T.#..pReserved 55da0 00000002 3a022310 00027a73 446d6144 ....:.#...zsDmaD 55db0 65736300 14000004 52036374 726c0000 esc.....R.ctrl.. 55dc0 0001bd02 23000373 74617475 73000000 ....#..status... 55dd0 01bd0223 0203746f 74616c4c 656e0000 ...#..totalLen.. 55de0 0001bd02 23040364 61746153 697a6500 ....#..dataSize. 55df0 000001bd 02230603 6c617374 41646472 .....#..lastAddr 55e00 00000004 52022308 03646174 61416464 ....R.#..dataAdd 55e10 72000000 04590223 0c036e65 78744164 r....Y.#..nextAd 55e20 64720000 00045202 23100004 000003d0 dr....R.#....... 55e30 0400056c 6f6e6720 756e7369 676e6564 ...long unsigned 55e40 20696e74 00070404 000003d0 0400027a int...........z 55e50 73446d61 51756575 65000800 0004a703 sDmaQueue....... 55e60 68656164 00000004 6e022300 03746572 head....n.#..ter 55e70 6d696e61 746f7200 0000046e 02230400 minator....n.#.. 55e80 027a7354 78446d61 51756575 65001000 .zsTxDmaQueue... 55e90 00050b03 68656164 00000004 6e022300 ....head....n.#. 55ea0 03746572 6d696e61 746f7200 0000046e .terminator....n 55eb0 02230403 786d6974 65645f62 75665f68 .#..xmited_buf_h 55ec0 65616400 00000331 02230803 786d6974 ead....1.#..xmit 55ed0 65645f62 75665f74 61696c00 00000331 ed_buf_tail....1 55ee0 02230c00 09010400 00050b04 00040000 .#.............. 55ef0 04750400 09010400 00051b04 00040000 .u.............. 55f00 04a70400 09010400 00052b04 00090104 ..........+..... 55f10 00000534 04000901 04000005 3d04000a ...4........=... 55f20 00000331 01040000 05460400 09010400 ...1.....F...... 55f30 00055304 000a0000 03310104 0000055c ..S......1.....\ 55f40 04000901 04000005 6904000a 000001f5 ........i....... 55f50 01040000 05720400 0a000004 6e010400 .....r......n... 55f60 00057f04 00090104 0000058c 04000264 ...............d 55f70 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. 55f80 00070203 5f696e69 74000000 050d0223 ...._init......# 55f90 00035f69 6e69745f 72785f71 75657565 .._init_rx_queue 55fa0 00000005 1d022304 035f696e 69745f74 ......#.._init_t 55fb0 785f7175 65756500 0000052d 02230803 x_queue....-.#.. 55fc0 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue 55fd0 00000005 3602230c 035f786d 69745f62 ....6.#.._xmit_b 55fe0 75660000 00053f02 2310035f 666c7573 uf....?.#.._flus 55ff0 685f786d 69740000 00051d02 2314035f h_xmit......#.._ 56000 72656170 5f726563 765f6275 66000000 reap_recv_buf... 56010 054c0223 18035f72 65747572 6e5f7265 .L.#.._return_re 56020 63765f62 75660000 00055502 231c035f cv_buf....U.#.._ 56030 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. 56040 00000562 02232003 5f737761 705f6461 ...b.# ._swap_da 56050 74610000 00056b02 2324035f 6861735f ta....k.#$._has_ 56060 636f6d70 6c5f7061 636b6574 73000000 compl_packets... 56070 05780223 28035f64 6573635f 64756d70 .x.#(._desc_dump 56080 00000005 1d02232c 035f6765 745f7061 ......#,._get_pa 56090 636b6574 00000005 85022330 035f7265 cket......#0._re 560a0 636c6169 6d5f7061 636b6574 00000005 claim_packet.... 560b0 8e022334 035f7075 745f7061 636b6574 ..#4._put_packet 560c0 00000005 8e022338 03705265 73657276 ......#8.pReserv 560d0 65640000 00023a02 233c0009 01040000 ed....:.#<...... 560e0 07020400 05636861 72000701 0c000007 .....char....... 560f0 0b0c0000 070b0400 00071804 000a0000 ................ 56100 01f50104 00000724 04000270 72696e74 .......$...print 56110 665f6170 69000800 00076803 5f707269 f_api.....h._pri 56120 6e74665f 696e6974 00000007 04022300 ntf_init......#. 56130 035f7072 696e7466 00000007 2a022304 ._printf....*.#. 56140 00067569 6e743136 5f740000 0001bd06 ..uint16_t...... 56150 75696e74 33325f74 00000004 59027561 uint32_t....Y.ua 56160 72745f66 69666f00 08000007 cf037374 rt_fifo.......st 56170 6172745f 696e6465 78000000 07680223 art_index....h.# 56180 0003656e 645f696e 64657800 00000768 ..end_index....h 56190 02230203 6f766572 72756e5f 65727200 .#..overrun_err. 561a0 00000776 02230400 02756172 745f6170 ...v.#...uart_ap 561b0 69002000 00088803 5f756172 745f696e i. ....._uart_in 561c0 69740000 0008df02 2300035f 75617274 it......#.._uart 561d0 5f636861 725f7075 74000000 08f50223 _char_put......# 561e0 04035f75 6172745f 63686172 5f676574 .._uart_char_get 561f0 00000009 09022308 035f7561 72745f73 ......#.._uart_s 56200 74725f6f 75740000 00091202 230c035f tr_out......#.._ 56210 75617274 5f746173 6b000000 07040223 uart_task......# 56220 10035f75 6172745f 73746174 75730000 .._uart_status.. 56230 0008df02 2314035f 75617274 5f636f6e ....#.._uart_con 56240 66696700 0000091b 02231803 5f756172 fig......#.._uar 56250 745f6877 696e6974 00000009 2402231c t_hwinit....$.#. 56260 00040000 07cf0400 02756172 745f626c .........uart_bl 56270 6b001000 0008d903 64656275 675f6d6f k.......debug_mo 56280 64650000 00076802 23000362 61756400 de....h.#..baud. 56290 00000768 02230203 5f756172 74000000 ...h.#.._uart... 562a0 08880223 04035f74 78000000 07840223 ...#.._tx......# 562b0 08000a00 00077601 04000008 d9040006 ......v......... 562c0 75696e74 385f7400 00000198 09010400 uint8_t......... 562d0 0008f304 00040000 08e60400 0a000007 ................ 562e0 68010400 00090304 00090104 00000910 h............... 562f0 04000901 04000009 19040009 01040000 ................ 56300 09220400 04000007 0b04000a 000001f5 .".............. 56310 01040000 09320400 0244425f 434f4d4d .....2...DB_COMM 56320 414e445f 53545255 4354000c 0000098a AND_STRUCT...... 56330 03636d64 5f737472 00000009 2b022300 .cmd_str....+.#. 56340 0368656c 705f7374 72000000 092b0223 .help_str....+.# 56350 0403636d 645f6675 6e630000 00093802 ..cmd_func....8. 56360 23080002 6462675f 61706900 08000009 #...dbg_api..... 56370 bd035f64 62675f69 6e697400 00000704 .._dbg_init..... 56380 02230003 5f646267 5f746173 6b000000 .#.._dbg_task... 56390 07040223 04000575 6e736967 6e656420 ...#...unsigned 563a0 696e7400 07040a00 00023a01 04000009 int.......:..... 563b0 cd04000d 0d040000 09db0400 0a000002 ................ 563c0 3a010400 0009e304 000a0000 01f50104 :............... 563d0 000009f0 0400026d 656d5f61 70690014 .......mem_api.. 563e0 00000a5f 035f6d65 6d5f696e 69740000 ..._._mem_init.. 563f0 00070402 2300035f 6d656d73 65740000 ....#.._memset.. 56400 0009d302 2304035f 6d656d63 70790000 ....#.._memcpy.. 56410 0009e902 2308035f 6d656d6d 6f766500 ....#.._memmove. 56420 000009e9 02230c03 5f6d656d 636d7000 .....#.._memcmp. 56430 000009f6 02231000 0e726567 69737465 .....#...registe 56440 725f6475 6d705f73 00000104 00000a5f r_dump_s......._ 56450 04000901 0400000a 79040009 01040000 ........y....... 56460 0a820400 0a000001 f5010400 000a8b04 ................ 56470 000f686f 73746966 5f730004 00000ae7 ..hostif_s...... 56480 10484946 5f555342 00001048 49465f50 .HIF_USB...HIF_P 56490 43494500 01104849 465f474d 41430002 CIE...HIF_GMAC.. 564a0 10484946 5f504349 00031048 49465f4e .HIF_PCI...HIF_N 564b0 554d0004 10484946 5f4e4f4e 45000500 UM...HIF_NONE... 564c0 06415f48 4f535449 46000000 0a980a00 .A_HOSTIF....... 564d0 000ae701 0400000a f504000a 000008e6 ................ 564e0 01040000 0b020400 0a000007 68010400 ............h... 564f0 000b0f04 00026d69 73635f61 70690024 ......misc_api.$ 56500 00000bff 035f7379 7374656d 5f726573 ....._system_res 56510 65740000 00070402 2300035f 6d61635f et......#.._mac_ 56520 72657365 74000000 07040223 04035f61 reset......#.._a 56530 73736661 696c0000 000a7b02 2308035f ssfail....{.#.._ 56540 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 56550 68616e64 6c657200 00000a7b 02230c03 handler....{.#.. 56560 5f726570 6f72745f 6661696c 7572655f _report_failure_ 56570 746f5f68 6f737400 00000a84 02231003 to_host......#.. 56580 5f746172 6765745f 69645f67 65740000 _target_id_get.. 56590 000a9102 2314035f 69735f68 6f73745f ....#.._is_host_ 565a0 70726573 656e7400 00000afb 02231803 present......#.. 565b0 5f6b6268 69740000 000b0802 231c035f _kbhit......#.._ 565c0 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. 565d0 00000b15 02232000 0a000009 2b010400 .....# .....+... 565e0 000bff04 000a0000 092b0104 00000c0c .........+...... 565f0 04000a00 0001f501 0400000c 1904000a ................ 56600 000001f5 01040000 0c260400 0a000001 .........&...... 56610 f5010400 000c3304 00027374 72696e67 ......3...string 56620 5f617069 00180000 0cb9035f 73747269 _api......._stri 56630 6e675f69 6e697400 00000704 02230003 ng_init......#.. 56640 5f737472 63707900 00000c05 02230403 _strcpy......#.. 56650 5f737472 6e637079 0000000c 12022308 _strncpy......#. 56660 035f7374 726c656e 0000000c 1f02230c ._strlen......#. 56670 035f7374 72636d70 0000000c 2c022310 ._strcmp....,.#. 56680 035f7374 726e636d 70000000 0c390223 ._strncmp....9.# 56690 14000700 0009bd14 00000cc6 08040006 ................ 566a0 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. 566b0 000cb906 415f7469 6d65725f 74000000 ....A_timer_t... 566c0 0cc60400 000cda04 00090104 00000cf0 ................ 566d0 04000901 0400000c f9040006 415f4841 ............A_HA 566e0 4e444c45 00000009 bd090106 415f5449 NDLE........A_TI 566f0 4d45525f 46554e43 0000000d 10040000 MER_FUNC........ 56700 0d120400 09010400 000d2b04 00027469 ..........+...ti 56710 6d65725f 61706900 1400000d aa035f74 mer_api......._t 56720 696d6572 5f696e69 74000000 07040223 imer_init......# 56730 00035f74 696d6572 5f61726d 0000000c .._timer_arm.... 56740 f2022304 035f7469 6d65725f 64697361 ..#.._timer_disa 56750 726d0000 000cfb02 2308035f 74696d65 rm......#.._time 56760 725f7365 74666e00 00000d2d 02230c03 r_setfn....-.#.. 56770 5f74696d 65725f72 756e0000 00070402 _timer_run...... 56780 23100006 424f4f4c 45414e00 00000768 #...BOOLEAN....h 56790 0a00000d aa010400 000db704 000a0000 ................ 567a0 0daa0104 00000dc4 04000a00 000daa01 ................ 567b0 0400000d d1040002 726f6d70 5f617069 ........romp_api 567c0 00100000 0e43035f 726f6d70 5f696e69 .....C._romp_ini 567d0 74000000 07040223 00035f72 6f6d705f t......#.._romp_ 567e0 646f776e 6c6f6164 0000000d bd022304 download......#. 567f0 035f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. 56800 000dca02 2308035f 726f6d70 5f646563 ....#.._romp_dec 56810 6f646500 00000dd7 02230c00 02726f6d ode......#...rom 56820 5f706174 63685f73 74001000 000e9f03 _patch_st....... 56830 63726331 36000000 07680223 00036c65 crc16....h.#..le 56840 6e000000 07680223 02036c64 5f616464 n....h.#..ld_add 56850 72000000 07760223 04036675 6e5f6164 r....v.#..fun_ad 56860 64720000 00077602 23080370 66756e00 dr....v.#..pfun. 56870 000008fc 02230c00 02656570 5f726564 .....#...eep_red 56880 69725f61 64647200 0400000e d1036f66 ir_addr.......of 56890 66736574 00000007 68022300 0373697a fset....h.#..siz 568a0 65000000 07680223 02000641 5f55494e e....h.#...A_UIN 568b0 54333200 000009bd 0a000002 3a010400 T32.........:... 568c0 000edf04 0002616c 6c6f6372 616d5f61 ......allocram_a 568d0 7069000c 00000f50 03636d6e 6f735f61 pi.....P.cmnos_a 568e0 6c6c6f63 72616d5f 696e6974 0000000e llocram_init.... 568f0 e5022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc 56900 72616d00 00000ee5 02230403 636d6e6f ram......#..cmno 56910 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug 56920 00000007 04022308 00090104 00000f50 ......#........P 56930 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN 56940 43000000 0f52025f 7461736b 6c657400 C....R._tasklet. 56950 1000000f b1036675 6e630000 000f5902 ......func....Y. 56960 23000361 72670000 00023a02 23040373 #..arg....:.#..s 56970 74617465 00000001 f5022308 036e6578 tate......#..nex 56980 74000000 0fb10223 0c000400 000f6d04 t......#......m. 56990 00040000 0f6d0400 06415f74 61736b6c .....m...A_taskl 569a0 65745f74 0000000f 6d040000 0fbf0400 et_t....m....... 569b0 09010400 000fd704 00090104 00000fe0 ................ 569c0 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. 569d0 00001075 035f7461 736b6c65 745f696e ...u._tasklet_in 569e0 69740000 00070402 2300035f 7461736b it......#.._task 569f0 6c65745f 696e6974 5f746173 6b000000 let_init_task... 56a00 0fd90223 04035f74 61736b6c 65745f64 ...#.._tasklet_d 56a10 69736162 6c650000 000fe202 2308035f isable......#.._ 56a20 7461736b 6c65745f 73636865 64756c65 tasklet_schedule 56a30 0000000f e202230c 035f7461 736b6c65 ......#.._taskle 56a40 745f7275 6e000000 07040223 10000901 t_run......#.... 56a50 04000010 7504000a 00000ed1 01040000 ....u........... 56a60 107e0400 02636c6f 636b5f61 70690024 .~...clock_api.$ 56a70 00001164 035f636c 6f636b5f 696e6974 ...d._clock_init 56a80 00000010 77022300 035f636c 6f636b72 ....w.#.._clockr 56a90 6567735f 696e6974 00000007 04022304 egs_init......#. 56aa0 035f7561 72745f66 72657175 656e6379 ._uart_frequency 56ab0 00000010 84022308 035f6465 6c61795f ......#.._delay_ 56ac0 75730000 0001fe02 230c035f 776c616e us......#.._wlan 56ad0 5f62616e 645f7365 74000000 01fe0223 _band_set......# 56ae0 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ 56af0 67657400 00001084 02231403 5f6d696c get......#.._mil 56b00 6c697365 636f6e64 73000000 10840223 liseconds......# 56b10 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change 56b20 00000007 0402231c 035f636c 6f636b5f ......#.._clock_ 56b30 7469636b 00000007 04022320 000a0000 tick......# .... 56b40 07760104 00001164 04000641 5f6f6c64 .v.....d...A_old 56b50 5f696e74 725f7400 00000776 0a000011 _intr_t....v.... 56b60 71010400 00118304 00090104 00001190 q............... 56b70 04000901 04000011 9904000a 00000776 ...............v 56b80 01040000 11a20400 06415f69 73725f74 .........A_isr_t 56b90 00000011 a8090104 000011bc 04000a00 ................ 56ba0 0009bd01 04000011 c5040009 01040000 ................ 56bb0 11d20400 02696e74 725f6170 69002c00 .....intr_api.,. 56bc0 0012f403 5f696e74 725f696e 69740000 ...._intr_init.. 56bd0 00070402 2300035f 696e7472 5f696e76 ....#.._intr_inv 56be0 6f6b655f 69737200 0000116a 02230403 oke_isr....j.#.. 56bf0 5f696e74 725f6469 7361626c 65000000 _intr_disable... 56c00 11890223 08035f69 6e74725f 72657374 ...#.._intr_rest 56c10 6f726500 00001192 02230c03 5f696e74 ore......#.._int 56c20 725f6d61 736b5f69 6e756d00 0000119b r_mask_inum..... 56c30 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask 56c40 5f696e75 6d000000 119b0223 14035f69 _inum......#.._i 56c50 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. 56c60 0011be02 2318035f 6765745f 696e7472 ....#.._get_intr 56c70 656e6162 6c650000 0011cb02 231c035f enable......#.._ 56c80 7365745f 696e7472 656e6162 6c650000 set_intrenable.. 56c90 0011d402 2320035f 6765745f 696e7472 ....# ._get_intr 56ca0 70656e64 696e6700 000011cb 02232403 pending......#$. 56cb0 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int 56cc0 726c766c 00000007 04022328 00110400 rlvl......#(.... 56cd0 00131a03 74696d65 6f757400 00000776 ....timeout....v 56ce0 02230003 61637469 6f6e0000 00077602 .#..action....v. 56cf0 23000012 08000013 3503636d 64000000 #.......5.cmd... 56d00 07760223 00130000 12f40223 04000654 .v.#.......#...T 56d10 5f574454 5f434d44 00000013 1a090104 _WDT_CMD........ 56d20 00001344 04001404 0000139a 10454e55 ...D.........ENU 56d30 4d5f5744 545f424f 4f540001 10454e55 M_WDT_BOOT...ENU 56d40 4d5f434f 4c445f42 4f4f5400 0210454e M_COLD_BOOT...EN 56d50 554d5f53 5553505f 424f4f54 00031045 UM_SUSP_BOOT...E 56d60 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT 56d70 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. 56d80 0000134d 0a000013 9a010400 0013ab04 ...M............ 56d90 00027764 745f6170 69001c00 00144f03 ..wdt_api.....O. 56da0 5f776474 5f696e69 74000000 07040223 _wdt_init......# 56db0 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... 56dc0 07040223 04035f77 64745f64 69736162 ...#.._wdt_disab 56dd0 6c650000 00070402 2308035f 7764745f le......#.._wdt_ 56de0 73657400 00001346 02230c03 5f776474 set....F.#.._wdt 56df0 5f746173 6b000000 07040223 10035f77 _task......#.._w 56e00 64745f72 65736574 00000007 04022314 dt_reset......#. 56e10 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. 56e20 000013b1 02231800 14040000 14b61052 .....#.........R 56e30 45545f53 55434345 53530000 10524554 ET_SUCCESS...RET 56e40 5f4e4f54 5f494e49 54000110 5245545f _NOT_INIT...RET_ 56e50 4e4f545f 45584953 54000210 5245545f NOT_EXIST...RET_ 56e60 4545505f 434f5252 55505400 03105245 EEP_CORRUPT...RE 56e70 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. 56e80 10524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... 56e90 545f4545 505f5245 54000000 144f0400 T_EEP_RET....O.. 56ea0 00076804 000a0000 14b60104 000014cc ..h............. 56eb0 04000a00 0014b601 04000014 d9040002 ................ 56ec0 6565705f 61706900 10000015 42035f65 eep_api.....B._e 56ed0 65705f69 6e697400 00000704 02230003 ep_init......#.. 56ee0 5f656570 5f726561 64000000 14d20223 _eep_read......# 56ef0 04035f65 65705f77 72697465 00000014 .._eep_write.... 56f00 d2022308 035f6565 705f6973 5f657869 ..#.._eep_is_exi 56f10 73740000 0014df02 230c0002 7573625f st......#...usb_ 56f20 61706900 70000017 ef035f75 73625f69 api.p....._usb_i 56f30 6e697400 00000704 02230003 5f757362 nit......#.._usb 56f40 5f726f6d 5f746173 6b000000 07040223 _rom_task......# 56f50 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. 56f60 00070402 2308035f 7573625f 696e6974 ....#.._usb_init 56f70 5f706879 00000007 0402230c 035f7573 _phy......#.._us 56f80 625f6570 305f7365 74757000 00000704 b_ep0_setup..... 56f90 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. 56fa0 00000704 02231403 5f757362 5f657030 .....#.._usb_ep0 56fb0 5f727800 00000704 02231803 5f757362 _rx......#.._usb 56fc0 5f676574 5f696e74 65726661 63650000 _get_interface.. 56fd0 000dca02 231c035f 7573625f 7365745f ....#.._usb_set_ 56fe0 696e7465 72666163 65000000 0dca0223 interface......# 56ff0 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi 57000 67757261 74696f6e 0000000d ca022324 guration......#$ 57010 035f7573 625f7365 745f636f 6e666967 ._usb_set_config 57020 75726174 696f6e00 00000dca 02232803 uration......#(. 57030 5f757362 5f737461 6e646172 645f636d _usb_standard_cm 57040 64000000 0dca0223 2c035f75 73625f76 d......#,._usb_v 57050 656e646f 725f636d 64000000 07040223 endor_cmd......# 57060 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off 57070 00000007 04022334 035f7573 625f7265 ......#4._usb_re 57080 7365745f 6669666f 00000007 04022338 set_fifo......#8 57090 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... 570a0 07040223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ 570b0 626f6f74 00000007 04022340 035f7573 boot......#@._us 570c0 625f636c 725f6665 61747572 65000000 b_clr_feature... 570d0 0dca0223 44035f75 73625f73 65745f66 ...#D._usb_set_f 570e0 65617475 72650000 000dca02 2348035f eature......#H._ 570f0 7573625f 7365745f 61646472 65737300 usb_set_address. 57100 00000dca 02234c03 5f757362 5f676574 .....#L._usb_get 57110 5f646573 63726970 746f7200 00000dca _descriptor..... 57120 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta 57130 74757300 00000dca 02235403 5f757362 tus......#T._usb 57140 5f736574 75705f64 65736300 00000704 _setup_desc..... 57150 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out 57160 00000007 0402235c 035f7573 625f7374 ......#\._usb_st 57170 61747573 5f696e00 00000704 02236003 atus_in......#`. 57180 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data 57190 00000007 04022364 035f7573 625f6570 ......#d._usb_ep 571a0 305f7278 5f646174 61000000 07040223 0_rx_data......# 571b0 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. 571c0 00000704 02236c00 025f5f61 64665f64 .....#l..__adf_d 571d0 65766963 65000400 00181103 64756d6d evice.......dumm 571e0 79000000 01f50223 00000400 000ed104 y......#........ 571f0 00025f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. 57200 0c000018 58036275 66000000 03310223 ....X.buf....1.# 57210 00036473 5f616464 72000000 18110223 ..ds_addr......# 57220 04036473 5f6c656e 00000001 d3022308 ..ds_len......#. 57230 00120c00 00189203 5f5f7661 5f73746b ........__va_stk 57240 00000009 2b022300 035f5f76 615f7265 ....+.#..__va_re 57250 67000000 092b0223 04035f5f 76615f6e g....+.#..__va_n 57260 64780000 0001f502 23080006 5f5f6164 dx......#...__ad 57270 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 57280 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ 57290 61646472 5f740000 00189206 5f5f6164 addr_t......__ad 572a0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 572b0 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ 572c0 73697a65 5f740000 0018c202 5f5f646d size_t......__dm 572d0 615f7365 67730008 0000191e 03706164 a_segs.......pad 572e0 64720000 0018ab02 2300036c 656e0000 dr......#..len.. 572f0 0018db02 23040006 5f5f615f 75696e74 ....#...__a_uint 57300 33325f74 0000000e d106615f 75696e74 32_t......a_uint 57310 33325f74 00000019 1e070000 18f20800 32_t............ 57320 00194d08 00000261 64665f6f 735f646d ..M....adf_os_dm 57330 616d6170 5f696e66 6f000c00 00198603 amap_info....... 57340 6e736567 73000000 19300223 0003646d nsegs....0.#..dm 57350 615f7365 67730000 00194002 23040006 a_segs....@.#... 57360 5f5f615f 75696e74 385f7400 000001a9 __a_uint8_t..... 57370 06615f75 696e7438 5f740000 00198604 .a_uint8_t...... 57380 00001997 0400025f 5f73675f 73656773 .......__sg_segs 57390 00080000 19d80376 61646472 00000019 .......vaddr.... 573a0 a6022300 036c656e 00000019 30022304 ..#..len....0.#. 573b0 00070000 19ad2000 0019e508 03000261 ...... ........a 573c0 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. 573d0 1a18036e 73656773 00000019 30022300 ...nsegs....0.#. 573e0 0373675f 73656773 00000019 d8022304 .sg_segs......#. 573f0 00121000 001a6103 76656e64 6f720000 ......a.vendor.. 57400 00193002 23000364 65766963 65000000 ..0.#..device... 57410 19300223 04037375 6276656e 646f7200 .0.#..subvendor. 57420 00001930 02230803 73756264 65766963 ...0.#..subdevic 57430 65000000 19300223 0c00056c 6f6e6720 e....0.#...long 57440 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 57450 74000708 06415f55 494e5436 34000000 t....A_UINT64... 57460 1a61065f 5f615f75 696e7436 345f7400 .a.__a_uint64_t. 57470 00001a7b 06615f75 696e7436 345f7400 ...{.a_uint64_t. 57480 00001a89 14040000 1ae71041 44465f4f ...........ADF_O 57490 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ 574a0 4d454d00 00104144 465f4f53 5f524553 MEM...ADF_OS_RES 574b0 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... 574c0 06616466 5f6f735f 7265736f 75726365 .adf_os_resource 574d0 5f747970 655f7400 00001aab 12180000 _type_t......... 574e0 1b310373 74617274 0000001a 9b022300 .1.start......#. 574f0 03656e64 0000001a 9b022308 03747970 .end......#..typ 57500 65000000 1ae70223 10000661 64665f6f e......#...adf_o 57510 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. 57520 001a1804 00001b31 04001104 00001b70 .......1.......p 57530 03706369 0000001b 4a022300 03726177 .pci....J.#..raw 57540 00000002 3a022300 00111000 001b8f03 ....:.#......... 57550 70636900 00001b31 02230003 72617700 pci....1.#..raw. 57560 0000023a 02230000 06616466 5f647276 ...:.#...adf_drv 57570 5f68616e 646c655f 74000000 023a0661 _handle_t....:.a 57580 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 57590 0000001b 03040000 1ba50400 06616466 .............adf 575a0 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ 575b0 74000000 1b700400 001bc304 00040000 t....p.......... 575c0 17ef0400 065f5f61 64665f6f 735f6465 .....__adf_os_de 575d0 76696365 5f740000 001be406 6164665f vice_t......adf_ 575e0 6f735f64 65766963 655f7400 00001beb os_device_t..... 575f0 0a00001b 8f010400 001c1704 00090104 ................ 57600 00001c24 04000661 64665f6f 735f706d ...$...adf_os_pm 57610 5f740000 00023a09 01040000 1c3e0400 _t....:......>.. 57620 14040000 1c7e1041 44465f4f 535f4255 .....~.ADF_OS_BU 57630 535f5459 50455f50 43490001 10414446 S_TYPE_PCI...ADF 57640 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN 57650 45524943 00020006 6164665f 6f735f62 ERIC....adf_os_b 57660 75735f74 7970655f 74000000 1c470661 us_type_t....G.a 57670 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da 57680 74615f74 0000001b 51040000 01980400 ta_t....Q....... 57690 025f6164 665f6472 765f696e 666f0020 ._adf_drv_info. 576a0 00001d5b 03647276 5f617474 61636800 ...[.drv_attach. 576b0 00001c1d 02230003 6472765f 64657461 .....#..drv_deta 576c0 63680000 001c2602 23040364 72765f73 ch....&.#..drv_s 576d0 75737065 6e640000 001c4002 23080364 uspend....@.#..d 576e0 72765f72 6573756d 65000000 1c260223 rv_resume....&.# 576f0 0c036275 735f7479 70650000 001c7e02 ..bus_type....~. 57700 23100362 75735f64 61746100 00001c95 #..bus_data..... 57710 02231403 6d6f645f 6e616d65 0000001c .#..mod_name.... 57720 b0022318 0369666e 616d6500 00001cb0 ..#..ifname..... 57730 02231c00 06616466 5f6f735f 68616e64 .#...adf_os_hand 57740 6c655f74 00000002 3a040000 19860400 le_t....:....... 57750 09010901 065f5f61 64665f6f 735f7369 .....__adf_os_si 57760 7a655f74 00000009 bd140400 001daa10 ze_t............ 57770 415f4641 4c534500 0010415f 54525545 A_FALSE...A_TRUE 57780 00010006 615f626f 6f6c5f74 0000001d ....a_bool_t.... 57790 90040000 18180400 065f5f61 64665f6f .........__adf_o 577a0 735f646d 615f6d61 705f7400 00001db8 s_dma_map_t..... 577b0 09010f61 64665f6f 735f6361 6368655f ...adf_os_cache_ 577c0 73796e63 00040000 1e421041 44465f53 sync.....B.ADF_S 577d0 594e435f 50524552 45414400 00104144 YNC_PREREAD...AD 577e0 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. 577f0 02104144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR 57800 45414400 01104144 465f5359 4e435f50 EAD...ADF_SYNC_P 57810 4f535457 52495445 00030006 6164665f OSTWRITE....adf_ 57820 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. 57830 00001dd9 09010661 64665f6f 735f7369 .......adf_os_si 57840 7a655f74 0000001d 7b0a0000 1e5d0106 ze_t....{....].. 57850 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t 57860 0000001d bf040000 1e760400 0a000002 .........v...... 57870 3a010400 001dbf04 000a0000 023a0109 :............:.. 57880 010a0000 18ab0109 01057368 6f727420 ..........short 57890 696e7400 05020641 5f494e54 31360000 int....A_INT16.. 578a0 001eb006 5f5f615f 696e7431 365f7400 ....__a_int16_t. 578b0 00001ebd 06615f69 6e743136 5f740000 .....a_int16_t.. 578c0 001eca05 7369676e 65642063 68617200 ....signed char. 578d0 05010641 5f494e54 38000000 1eea065f ...A_INT8......_ 578e0 5f615f69 6e74385f 74000000 1ef90661 _a_int8_t......a 578f0 5f696e74 385f7400 00001f05 120c0000 _int8_t......... 57900 1f7c0373 7570706f 72746564 00000019 .|.supported.... 57910 30022300 03616476 65727469 7a656400 0.#..advertized. 57920 00001930 02230403 73706565 64000000 ...0.#..speed... 57930 1edb0223 08036475 706c6578 0000001f ...#..duplex.... 57940 1502230a 03617574 6f6e6567 00000019 ..#..autoneg.... 57950 9702230b 00070000 19970600 001f8908 ..#............. 57960 05000261 64665f6e 65745f65 74686164 ...adf_net_ethad 57970 64720006 00001fad 03616464 72000000 dr.......addr... 57980 1f7c0223 0000065f 5f615f75 696e7431 .|.#...__a_uint1 57990 365f7400 000001d3 06615f75 696e7431 6_t......a_uint1 579a0 365f7400 00001fad 120e0000 20110365 6_t......... ..e 579b0 74686572 5f64686f 73740000 001f7c02 ther_dhost....|. 579c0 23000365 74686572 5f73686f 73740000 #..ether_shost.. 579d0 001f7c02 23060365 74686572 5f747970 ..|.#..ether_typ 579e0 65000000 1fbf0223 0c001214 000020d2 e......#...... . 579f0 1569705f 76657273 696f6e00 00001997 .ip_version..... 57a00 01000402 23001569 705f686c 00000019 ....#..ip_hl.... 57a10 97010404 02230003 69705f74 6f730000 .....#..ip_tos.. 57a20 00199702 23010369 705f6c65 6e000000 ....#..ip_len... 57a30 1fbf0223 02036970 5f696400 00001fbf ...#..ip_id..... 57a40 02230403 69705f66 7261675f 6f666600 .#..ip_frag_off. 57a50 00001fbf 02230603 69705f74 746c0000 .....#..ip_ttl.. 57a60 00199702 23080369 705f7072 6f746f00 ....#..ip_proto. 57a70 00001997 02230903 69705f63 6865636b .....#..ip_check 57a80 0000001f bf02230a 0369705f 73616464 ......#..ip_sadd 57a90 72000000 19300223 0c036970 5f646164 r....0.#..ip_dad 57aa0 64720000 00193002 23100002 6164665f dr....0.#...adf_ 57ab0 6e65745f 766c616e 68647200 04000021 net_vlanhdr....! 57ac0 24037470 69640000 001fbf02 23001570 $.tpid......#..p 57ad0 72696f00 00001997 01000302 23021563 rio.........#..c 57ae0 66690000 00199701 03010223 02157669 fi.........#..vi 57af0 64000000 1fbf0204 0c022302 00026164 d.........#...ad 57b00 665f6e65 745f7669 64000200 00215515 f_net_vid....!U. 57b10 72657300 00001997 01000402 23001576 res.........#..v 57b20 616c0000 001fbf02 040c0223 0000120c al.........#.... 57b30 00002191 0372785f 62756673 697a6500 ..!..rx_bufsize. 57b40 00001930 02230003 72785f6e 64657363 ...0.#..rx_ndesc 57b50 00000019 30022304 0374785f 6e646573 ....0.#..tx_ndes 57b60 63000000 19300223 08001208 000021b7 c....0.#......!. 57b70 03706f6c 6c656400 00001daa 02230003 .polled......#.. 57b80 706f6c6c 5f777400 00001930 02230400 poll_wt....0.#.. 57b90 07000019 97400000 21c4083f 00124600 .....@..!..?..F. 57ba0 0021ec03 69665f6e 616d6500 000021b7 .!..if_name...!. 57bb0 02230003 6465765f 61646472 0000001f .#..dev_addr.... 57bc0 7c022340 00140400 00222310 4144465f |.#@....."#.ADF_ 57bd0 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI 57be0 54000010 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M 57bf0 41534b5f 36344249 54000100 06616466 ASK_64BIT....adf 57c00 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. 57c10 0021ec02 6164665f 646d615f 696e666f .!..adf_dma_info 57c20 00080000 22700364 6d615f6d 61736b00 ...."p.dma_mask. 57c30 00002223 02230003 73675f6e 73656773 .."#.#..sg_nsegs 57c40 00000019 30022304 00140400 0022c610 ....0.#......".. 57c50 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO 57c60 4e450000 10414446 5f4e4554 5f434b53 NE...ADF_NET_CKS 57c70 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. 57c80 01104144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ 57c90 5443505f 5544505f 49507636 00020006 TCP_UDP_IPv6.... 57ca0 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty 57cb0 70655f74 00000022 70120800 00230903 pe_t..."p....#.. 57cc0 74785f63 6b73756d 00000022 c6022300 tx_cksum..."..#. 57cd0 0372785f 636b7375 6d000000 22c60223 .rx_cksum..."..# 57ce0 04000661 64665f6e 65745f63 6b73756d ...adf_net_cksum 57cf0 5f696e66 6f5f7400 000022e0 14040000 _info_t..."..... 57d00 23621041 44465f4e 45545f54 534f5f4e #b.ADF_NET_TSO_N 57d10 4f4e4500 00104144 465f4e45 545f5453 ONE...ADF_NET_TS 57d20 4f5f4950 56340001 10414446 5f4e4554 O_IPV4...ADF_NET 57d30 5f54534f 5f414c4c 00020006 6164665f _TSO_ALL....adf_ 57d40 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. 57d50 00232312 10000023 b603636b 73756d5f .##....#..cksum_ 57d60 63617000 00002309 02230003 74736f00 cap...#..#..tso. 57d70 00002362 02230803 766c616e 5f737570 ..#b.#..vlan_sup 57d80 706f7274 65640000 00199702 230c0012 ported......#... 57d90 20000024 4f037478 5f706163 6b657473 ..$O.tx_packets 57da0 00000019 30022300 0372785f 7061636b ....0.#..rx_pack 57db0 65747300 00001930 02230403 74785f62 ets....0.#..tx_b 57dc0 79746573 00000019 30022308 0372785f ytes....0.#..rx_ 57dd0 62797465 73000000 19300223 0c037478 bytes....0.#..tx 57de0 5f64726f 70706564 00000019 30022310 _dropped....0.#. 57df0 0372785f 64726f70 70656400 00001930 .rx_dropped....0 57e00 02231403 72785f65 72726f72 73000000 .#..rx_errors... 57e10 19300223 18037478 5f657272 6f727300 .0.#..tx_errors. 57e20 00001930 02231c00 06616466 5f6e6574 ...0.#...adf_net 57e30 5f657468 61646472 5f740000 001f8916 _ethaddr_t...... 57e40 0000244f 03000000 2474087f 00176164 ..$O....$t....ad 57e50 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 57e60 00030400 0024ab03 6e656c65 6d000000 .....$..nelem... 57e70 19300223 00036d63 61737400 00002466 .0.#..mcast...$f 57e80 02230400 06616466 5f6e6574 5f636d64 .#...adf_net_cmd 57e90 5f6c696e 6b5f696e 666f5f74 0000001f _link_info_t.... 57ea0 23066164 665f6e65 745f636d 645f706f #.adf_net_cmd_po 57eb0 6c6c5f69 6e666f5f 74000000 21910661 ll_info_t...!..a 57ec0 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum 57ed0 5f696e66 6f5f7400 00002309 06616466 _info_t...#..adf 57ee0 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in 57ef0 666f5f74 00000021 55066164 665f6e65 fo_t...!U.adf_ne 57f00 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t 57f10 00000022 3a066164 665f6e65 745f636d ...":.adf_net_cm 57f20 645f7669 645f7400 00001fbf 06616466 d_vid_t......adf 57f30 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload 57f40 5f636170 5f740000 00237a06 6164665f _cap_t...#z.adf_ 57f50 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. 57f60 000023b6 06616466 5f6e6574 5f636d64 ..#..adf_net_cmd 57f70 5f6d6361 6464725f 74000000 24740f61 _mcaddr_t...$t.a 57f80 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast 57f90 5f636170 00040000 25ed1041 44465f4e _cap....%..ADF_N 57fa0 45545f4d 43415354 5f535550 00001041 ET_MCAST_SUP...A 57fb0 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT 57fc0 53555000 01000661 64665f6e 65745f63 SUP....adf_net_c 57fd0 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. 57fe0 0025a518 03040000 26bf036c 696e6b5f .%......&..link_ 57ff0 696e666f 00000024 ab022300 03706f6c info...$..#..pol 58000 6c5f696e 666f0000 0024c802 23000363 l_info...$..#..c 58010 6b73756d 5f696e66 6f000000 24e50223 ksum_info...$..# 58020 00037269 6e675f69 6e666f00 00002503 ..ring_info...%. 58030 02230003 646d615f 696e666f 00000025 .#..dma_info...% 58040 20022300 03766964 00000025 3c022300 .#..vid...%<.#. 58050 036f6666 6c6f6164 5f636170 00000025 .offload_cap...% 58060 53022300 03737461 74730000 00257202 S.#..stats...%r. 58070 2300036d 63617374 5f696e66 6f000000 #..mcast_info... 58080 258b0223 00036d63 6173745f 63617000 %..#..mcast_cap. 58090 000025ed 02230000 14040000 27161041 ..%..#......'..A 580a0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 580b0 5f4e4f4e 45000010 4144465f 4e425546 _NONE...ADF_NBUF 580c0 5f52585f 434b5355 4d5f4857 00011041 _RX_CKSUM_HW...A 580d0 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 580e0 5f554e4e 45434553 53415259 00020006 _UNNECESSARY.... 580f0 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu 58100 6d5f7479 70655f74 00000026 bf120800 m_type_t...&.... 58110 00275603 72657375 6c740000 00271602 .'V.result...'.. 58120 23000376 616c0000 00193002 23040012 #..val....0.#... 58130 08000027 86037479 70650000 00236202 ...'..type...#b. 58140 2300036d 73730000 001fbf02 23040368 #..mss......#..h 58150 64725f6f 66660000 00199702 23060002 dr_off......#... 58160 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 58170 000c0000 27c50368 65616400 00000331 ....'..head....1 58180 02230003 7461696c 00000003 31022304 .#..tail....1.#. 58190 03716c65 6e000000 19300223 0800065f .qlen....0.#..._ 581a0 5f616466 5f6e6275 665f7400 00000331 _adf_nbuf_t....1 581b0 04000019 a6040004 00001930 04000901 ...........0.... 581c0 0a000002 10010a00 00193001 0a000019 ..........0..... 581d0 a6010a00 0019a601 04000001 e1040006 ................ 581e0 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 581f0 5f740000 00278606 5f5f6164 665f6e62 _t...'..__adf_nb 58200 75665f71 75657565 5f740000 00280604 uf_queue_t...(.. 58210 0000281e 04000a00 0027c501 0a000027 ..(......'.....' 58220 c5011404 0000293e 10415f53 54415455 ......)>.A_STATU 58230 535f4f4b 00001041 5f535441 5455535f S_OK...A_STATUS_ 58240 4641494c 45440001 10415f53 54415455 FAILED...A_STATU 58250 535f454e 4f454e54 00021041 5f535441 S_ENOENT...A_STA 58260 5455535f 454e4f4d 454d0003 10415f53 TUS_ENOMEM...A_S 58270 54415455 535f4549 4e56414c 00041041 TATUS_EINVAL...A 58280 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR 58290 45535300 0510415f 53544154 55535f45 ESS...A_STATUS_E 582a0 4e4f5453 55505000 0610415f 53544154 NOTSUPP...A_STAT 582b0 55535f45 42555359 00071041 5f535441 US_EBUSY...A_STA 582c0 5455535f 45324249 47000810 415f5354 TUS_E2BIG...A_ST 582d0 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA 582e0 494c0009 10415f53 54415455 535f454e IL...A_STATUS_EN 582f0 58494f00 0a10415f 53544154 55535f45 XIO...A_STATUS_E 58300 4641554c 54000b10 415f5354 41545553 FAULT...A_STATUS 58310 5f45494f 000c0006 615f7374 61747573 _EIO....a_status 58320 5f740000 0028490a 0000293e 010a0000 _t...(I...)>.... 58330 01f50109 01066164 665f6e62 75665f74 ......adf_nbuf_t 58340 00000027 c5140400 0029a310 4144465f ...'.....)..ADF_ 58350 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE 58360 00001041 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR 58370 4f4d5f44 45564943 45000100 06616466 OM_DEVICE....adf 58380 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... 58390 296c0a00 00293e01 09010661 64665f6f )l...)>....adf_o 583a0 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. 583b0 0000194d 04000029 c1040009 0109010a ...M...)........ 583c0 0000295c 010a0000 27c50109 0109010a ..)\....'....... 583d0 0000295c 010a0000 27c5010a 0000295c ..)\....'.....)\ 583e0 010a0000 27c5010a 0000295c 01090109 ....'.....)\.... 583f0 010a0000 1930010a 000019a6 01090109 .....0.......... 58400 010a0000 1e5d010a 00001daa 010a0000 .....].......... 58410 1daa0106 6164665f 6f735f73 676c6973 ....adf_os_sglis 58420 745f7400 000019e5 0400002a 3a040009 t_t........*:... 58430 01090109 010a0000 19a60106 6164665f ............adf_ 58440 6e627566 5f717565 75655f74 00000028 nbuf_queue_t...( 58450 1e040000 2a620400 09010400 00280604 ....*b.......(.. 58460 00090109 0109010a 0000295c 010a0000 ..........)\.... 58470 27c5010a 00001930 010a0000 1930010a '......0.....0.. 58480 00001daa 010a0000 1daa010a 000022c6 ..............". 58490 010a0000 19300106 6164665f 6e627566 .....0..adf_nbuf 584a0 5f72785f 636b7375 6d5f7400 00002734 _rx_cksum_t...'4 584b0 0400002a be040009 01090106 6164665f ...*........adf_ 584c0 6e627566 5f74736f 5f740000 00275604 nbuf_tso_t...'V. 584d0 00002ae2 04000901 09010661 64665f6e ..*........adf_n 584e0 65745f68 616e646c 655f7400 0000023a et_handle_t....: 584f0 06616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr 58500 5f740000 0020d204 00002b17 04000a00 _t... ....+..... 58510 00293e01 0a000029 3e010901 0901025f .)>....)>......_ 58520 4849465f 434f4e46 49470004 00002b66 HIF_CONFIG....+f 58530 0364756d 6d790000 0001f502 23000009 .dummy......#... 58540 01040000 2b660400 09010400 002b6f04 ....+f.......+o. 58550 00025f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. 58560 0c00002b c4037365 6e645f62 75665f64 ...+..send_buf_d 58570 6f6e6500 00002b68 02230003 72656376 one...+h.#..recv 58580 5f627566 0000002b 71022304 03636f6e _buf...+q.#..con 58590 74657874 00000002 3a022308 00066869 text....:.#...hi 585a0 665f6861 6e646c65 5f740000 00023a06 f_handle_t....:. 585b0 4849465f 434f4e46 49470000 002b4504 HIF_CONFIG...+E. 585c0 00002bd6 04000a00 002bc401 0400002b ..+......+.....+ 585d0 ed040009 01040000 2bfa0400 06484946 ........+....HIF 585e0 5f43414c 4c424143 4b000000 2b780400 _CALLBACK...+x.. 585f0 002c0304 00090104 00002c1c 04000a00 .,........,..... 58600 0001f501 0400002c 25040009 01040000 .......,%....... 58610 2c320400 0a000001 f5010400 002c3b04 ,2...........,;. 58620 00090104 00002c48 04000a00 0001f501 ......,H........ 58630 0400002c 51040009 01040000 2c5e0400 ...,Q.......,^.. 58640 02686966 5f617069 00380000 2db7035f .hif_api.8..-.._ 58650 696e6974 0000002b f3022300 035f7368 init...+..#.._sh 58660 7574646f 776e0000 002bfc02 2304035f utdown...+..#.._ 58670 72656769 73746572 5f63616c 6c626163 register_callbac 58680 6b000000 2c1e0223 08035f67 65745f74 k...,..#.._get_t 58690 6f74616c 5f637265 6469745f 636f756e otal_credit_coun 586a0 74000000 2c2b0223 0c035f73 74617274 t...,+.#.._start 586b0 0000002b fc022310 035f636f 6e666967 ...+..#.._config 586c0 5f706970 65000000 2c340223 14035f73 _pipe...,4.#.._s 586d0 656e645f 62756666 65720000 002c4102 end_buffer...,A. 586e0 2318035f 72657475 726e5f72 6563765f #.._return_recv_ 586f0 62756600 00002c4a 02231c03 5f69735f buf...,J.#.._is_ 58700 70697065 5f737570 706f7274 65640000 pipe_supported.. 58710 002c5702 2320035f 6765745f 6d61785f .,W.# ._get_max_ 58720 6d73675f 6c656e00 00002c57 02232403 msg_len...,W.#$. 58730 5f676574 5f726573 65727665 645f6865 _get_reserved_he 58740 6164726f 6f6d0000 002c2b02 2328035f adroom...,+.#(._ 58750 6973725f 68616e64 6c657200 00002bfc isr_handler...+. 58760 02232c03 5f676574 5f646566 61756c74 .#,._get_default 58770 5f706970 65000000 2c600223 30037052 _pipe...,`.#0.pR 58780 65736572 76656400 0000023a 02233400 eserved....:.#4. 58790 0f646d61 5f656e67 696e6500 0400002e .dma_engine..... 587a0 4010444d 415f454e 47494e45 5f525830 @.DMA_ENGINE_RX0 587b0 00001044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 587c0 31000110 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R 587d0 58320002 10444d41 5f454e47 494e455f X2...DMA_ENGINE_ 587e0 52583300 0310444d 415f454e 47494e45 RX3...DMA_ENGINE 587f0 5f545830 00041044 4d415f45 4e47494e _TX0...DMA_ENGIN 58800 455f5458 31000510 444d415f 454e4749 E_TX1...DMA_ENGI 58810 4e455f4d 41580006 0006646d 615f656e NE_MAX....dma_en 58820 67696e65 5f740000 002db70f 646d615f gine_t...-..dma_ 58830 69667479 70650004 00002e8d 10444d41 iftype.......DMA 58840 5f49465f 474d4143 00001044 4d415f49 _IF_GMAC...DMA_I 58850 465f5043 49000110 444d415f 49465f50 F_PCI...DMA_IF_P 58860 43494500 02000664 6d615f69 66747970 CIE....dma_iftyp 58870 655f7400 00002e52 0a000001 d3010400 e_t....R........ 58880 002e9f04 00090104 00002eac 04000901 ................ 58890 0400002e b504000a 00000ed1 01040000 ................ 588a0 2ebe0400 0a000001 d3010400 002ecb04 ................ 588b0 000a0000 01d30104 00002ed8 04000a00 ................ 588c0 00033101 0400002e e5040009 01040000 ..1............. 588d0 2ef20400 02646d61 5f6c6962 5f617069 .....dma_lib_api 588e0 00340000 2ff90374 785f696e 69740000 .4../..tx_init.. 588f0 002ea502 23000374 785f7374 61727400 ....#..tx_start. 58900 00002eae 02230403 72785f69 6e697400 .....#..rx_init. 58910 00002ea5 02230803 72785f63 6f6e6669 .....#..rx_confi 58920 67000000 2eb70223 0c037278 5f737461 g......#..rx_sta 58930 72740000 002eae02 23100369 6e74725f rt......#..intr_ 58940 73746174 75730000 002ec402 23140368 status......#..h 58950 6172645f 786d6974 0000002e d1022318 ard_xmit......#. 58960 03666c75 73685f78 6d697400 00002eae .flush_xmit..... 58970 02231c03 786d6974 5f646f6e 65000000 .#..xmit_done... 58980 2ede0223 20037265 61705f78 6d697474 ...# .reap_xmitt 58990 65640000 002eeb02 23240372 6561705f ed......#$.reap_ 589a0 72656376 0000002e eb022328 03726574 recv......#(.ret 589b0 75726e5f 72656376 0000002e f402232c urn_recv......#, 589c0 03726563 765f706b 74000000 2ede0223 .recv_pkt......# 589d0 3000025f 5f706369 5f736f66 7463000c 0..__pci_softc.. 589e0 00003017 03737700 00002c03 02230000 ..0..sw...,..#.. 589f0 065f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. 58a00 002ff904 00003017 04000901 04000030 ./....0........0 58a10 3104000a 000001a9 01040000 303a0400 1...........0:.. 58a20 0f686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx 58a30 00040000 309a1048 49465f50 43495f50 ....0..HIF_PCI_P 58a40 4950455f 54583000 00104849 465f5043 IPE_TX0...HIF_PC 58a50 495f5049 50455f54 58310001 10484946 I_PIPE_TX1...HIF 58a60 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX 58a70 00020006 6869665f 7063695f 70697065 ....hif_pci_pipe 58a80 5f74785f 74000000 30470a00 002e4001 _tx_t...0G....@. 58a90 04000030 b104000f 6869665f 7063695f ...0....hif_pci_ 58aa0 70697065 5f727800 04000031 37104849 pipe_rx....17.HI 58ab0 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. 58ac0 10484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 58ad0 31000110 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 58ae0 5f525832 00021048 49465f50 43495f50 _RX2...HIF_PCI_P 58af0 4950455f 52583300 03104849 465f5043 IPE_RX3...HIF_PC 58b00 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... 58b10 06686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 58b20 5f740000 0030be0a 00002e40 01040000 _t...0.....@.... 58b30 314e0400 02686966 5f706369 5f617069 1N...hif_pci_api 58b40 00240000 322c0370 63695f62 6f6f745f .$..2,.pci_boot_ 58b50 696e6974 00000007 04022300 03706369 init......#..pci 58b60 5f696e69 74000000 2bf30223 04037063 _init...+..#..pc 58b70 695f7265 73657400 00000704 02230803 i_reset......#.. 58b80 7063695f 656e6162 6c650000 00070402 pci_enable...... 58b90 230c0370 63695f72 6561705f 786d6974 #..pci_reap_xmit 58ba0 74656400 00003033 02231003 7063695f ted...03.#..pci_ 58bb0 72656170 5f726563 76000000 30330223 reap_recv...03.# 58bc0 14037063 695f6765 745f7069 70650000 ..pci_get_pipe.. 58bd0 00304002 23180370 63695f67 65745f74 .0@.#..pci_get_t 58be0 785f656e 67000000 30b70223 1c037063 x_eng...0..#..pc 58bf0 695f6765 745f7278 5f656e67 00000031 i_get_rx_eng...1 58c00 54022320 0002676d 61635f61 70690004 T.# ..gmac_api.. 58c10 00003253 03676d61 635f626f 6f745f69 ..2S.gmac_boot_i 58c20 6e697400 00000704 02230000 07000001 nit......#...... 58c30 98060000 32600805 00025f5f 65746868 ....2`....__ethh 58c40 6472000e 00003296 03647374 00000032 dr....2..dst...2 58c50 53022300 03737263 00000032 53022306 S.#..src...2S.#. 58c60 03657479 70650000 0001d302 230c0002 .etype......#... 58c70 5f5f6174 68686472 00040000 32e41572 __athhdr....2..r 58c80 65730000 0001a901 00020223 00157072 es.........#..pr 58c90 6f746f00 000001a9 01020602 23000372 oto.........#..r 58ca0 65735f6c 6f000000 01a90223 01037265 es_lo......#..re 58cb0 735f6869 00000001 d3022302 00025f5f s_hi......#...__ 58cc0 676d6163 5f686472 00140000 33200365 gmac_hdr....3 .e 58cd0 74680000 00326002 23000361 74680000 th...2`.#..ath.. 58ce0 00329602 230e0361 6c69676e 5f706164 .2..#..align_pad 58cf0 00000001 d3022312 00065f5f 676d6163 ......#...__gmac 58d00 5f686472 5f740000 0032e402 5f5f676d _hdr_t...2..__gm 58d10 61635f73 6f667463 00240000 336a0368 ac_softc.$..3j.h 58d20 64720000 00332002 23000367 72616e00 dr...3 .#..gran. 58d30 000001d3 02231403 73770000 002c0302 .....#..sw...,.. 58d40 2318000e 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag 58d50 655f6368 65636b00 00010400 00336a04 e_check......3j. 58d60 000a0000 01f50104 00003388 04000400 ..........3..... 58d70 0009bd04 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i 58d80 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 58d90 0001b800 0034d803 68616c5f 6c696e6b .....4..hal_link 58da0 6167655f 63686563 6b000000 338e0223 age_check...3..# 58db0 00037374 6172745f 62737300 00003395 ..start_bss...3. 58dc0 02230403 6170705f 73746172 74000000 .#..app_start... 58dd0 07040223 08036d65 6d000000 09fd0223 ...#..mem......# 58de0 0c036d69 73630000 000b1c02 23200370 ..misc......# .p 58df0 72696e74 66000000 07310223 44037561 rintf....1.#D.ua 58e00 72740000 0007cf02 234c0367 6d616300 rt......#L.gmac. 58e10 0000322c 02236c03 75736200 00001542 ..2,.#l.usb....B 58e20 02237003 636c6f63 6b000000 108b0323 .#p.clock......# 58e30 e0010374 696d6572 0000000d 34032384 ...timer....4.#. 58e40 0203696e 74720000 0011db03 23980203 ..intr......#... 58e50 616c6c6f 6372616d 0000000e ec0323c4 allocram......#. 58e60 0203726f 6d700000 000dde03 23d00203 ..romp......#... 58e70 7764745f 74696d65 72000000 13b80323 wdt_timer......# 58e80 e0020365 65700000 0014e603 23fc0203 ...eep......#... 58e90 73747269 6e670000 000c4003 238c0303 string....@.#... 58ea0 7461736b 6c657400 00000fe9 0323a403 tasklet......#.. 58eb0 00025f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF 58ec0 49470010 0000354b 03676574 5f636f6d IG....5K.get_com 58ed0 6d616e64 5f627566 00000003 3e022300 mand_buf....>.#. 58ee0 03726563 765f636f 6d6d616e 64000000 .recv_command... 58ef0 03540223 04036765 745f6576 656e745f .T.#..get_event_ 58f00 62756600 0000033e 02230803 73656e64 buf....>.#..send 58f10 5f657665 6e745f64 6f6e6500 00000354 _event_done....T 58f20 02230c00 06555342 5f464946 4f5f434f .#...USB_FIFO_CO 58f30 4e464947 00000034 d8040000 354b0400 NFIG...4....5K.. 58f40 09010400 00356704 00027573 62666966 .....5g...usbfif 58f50 6f5f6170 69000c00 0035bd03 5f696e69 o_api....5.._ini 58f60 74000000 35690223 00035f65 6e61626c t...5i.#.._enabl 58f70 655f6576 656e745f 69737200 00000704 e_event_isr..... 58f80 02230403 70526573 65727665 64000000 .#..pReserved... 58f90 023a0223 08000700 00199702 000035ca .:.#..........5. 58fa0 08010002 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H 58fb0 44520008 0000363c 03456e64 706f696e DR....6<.Endpoin 58fc0 74494400 00001997 02230003 466c6167 tID......#..Flag 58fd0 73000000 19970223 01035061 796c6f61 s......#..Payloa 58fe0 644c656e 0000001f bf022302 03436f6e dLen......#..Con 58ff0 74726f6c 42797465 73000000 35bd0223 trolBytes...5..# 59000 0403486f 73745365 714e756d 0000001f ..HostSeqNum.... 59010 bf022306 00120200 00365503 4d657373 ..#......6U.Mess 59020 61676549 44000000 1fbf0223 00001208 ageID......#.... 59030 000036b8 034d6573 73616765 49440000 ..6..MessageID.. 59040 001fbf02 23000343 72656469 74436f75 ....#..CreditCou 59050 6e740000 001fbf02 23020343 72656469 nt......#..Credi 59060 7453697a 65000000 1fbf0223 04034d61 tSize......#..Ma 59070 78456e64 706f696e 74730000 00199702 xEndpoints...... 59080 2306035f 50616431 00000019 97022307 #.._Pad1......#. 59090 00120a00 00374f03 4d657373 61676549 .....7O.MessageI 590a0 44000000 1fbf0223 00035365 72766963 D......#..Servic 590b0 65494400 00001fbf 02230203 436f6e6e eID......#..Conn 590c0 65637469 6f6e466c 61677300 00001fbf ectionFlags..... 590d0 02230403 446f776e 4c696e6b 50697065 .#..DownLinkPipe 590e0 49440000 00199702 23060355 704c696e ID......#..UpLin 590f0 6b506970 65494400 00001997 02230703 kPipeID......#.. 59100 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 59110 68000000 19970223 08035f50 61643100 h......#.._Pad1. 59120 00001997 02230900 120a0000 37d7034d .....#......7..M 59130 65737361 67654944 0000001f bf022300 essageID......#. 59140 03536572 76696365 49440000 001fbf02 .ServiceID...... 59150 23020353 74617475 73000000 19970223 #..Status......# 59160 0403456e 64706f69 6e744944 00000019 ..EndpointID.... 59170 97022305 034d6178 4d736753 697a6500 ..#..MaxMsgSize. 59180 00001fbf 02230603 53657276 6963654d .....#..ServiceM 59190 6574614c 656e6774 68000000 19970223 etaLength......# 591a0 08035f50 61643100 00001997 02230900 .._Pad1......#.. 591b0 12020000 37f0034d 65737361 67654944 ....7..MessageID 591c0 0000001f bf022300 00120400 00382c03 ......#......8,. 591d0 4d657373 61676549 44000000 1fbf0223 MessageID......# 591e0 00035069 70654944 00000019 97022302 ..PipeID......#. 591f0 03437265 64697443 6f756e74 00000019 .CreditCount.... 59200 97022303 00120400 00386303 4d657373 ..#......8c.Mess 59210 61676549 44000000 1fbf0223 00035069 ageID......#..Pi 59220 70654944 00000019 97022302 03537461 peID......#..Sta 59230 74757300 00001997 02230300 12020000 tus......#...... 59240 388a0352 65636f72 64494400 00001997 8..RecordID..... 59250 02230003 4c656e67 74680000 00199702 .#..Length...... 59260 23010012 02000038 b403456e 64706f69 #......8..Endpoi 59270 6e744944 00000019 97022300 03437265 ntID......#..Cre 59280 64697473 00000019 97022301 00120400 dits......#..... 59290 0038f503 456e6470 6f696e74 49440000 .8..EndpointID.. 592a0 00199702 23000343 72656469 74730000 ....#..Credits.. 592b0 00199702 23010354 67744372 65646974 ....#..TgtCredit 592c0 5365714e 6f000000 1fbf0223 02000700 SeqNo......#.... 592d0 00199704 00003902 08030012 06000039 ......9........9 592e0 3e035072 6556616c 69640000 00199702 >.PreValid...... 592f0 2300034c 6f6f6b41 68656164 00000038 #..LookAhead...8 59300 f5022301 03506f73 7456616c 69640000 ..#..PostValid.. 59310 00199702 23050006 706f6f6c 5f68616e ....#...pool_han 59320 646c655f 74000000 023a0a00 00393e01 dle_t....:...9>. 59330 04000039 51040009 01040000 395e0400 ...9Q.......9^.. 59340 14040000 39dc1050 4f4f4c5f 49445f48 ....9..POOL_ID_H 59350 54435f43 4f4e5452 4f4c0000 10504f4f TC_CONTROL...POO 59360 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD 59370 5f524550 4c590001 10504f4f 4c5f4944 _REPLY...POOL_ID 59380 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. 59390 10504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX 593a0 5f425546 00031050 4f4f4c5f 49445f4d _BUF...POOL_ID_M 593b0 4158000a 00064255 465f504f 4f4c5f49 AX....BUF_POOL_I 593c0 44000000 39670901 04000039 ed04000a D...9g.....9.... 593d0 0000295c 01040000 39f60400 0a000029 ..)\....9......) 593e0 5c010400 003a0304 00090104 00003a10 \....:........:. 593f0 04000262 75665f70 6f6f6c5f 61706900 ...buf_pool_api. 59400 1c00003a b2035f69 6e697400 00003957 ...:.._init...9W 59410 02230003 5f736875 74646f77 6e000000 .#.._shutdown... 59420 39600223 04035f63 72656174 655f706f 9`.#.._create_po 59430 6f6c0000 0039ef02 2308035f 616c6c6f ol...9..#.._allo 59440 635f6275 66000000 39fc0223 0c035f61 c_buf...9..#.._a 59450 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. 59460 003a0902 2310035f 66726565 5f627566 .:..#.._free_buf 59470 0000003a 12022314 03705265 73657276 ...:..#..pReserv 59480 65640000 00023a02 23180002 5f485443 ed....:.#..._HTC 59490 5f534552 56494345 001c0000 3b910370 _SERVICE....;..p 594a0 4e657874 0000003b 91022300 0350726f Next...;..#..Pro 594b0 63657373 52656376 4d736700 00003c46 cessRecvMsg....#..._HTC 596c0 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... 596d0 3d1e0365 6e645f70 6f696e74 00000001 =..end_point.... 596e0 a9022300 03687463 5f666c61 67730000 ..#..htc_flags.. 596f0 0001a902 23010006 6874635f 68616e64 ....#...htc_hand 59700 6c655f74 00000002 3a064854 435f5345 le_t....:.HTC_SE 59710 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. 59720 00000704 06485443 5f434f4e 46494700 .....HTC_CONFIG. 59730 00003c71 0400003d 4b04000a 00003d1e ...#..MaxCmdRep 59b40 6c794576 74730000 0001f502 2308034d lyEvts......#..M 59b50 61784576 656e7445 76747300 000001f5 axEventEvts..... 59b60 02230c00 09010400 00418b04 0006574d .#.......A....WM 59b70 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... 59b80 418d025f 574d495f 44495350 41544348 A.._WMI_DISPATCH 59b90 5f454e54 52590008 000041f4 0370436d _ENTRY....A..pCm 59ba0 6448616e 646c6572 00000041 94022300 dHandler...A..#. 59bb0 03436d64 49440000 0001d302 23040346 .CmdID......#..F 59bc0 6c616773 00000001 d3022306 00025f57 lags......#..._W 59bd0 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 59be0 45001000 00425503 704e6578 74000000 E....BU.pNext... 59bf0 42550223 00037043 6f6e7465 78740000 BU.#..pContext.. 59c00 00023a02 2304034e 756d6265 724f6645 ..:.#..NumberOfE 59c10 6e747269 65730000 0001f502 23080370 ntries......#..p 59c20 5461626c 65000000 42740223 0c000400 Table...Bt.#.... 59c30 0041f404 0006574d 495f4449 53504154 .A....WMI_DISPAT 59c40 43485f45 4e545259 00000041 a9040000 CH_ENTRY...A.... 59c50 425c0400 04000041 f4040006 4854435f B\.....A....HTC_ 59c60 4255465f 434f4e54 45585400 00003ce2 BUF_CONTEXT...<. 59c70 0f574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. 59c80 0000430c 19574d49 5f455654 5f434c41 ..C..WMI_EVT_CLA 59c90 53535f4e 4f4e4500 ffffffff 10574d49 SS_NONE......WMI 59ca0 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E 59cb0 56454e54 00001057 4d495f45 56545f43 VENT...WMI_EVT_C 59cc0 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. 59cd0 10574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M 59ce0 41580002 0006574d 495f4556 545f434c AX....WMI_EVT_CL 59cf0 41535300 00004297 025f574d 495f4255 ASS...B.._WMI_BU 59d00 465f434f 4e544558 54000c00 00436a03 F_CONTEXT....Cj. 59d10 48746342 75664374 78000000 42820223 HtcBufCtx...B..# 59d20 00034576 656e7443 6c617373 00000043 ..EventClass...C 59d30 0c022304 03466c61 67730000 0001d302 ..#..Flags...... 59d40 23080006 776d695f 68616e64 6c655f74 #...wmi_handle_t 59d50 00000002 3a06574d 495f5356 435f434f ....:.WMI_SVC_CO 59d60 4e464947 00000041 22040000 437c0400 NFIG...A"...C|.. 59d70 0a000043 6a010400 00439704 0006574d ...Cj....C....WM 59d80 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 59d90 00000041 f4040000 43a40400 09010400 ...A....C....... 59da0 0043c304 000a0000 295c0104 000043cc .C......)\....C. 59db0 04000901 04000043 d904000a 000001f5 .......C........ 59dc0 01040000 43e20400 09010400 0043ef04 ....C........C.. 59dd0 000a0000 01a90104 000043f8 0400025f ..........C...._ 59de0 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. 59df0 4540035f 574d495f 496e6974 00000043 E@._WMI_Init...C 59e00 9d022300 035f574d 495f5265 67697374 ..#.._WMI_Regist 59e10 65724469 73706174 63685461 626c6500 erDispatchTable. 59e20 000043c5 02230403 5f574d49 5f416c6c ..C..#.._WMI_All 59e30 6f634576 656e7400 000043d2 02230803 ocEvent...C..#.. 59e40 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. 59e50 0043db02 230c035f 574d495f 47657450 .C..#.._WMI_GetP 59e60 656e6469 6e674576 656e7473 436f756e endingEventsCoun 59e70 74000000 43e80223 10035f57 4d495f53 t...C..#.._WMI_S 59e80 656e6443 6f6d706c 65746548 616e646c endCompleteHandl 59e90 65720000 003c4f02 2314035f 574d495f er....#.._enable 5d970 5f657665 6e745f69 73720000 0003c402 _event_isr...... 5d980 23040370 52657365 72766564 00000002 #..pReserved.... 5d990 2c022308 00070000 166c0200 00329f08 ,.#......l...2.. 5d9a0 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD 5d9b0 52000800 00331103 456e6470 6f696e74 R....3..Endpoint 5d9c0 49440000 00166c02 23000346 6c616773 ID....l.#..Flags 5d9d0 00000016 6c022301 03506179 6c6f6164 ....l.#..Payload 5d9e0 4c656e00 00001c94 02230203 436f6e74 Len......#..Cont 5d9f0 726f6c42 79746573 00000032 92022304 rolBytes...2..#. 5da00 03486f73 74536571 4e756d00 00001c94 .HostSeqNum..... 5da10 02230600 12020000 332a034d 65737361 .#......3*.Messa 5da20 67654944 0000001c 94022300 00120800 geID......#..... 5da30 00338d03 4d657373 61676549 44000000 .3..MessageID... 5da40 1c940223 00034372 65646974 436f756e ...#..CreditCoun 5da50 74000000 1c940223 02034372 65646974 t......#..Credit 5da60 53697a65 0000001c 94022304 034d6178 Size......#..Max 5da70 456e6470 6f696e74 73000000 166c0223 Endpoints....l.# 5da80 06035f50 61643100 0000166c 02230700 .._Pad1....l.#.. 5da90 120a0000 3424034d 65737361 67654944 ....4$.MessageID 5daa0 0000001c 94022300 03536572 76696365 ......#..Service 5dab0 49440000 001c9402 23020343 6f6e6e65 ID......#..Conne 5dac0 6374696f 6e466c61 67730000 001c9402 ctionFlags...... 5dad0 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI 5dae0 44000000 166c0223 06035570 4c696e6b D....l.#..UpLink 5daf0 50697065 49440000 00166c02 23070353 PipeID....l.#..S 5db00 65727669 63654d65 74614c65 6e677468 erviceMetaLength 5db10 00000016 6c022308 035f5061 64310000 ....l.#.._Pad1.. 5db20 00166c02 23090012 0a000034 ac034d65 ..l.#......4..Me 5db30 73736167 65494400 00001c94 02230003 ssageID......#.. 5db40 53657276 69636549 44000000 1c940223 ServiceID......# 5db50 02035374 61747573 00000016 6c022304 ..Status....l.#. 5db60 03456e64 706f696e 74494400 0000166c .EndpointID....l 5db70 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. 5db80 001c9402 23060353 65727669 63654d65 ....#..ServiceMe 5db90 74614c65 6e677468 00000016 6c022308 taLength....l.#. 5dba0 035f5061 64310000 00166c02 23090012 ._Pad1....l.#... 5dbb0 02000034 c5034d65 73736167 65494400 ...4..MessageID. 5dbc0 00001c94 02230000 12040000 3501034d .....#......5..M 5dbd0 65737361 67654944 0000001c 94022300 essageID......#. 5dbe0 03506970 65494400 0000166c 02230203 .PipeID....l.#.. 5dbf0 43726564 6974436f 756e7400 0000166c CreditCount....l 5dc00 02230300 12040000 3538034d 65737361 .#......58.Messa 5dc10 67654944 0000001c 94022300 03506970 geID......#..Pip 5dc20 65494400 0000166c 02230203 53746174 eID....l.#..Stat 5dc30 75730000 00166c02 23030012 02000035 us....l.#......5 5dc40 5f035265 636f7264 49440000 00166c02 _.RecordID....l. 5dc50 2300034c 656e6774 68000000 166c0223 #..Length....l.# 5dc60 01001202 00003589 03456e64 706f696e ......5..Endpoin 5dc70 74494400 0000166c 02230003 43726564 tID....l.#..Cred 5dc80 69747300 0000166c 02230100 12040000 its....l.#...... 5dc90 35ca0345 6e64706f 696e7449 44000000 5..EndpointID... 5dca0 166c0223 00034372 65646974 73000000 .l.#..Credits... 5dcb0 166c0223 01035467 74437265 64697453 .l.#..TgtCreditS 5dcc0 65714e6f 0000001c 94022302 00070000 eqNo......#..... 5dcd0 166c0400 0035d708 03001206 00003613 .l...5........6. 5dce0 03507265 56616c69 64000000 166c0223 .PreValid....l.# 5dcf0 00034c6f 6f6b4168 65616400 000035ca ..LookAhead...5. 5dd00 02230103 506f7374 56616c69 64000000 .#..PostValid... 5dd10 166c0223 05000670 6f6f6c5f 68616e64 .l.#...pool_hand 5dd20 6c655f74 00000002 2c0a0000 36130104 le_t....,...6... 5dd30 00003626 04000901 04000036 33040014 ..6&.......63... 5dd40 04000036 b110504f 4f4c5f49 445f4854 ...6..POOL_ID_HT 5dd50 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL 5dd60 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ 5dd70 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ 5dd80 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... 5dd90 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ 5dda0 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA 5ddb0 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID 5ddc0 00000036 3c090104 000036c2 04000a00 ...6<.....6..... 5ddd0 00263101 04000036 cb04000a 00002631 .&1....6......&1 5dde0 01040000 36d80400 09010400 0036e504 ....6........6.. 5ddf0 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. 5de00 00003787 035f696e 69740000 00362c02 ..7.._init...6,. 5de10 2300035f 73687574 646f776e 00000036 #.._shutdown...6 5de20 35022304 035f6372 65617465 5f706f6f 5.#.._create_poo 5de30 6c000000 36c40223 08035f61 6c6c6f63 l...6..#.._alloc 5de40 5f627566 00000036 d102230c 035f616c _buf...6..#.._al 5de50 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... 5de60 36de0223 10035f66 7265655f 62756600 6..#.._free_buf. 5de70 000036e7 02231403 70526573 65727665 ..6..#..pReserve 5de80 64000000 022c0223 1800025f 4854435f d....,.#..._HTC_ 5de90 53455256 49434500 1c000038 6603704e SERVICE....8f.pN 5dea0 65787400 00003866 02230003 50726f63 ext...8f.#..Proc 5deb0 65737352 6563764d 73670000 00391b02 essRecvMsg...9.. 5dec0 23040350 726f6365 73735365 6e644275 #..ProcessSendBu 5ded0 66666572 436f6d70 6c657465 00000039 fferComplete...9 5dee0 24022308 0350726f 63657373 436f6e6e $.#..ProcessConn 5def0 65637400 00003938 02230c03 53657276 ect...98.#..Serv 5df00 69636549 44000000 01c50223 10035365 iceID......#..Se 5df10 72766963 65466c61 67730000 0001c502 rviceFlags...... 5df20 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize 5df30 00000001 c5022314 03547261 696c6572 ......#..Trailer 5df40 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... 5df50 01c50223 16035365 72766963 65437478 ...#..ServiceCtx 5df60 00000002 2c022318 00040000 37870400 ....,.#.....7... 5df70 14040000 39041945 4e44504f 494e545f ....9..ENDPOINT_ 5df80 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP 5df90 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT 5dfa0 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... 5dfb0 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP 5dfc0 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT 5dfd0 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... 5dfe0 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP 5dff0 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT 5e000 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP 5e010 4f494e54 5f494400 0000386d 09010400 OINT_ID...8m.... 5e020 00391904 00090104 00003922 04000400 .9........9".... 5e030 0001e704 000a0000 019b0104 00003932 ..............92 5e040 04000400 00378704 00025f48 54435f43 .....7...._HTC_C 5e050 4f4e4649 47001400 0039b703 43726564 ONFIG....9..Cred 5e060 69745369 7a650000 0001e702 23000343 itSize......#..C 5e070 72656469 744e756d 62657200 000001e7 reditNumber..... 5e080 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... 5e090 30022308 03484946 48616e64 6c650000 0.#..HIFHandle.. 5e0a0 00289902 230c0350 6f6f6c48 616e646c .(..#..PoolHandl 5e0b0 65000000 36130223 1000025f 4854435f e...6..#..._HTC_ 5e0c0 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 5e0d0 f303656e 645f706f 696e7400 0000019b ..end_point..... 5e0e0 02230003 6874635f 666c6167 73000000 .#..htc_flags... 5e0f0 019b0223 01000668 74635f68 616e646c ...#...htc_handl 5e100 655f7400 0000022c 06485443 5f534554 e_t....,.HTC_SET 5e110 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. 5e120 0003c406 4854435f 434f4e46 49470000 ....HTC_CONFIG.. 5e130 00394604 00003a20 04000a00 0039f301 .9F...: .....9.. 5e140 0400003a 37040009 01040000 3a440400 ...:7.......:D.. 5e150 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 5e160 87040000 3a4d0400 09010400 003a6504 ....:M.......:e. 5e170 00090104 00003a6e 04000901 0400003a ......:n.......: 5e180 7704000a 000001e7 01040000 3a800400 w...........:... 5e190 02687463 5f617069 73003400 003bfd03 .htc_apis.4..;.. 5e1a0 5f485443 5f496e69 74000000 3a3d0223 _HTC_Init...:=.# 5e1b0 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. 5e1c0 00003a46 02230403 5f485443 5f526567 ..:F.#.._HTC_Reg 5e1d0 69737465 72536572 76696365 0000003a isterService...: 5e1e0 67022308 035f4854 435f5265 61647900 g.#.._HTC_Ready. 5e1f0 00003a46 02230c03 5f485443 5f526574 ..:F.#.._HTC_Ret 5e200 75726e42 75666665 72730000 003a7002 urnBuffers...:p. 5e210 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu 5e220 66666572 734c6973 74000000 3a790223 ffersList...:y.# 5e230 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. 5e240 003a7002 2318035f 4854435f 47657452 .:p.#.._HTC_GetR 5e250 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. 5e260 00003a86 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg 5e270 52656376 48616e64 6c657200 00002846 RecvHandler...(F 5e280 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon 5e290 6548616e 646c6572 00000028 3d022324 eHandler...(=.#$ 5e2a0 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 5e2b0 50726f63 6573734d 73670000 00391b02 ProcessMsg...9.. 5e2c0 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS 5e2d0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom 5e2e0 706c6574 65000000 39240223 2c037052 plete...9$.#,.pR 5e2f0 65736572 76656400 0000022c 02233000 eserved....,.#0. 5e300 02686f73 745f6170 705f6172 65615f73 .host_app_area_s 5e310 00040000 3c2d0377 6d695f70 726f746f ....<-.wmi_proto 5e320 636f6c5f 76657200 00001605 02230000 col_ver......#.. 5e330 120e0000 3c640364 73744d61 63000000 ....` 5e510 03487463 48616e64 6c650000 0039f302 .HtcHandle...9.. 5e520 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... 5e530 36130223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl 5e540 79457674 73000000 01e70223 08034d61 yEvts......#..Ma 5e550 78457665 6e744576 74730000 0001e702 xEventEvts...... 5e560 230c0009 01040000 3e600400 06574d49 #.......>`...WMI 5e570 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> 5e580 62025f57 4d495f44 49535041 5443485f b._WMI_DISPATCH_ 5e590 454e5452 59000800 003ec903 70436d64 ENTRY....>..pCmd 5e5a0 48616e64 6c657200 00003e69 02230003 Handler...>i.#.. 5e5b0 436d6449 44000000 01c50223 0403466c CmdID......#..Fl 5e5c0 61677300 000001c5 02230600 025f574d ags......#..._WM 5e5d0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 5e5e0 00100000 3f2a0370 4e657874 0000003f ....?*.pNext...? 5e5f0 2a022300 0370436f 6e746578 74000000 *.#..pContext... 5e600 022c0223 04034e75 6d626572 4f66456e .,.#..NumberOfEn 5e610 74726965 73000000 01e70223 08037054 tries......#..pT 5e620 61626c65 0000003f 4902230c 00040000 able...?I.#..... 5e630 3ec90400 06574d49 5f444953 50415443 >....WMI_DISPATC 5e640 485f454e 54525900 00003e7e 0400003f H_ENTRY...>~...? 5e650 31040004 00003ec9 04000648 54435f42 1.....>....HTC_B 5e660 55465f43 4f4e5445 58540000 0039b70f UF_CONTEXT...9.. 5e670 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... 5e680 003fe119 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS 5e690 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ 5e6a0 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV 5e6b0 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL 5e6c0 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... 5e6d0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA 5e6e0 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA 5e6f0 53530000 003f6c02 5f574d49 5f425546 SS...?l._WMI_BUF 5e700 5f434f4e 54455854 000c0000 403f0348 _CONTEXT....@?.H 5e710 74634275 66437478 0000003f 57022300 tcBufCtx...?W.#. 5e720 03457665 6e74436c 61737300 00003fe1 .EventClass...?. 5e730 02230403 466c6167 73000000 01c50223 .#..Flags......# 5e740 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. 5e750 0000022c 06574d49 5f535643 5f434f4e ...,.WMI_SVC_CON 5e760 46494700 00003df7 04000040 5104000a FIG...=....@Q... 5e770 0000403f 01040000 406c0400 06574d49 ..@?....@l...WMI 5e780 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 5e790 00003ec9 04000040 79040009 01040000 ..>....@y....... 5e7a0 40980400 0a000026 31010400 0040a104 @......&1....@.. 5e7b0 00090104 000040ae 04000a00 0001e701 ......@......... 5e7c0 04000040 b7040009 01040000 40c40400 ...@........@... 5e7d0 0a000001 9b010400 0040cd04 00025f77 .........@...._w 5e7e0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B 5e7f0 15035f57 4d495f49 6e697400 00004072 .._WMI_Init...@r 5e800 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe 5e810 72446973 70617463 68546162 6c650000 rDispatchTable.. 5e820 00409a02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo 5e830 63457665 6e740000 0040a702 2308035f cEvent...@..#.._ 5e840 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... 5e850 40b00223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe 5e860 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount 5e870 00000040 bd022310 035f574d 495f5365 ...@..#.._WMI_Se 5e880 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle 5e890 72000000 39240223 14035f57 4d495f47 r...9$.#.._WMI_G 5e8a0 6574436f 6e74726f 6c457000 000040bd etControlEp...@. 5e8b0 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow 5e8c0 6e000000 40c60223 1c035f57 4d495f52 n...@..#.._WMI_R 5e8d0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle 5e8e0 72000000 391b0223 20035f57 4d495f53 r...9..# ._WMI_S 5e8f0 65727669 6365436f 6e6e6563 74000000 erviceConnect... 5e900 40d30223 24037052 65736572 76656400 @..#$.pReserved. 5e910 0000022c 02232800 027a7344 6d614465 ...,.#(..zsDmaDe 5e920 73630014 00004297 03637472 6c000000 sc....B..ctrl... 5e930 01af0223 00037374 61747573 00000001 ...#..status.... 5e940 af022302 03746f74 616c4c65 6e000000 ..#..totalLen... 5e950 01af0223 04036461 74615369 7a650000 ...#..dataSize.. 5e960 0001af02 2306036c 61737441 64647200 ....#..lastAddr. 5e970 00004297 02230803 64617461 41646472 ..B..#..dataAddr 5e980 00000004 3602230c 036e6578 74416464 ....6.#..nextAdd 5e990 72000000 42970223 10000400 00421504 r...B..#.....B.. 5e9a0 00040000 42150400 027a7344 6d615175 ....B....zsDmaQu 5e9b0 65756500 08000042 d7036865 61640000 eue....B..head.. 5e9c0 00429e02 23000374 65726d69 6e61746f .B..#..terminato 5e9d0 72000000 429e0223 0400027a 73547844 r...B..#...zsTxD 5e9e0 6d615175 65756500 10000043 3b036865 maQueue....C;.he 5e9f0 61640000 00429e02 23000374 65726d69 ad...B..#..termi 5ea00 6e61746f 72000000 429e0223 0403786d nator...B..#..xm 5ea10 69746564 5f627566 5f686561 64000000 ited_buf_head... 5ea20 03230223 0803786d 69746564 5f627566 .#.#..xmited_buf 5ea30 5f746169 6c000000 03230223 0c000901 _tail....#.#.... 5ea40 04000043 3b040004 000042a5 04000901 ...C;.....B..... 5ea50 04000043 4b040004 000042d7 04000901 ...CK.....B..... 5ea60 04000043 5b040009 01040000 43640400 ...C[.......Cd.. 5ea70 09010400 00436d04 000a0000 03230104 .....Cm......#.. 5ea80 00004376 04000901 04000043 8304000a ..Cv.......C.... 5ea90 00000323 01040000 438c0400 09010400 ...#....C....... 5eaa0 00439904 000a0000 01e70104 000043a2 .C............C. 5eab0 04000a00 00429e01 04000043 af040009 .....B.....C.... 5eac0 01040000 43bc0400 02646d61 5f656e67 ....C....dma_eng 5ead0 696e655f 61706900 40000045 32035f69 ine_api.@..E2._i 5eae0 6e697400 0000433d 02230003 5f696e69 nit...C=.#.._ini 5eaf0 745f7278 5f717565 75650000 00434d02 t_rx_queue...CM. 5eb00 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu 5eb10 65000000 435d0223 08035f63 6f6e6669 e...C].#.._confi 5eb20 675f7278 5f717565 75650000 00436602 g_rx_queue...Cf. 5eb30 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C 5eb40 6f022310 035f666c 7573685f 786d6974 o.#.._flush_xmit 5eb50 00000043 4d022314 035f7265 61705f72 ...CM.#.._reap_r 5eb60 6563765f 62756600 0000437c 02231803 ecv_buf...C|.#.. 5eb70 5f726574 75726e5f 72656376 5f627566 _return_recv_buf 5eb80 00000043 8502231c 035f7265 61705f78 ...C..#.._reap_x 5eb90 6d697465 645f6275 66000000 43920223 mited_buf...C..# 5eba0 20035f73 7761705f 64617461 00000043 ._swap_data...C 5ebb0 9b022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ 5ebc0 7061636b 65747300 000043a8 02232803 packets...C..#(. 5ebd0 5f646573 635f6475 6d700000 00434d02 _desc_dump...CM. 5ebe0 232c035f 6765745f 7061636b 65740000 #,._get_packet.. 5ebf0 0043b502 2330035f 7265636c 61696d5f .C..#0._reclaim_ 5ec00 7061636b 65740000 0043be02 2334035f packet...C..#4._ 5ec10 7075745f 7061636b 65740000 0043be02 put_packet...C.. 5ec20 23380370 52657365 72766564 00000002 #8.pReserved.... 5ec30 2c02233c 00065f41 5f636d6e 6f735f69 ,.#<.._A_cmnos_i 5ec40 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 5ec50 5f740000 00307106 574d495f 5356435f _t...0q.WMI_SVC_ 5ec60 41504953 00000040 da175f41 5f6d6167 APIS...@.._A_mag 5ec70 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 5ec80 7461626c 6500034c 00004660 03636d6e table..L..F`.cmn 5ec90 6f730000 00453202 23000364 62670000 os...E2.#..dbg.. 5eca0 00065f03 23b80303 68696600 0000293c .._.#...hif...)< 5ecb0 0323c003 03687463 0000003a 8d0323f8 .#...htc...:..#. 5ecc0 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... 5ecd0 45540323 ac040375 73626669 666f5f61 ET.#...usbfifo_a 5ece0 70690000 00324503 23d80403 6275665f pi...2E.#...buf_ 5ecf0 706f6f6c 00000036 ee0323e4 04037662 pool...6..#...vb 5ed00 75660000 00034d03 23800503 76646573 uf....M.#...vdes 5ed10 63000000 022f0323 94050361 6c6c6f63 c..../.#...alloc 5ed20 72616d00 00000bc1 0323a805 03646d61 ram......#...dma 5ed30 5f656e67 696e6500 000043c5 0323b405 _engine...C..#.. 5ed40 03646d61 5f6c6962 0000002b d00323f4 .dma_lib...+..#. 5ed50 05036869 665f7063 69000000 2e300323 ..hif_pci....0.# 5ed60 a8060002 56425546 5f434f4e 54455854 ....VBUF_CONTEXT 5ed70 000c0000 46ad0366 7265655f 6275665f ....F..free_buf_ 5ed80 68656164 00000003 23022300 036e5662 head....#.#..nVb 5ed90 75664e75 6d000000 01e70223 04037052 ufNum......#..pR 5eda0 65736572 76656400 0000022c 02230800 eserved....,.#.. 5edb0 1a675f76 62756643 74780000 00466005 .g_vbufCtx...F`. 5edc0 0300500a f801065f 415f6d61 67706965 ..P...._A_magpie 5edd0 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 5ede0 6c655f74 00000045 66040000 034d0400 le_t...Ef....M.. 5edf0 09011b01 1d5f7662 75665f69 6e697400 ....._vbuf_init. 5ee00 01010392 01200290 00008e4e f0008e4f ..... .....N...O 5ee10 2b000047 321c011d 6e427566 00000001 +..G2...nBuf.... 5ee20 e701521d 76627566 00000003 231d6900 ..R.vbuf....#.i. 5ee30 000001e7 001e0138 5f766275 665f616c .......8_vbuf_al 5ee40 6c6f635f 76627566 00000003 23010103 loc_vbuf....#... 5ee50 92012002 9000008e 4f2c008e 4f4a0000 .. .....O,..OJ.. 5ee60 476e1d61 6c6c6f63 42756600 00000323 Gn.allocBuf....# 5ee70 001b0148 5f766275 665f6672 65655f76 ...H_vbuf_free_v 5ee80 62756600 01010392 01200290 00008e4f buf...... .....O 5ee90 4c008e4f 60000047 a41c0148 62756600 L..O`..G...Hbuf. 5eea0 00000323 0152001f 01547662 75665f6d ...#.R...Tvbuf_m 5eeb0 6f64756c 655f696e 7374616c 6c000101 odule_install... 5eec0 03920120 02900000 8e4f6000 8e4f741c ... .....O`..Ot. 5eed0 01546170 69730000 0046e601 52000000 .Tapis...F..R... 5eee0 0000483f 00020000 227c0401 2f726f6f ..H?...."|../roo 5eef0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 5ef00 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 5ef10 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image 5ef20 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. 5ef30 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi 5ef40 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 5ef50 7372632f 76646573 632e6300 2f726f6f src/vdesc.c./roo 5ef60 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 5ef70 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 5ef80 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v 5ef90 64657363 0078742d 78636320 666f7220 desc.xt-xcc for 5efa0 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align 5efb0 5f696e73 74727563 74696f6e 733d3332 _instructions=32 5efc0 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp 5efd0 61636500 01000001 52b2025f 56444553 ace.....R.._VDES 5efe0 43002400 00018603 6e657874 5f646573 C.$.....next_des 5eff0 63000000 01860223 00036275 665f6164 c......#..buf_ad 5f000 64720000 0001ab02 23040362 75665f73 dr......#..buf_s 5f010 697a6500 000001c8 02230803 64617461 ize......#..data 5f020 5f6f6666 73657400 000001c8 02230a03 _offset......#.. 5f030 64617461 5f73697a 65000000 01c80223 data_size......# 5f040 0c03636f 6e74726f 6c000000 01c80223 ..control......# 5f050 0e036877 5f646573 635f6275 66000000 ..hw_desc_buf... 5f060 01d60223 10000400 0000fa04 0005756e ...#..........un 5f070 7369676e 65642063 68617200 07010641 signed char....A 5f080 5f55494e 54380000 00018d04 0000019e _UINT8.......... 5f090 04000573 686f7274 20756e73 69676e65 ...short unsigne 5f0a0 6420696e 74000702 06415f55 494e5431 d int....A_UINT1 5f0b0 36000000 01b20700 00019e14 000001e3 6............... 5f0c0 08130004 000000fa 04000569 6e740005 ...........int.. 5f0d0 04090104 000001f1 04000656 44455343 ...........VDESC 5f0e0 00000000 fa040000 01fa0400 0a000002 ................ 5f0f0 05010400 00020c04 000a0000 01ab0104 ................ 5f100 00000219 04000901 04000002 2604000b ............&... 5f110 04000276 64657363 5f617069 00140000 ...vdesc_api.... 5f120 02a1035f 696e6974 00000001 f3022300 ..._init......#. 5f130 035f616c 6c6f635f 76646573 63000000 ._alloc_vdesc... 5f140 02120223 04035f67 65745f68 775f6465 ...#.._get_hw_de 5f150 73630000 00021f02 2308035f 73776170 sc......#.._swap 5f160 5f766465 73630000 00022802 230c0370 _vdesc....(.#..p 5f170 52657365 72766564 00000002 2f022310 Reserved..../.#. 5f180 00090104 000002a1 04000563 68617200 ...........char. 5f190 07010c00 0002aa0c 000002aa 04000002 ................ 5f1a0 b704000a 000001ea 01040000 02c30400 ................ 5f1b0 02707269 6e74665f 61706900 08000003 .printf_api..... 5f1c0 07035f70 72696e74 665f696e 69740000 .._printf_init.. 5f1d0 0002a302 2300035f 7072696e 74660000 ....#.._printf.. 5f1e0 0002c902 23040006 75696e74 31365f74 ....#...uint16_t 5f1f0 00000001 b2056c6f 6e672075 6e736967 ......long unsig 5f200 6e656420 696e7400 07040675 696e7433 ned int....uint3 5f210 325f7400 00000315 02756172 745f6669 2_t......uart_fi 5f220 666f0008 00000383 03737461 72745f69 fo.......start_i 5f230 6e646578 00000003 07022300 03656e64 ndex......#..end 5f240 5f696e64 65780000 00030702 2302036f _index......#..o 5f250 76657272 756e5f65 72720000 00032a02 verrun_err....*. 5f260 23040002 75617274 5f617069 00200000 #...uart_api. .. 5f270 043c035f 75617274 5f696e69 74000000 .<._uart_init... 5f280 04930223 00035f75 6172745f 63686172 ...#.._uart_char 5f290 5f707574 00000004 a9022304 035f7561 _put......#.._ua 5f2a0 72745f63 6861725f 67657400 000004bd rt_char_get..... 5f2b0 02230803 5f756172 745f7374 725f6f75 .#.._uart_str_ou 5f2c0 74000000 04c60223 0c035f75 6172745f t......#.._uart_ 5f2d0 7461736b 00000002 a3022310 035f7561 task......#.._ua 5f2e0 72745f73 74617475 73000000 04930223 rt_status......# 5f2f0 14035f75 6172745f 636f6e66 69670000 .._uart_config.. 5f300 0004cf02 2318035f 75617274 5f687769 ....#.._uart_hwi 5f310 6e697400 000004d8 02231c00 04000003 nit......#...... 5f320 83040002 75617274 5f626c6b 00100000 ....uart_blk.... 5f330 048d0364 65627567 5f6d6f64 65000000 ...debug_mode... 5f340 03070223 00036261 75640000 00030702 ...#..baud...... 5f350 2302035f 75617274 00000004 3c022304 #.._uart....<.#. 5f360 035f7478 00000003 38022308 000a0000 ._tx....8.#..... 5f370 032a0104 0000048d 04000675 696e7438 .*.........uint8 5f380 5f740000 00018d09 01040000 04a70400 _t.............. 5f390 04000004 9a04000a 00000307 01040000 ................ 5f3a0 04b70400 09010400 0004c404 00090104 ................ 5f3b0 000004cd 04000901 04000004 d6040004 ................ 5f3c0 000002aa 04000a00 0001ea01 04000004 ................ 5f3d0 e6040002 44425f43 4f4d4d41 4e445f53 ....DB_COMMAND_S 5f3e0 54525543 54000c00 00053e03 636d645f TRUCT.....>.cmd_ 5f3f0 73747200 000004df 02230003 68656c70 str......#..help 5f400 5f737472 00000004 df022304 03636d64 _str......#..cmd 5f410 5f66756e 63000000 04ec0223 08000264 _func......#...d 5f420 62675f61 70690008 00000571 035f6462 bg_api.....q._db 5f430 675f696e 69740000 0002a302 2300035f g_init......#.._ 5f440 6462675f 7461736b 00000002 a3022304 dbg_task......#. 5f450 0005756e 7369676e 65642069 6e740007 ..unsigned int.. 5f460 040a0000 022f0104 00000581 04000d0d ...../.......... 5f470 04000005 8f04000a 0000022f 01040000 .........../.... 5f480 05970400 0a000001 ea010400 0005a404 ................ 5f490 00026d65 6d5f6170 69001400 00061303 ..mem_api....... 5f4a0 5f6d656d 5f696e69 74000000 02a30223 _mem_init......# 5f4b0 00035f6d 656d7365 74000000 05870223 .._memset......# 5f4c0 04035f6d 656d6370 79000000 059d0223 .._memcpy......# 5f4d0 08035f6d 656d6d6f 76650000 00059d02 .._memmove...... 5f4e0 230c035f 6d656d63 6d700000 0005aa02 #.._memcmp...... 5f4f0 2310000e 72656769 73746572 5f64756d #...register_dum 5f500 705f7300 00010400 00061304 00090104 p_s............. 5f510 0000062d 04000901 04000006 3604000a ...-........6... 5f520 000001ea 01040000 063f0400 0f686f73 .........?...hos 5f530 7469665f 73000400 00069b10 4849465f tif_s.......HIF_ 5f540 55534200 00104849 465f5043 49450001 USB...HIF_PCIE.. 5f550 10484946 5f474d41 43000210 4849465f .HIF_GMAC...HIF_ 5f560 50434900 03104849 465f4e55 4d000410 PCI...HIF_NUM... 5f570 4849465f 4e4f4e45 00050006 415f484f HIF_NONE....A_HO 5f580 53544946 00000006 4c0a0000 069b0104 STIF....L....... 5f590 000006a9 04000a00 00049a01 04000006 ................ 5f5a0 b604000a 00000307 01040000 06c30400 ................ 5f5b0 026d6973 635f6170 69002400 0007b303 .misc_api.$..... 5f5c0 5f737973 74656d5f 72657365 74000000 _system_reset... 5f5d0 02a30223 00035f6d 61635f72 65736574 ...#.._mac_reset 5f5e0 00000002 a3022304 035f6173 73666169 ......#.._assfai 5f5f0 6c000000 062f0223 08035f6d 6973616c l..../.#.._misal 5f600 69676e65 645f6c6f 61645f68 616e646c igned_load_handl 5f610 65720000 00062f02 230c035f 7265706f er..../.#.._repo 5f620 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho 5f630 73740000 00063802 2310035f 74617267 st....8.#.._targ 5f640 65745f69 645f6765 74000000 06450223 et_id_get....E.# 5f650 14035f69 735f686f 73745f70 72657365 .._is_host_prese 5f660 6e740000 0006af02 2318035f 6b626869 nt......#.._kbhi 5f670 74000000 06bc0223 1c035f72 6f6d5f76 t......#.._rom_v 5f680 65727369 6f6e5f67 65740000 0006c902 ersion_get...... 5f690 2320000a 000004df 01040000 07b30400 # .............. 5f6a0 0a000004 df010400 0007c004 000a0000 ................ 5f6b0 01ea0104 000007cd 04000a00 0001ea01 ................ 5f6c0 04000007 da04000a 000001ea 01040000 ................ 5f6d0 07e70400 02737472 696e675f 61706900 .....string_api. 5f6e0 18000008 6d035f73 7472696e 675f696e ....m._string_in 5f6f0 69740000 0002a302 2300035f 73747263 it......#.._strc 5f700 70790000 0007b902 2304035f 7374726e py......#.._strn 5f710 63707900 000007c6 02230803 5f737472 cpy......#.._str 5f720 6c656e00 000007d3 02230c03 5f737472 len......#.._str 5f730 636d7000 000007e0 02231003 5f737472 cmp......#.._str 5f740 6e636d70 00000007 ed022314 00070000 ncmp......#..... 5f750 05711400 00087a08 0400065f 415f5449 .q....z...._A_TI 5f760 4d45525f 53504143 45000000 086d0641 MER_SPACE....m.A 5f770 5f74696d 65725f74 00000008 7a040000 _timer_t....z... 5f780 088e0400 09010400 0008a404 00090104 ................ 5f790 000008ad 04000641 5f48414e 444c4500 .......A_HANDLE. 5f7a0 00000571 09010641 5f54494d 45525f46 ...q...A_TIMER_F 5f7b0 554e4300 000008c4 04000008 c6040009 UNC............. 5f7c0 01040000 08df0400 0274696d 65725f61 .........timer_a 5f7d0 70690014 0000095e 035f7469 6d65725f pi.....^._timer_ 5f7e0 696e6974 00000002 a3022300 035f7469 init......#.._ti 5f7f0 6d65725f 61726d00 000008a6 02230403 mer_arm......#.. 5f800 5f74696d 65725f64 69736172 6d000000 _timer_disarm... 5f810 08af0223 08035f74 696d6572 5f736574 ...#.._timer_set 5f820 666e0000 0008e102 230c035f 74696d65 fn......#.._time 5f830 725f7275 6e000000 02a30223 10000642 r_run......#...B 5f840 4f4f4c45 414e0000 0003070a 0000095e OOLEAN.........^ 5f850 01040000 096b0400 0a000009 5e010400 .....k......^... 5f860 00097804 000a0000 095e0104 00000985 ..x......^...... 5f870 04000272 6f6d705f 61706900 10000009 ...romp_api..... 5f880 f7035f72 6f6d705f 696e6974 00000002 .._romp_init.... 5f890 a3022300 035f726f 6d705f64 6f776e6c ..#.._romp_downl 5f8a0 6f616400 00000971 02230403 5f726f6d oad....q.#.._rom 5f8b0 705f696e 7374616c 6c000000 097e0223 p_install....~.# 5f8c0 08035f72 6f6d705f 6465636f 64650000 .._romp_decode.. 5f8d0 00098b02 230c0002 726f6d5f 70617463 ....#...rom_patc 5f8e0 685f7374 00100000 0a530363 72633136 h_st.....S.crc16 5f8f0 00000003 07022300 036c656e 00000003 ......#..len.... 5f900 07022302 036c645f 61646472 00000003 ..#..ld_addr.... 5f910 2a022304 0366756e 5f616464 72000000 *.#..fun_addr... 5f920 032a0223 08037066 756e0000 0004b002 .*.#..pfun...... 5f930 230c0002 6565705f 72656469 725f6164 #...eep_redir_ad 5f940 64720004 00000a85 036f6666 73657400 dr.......offset. 5f950 00000307 02230003 73697a65 00000003 .....#..size.... 5f960 07022302 0006415f 55494e54 33320000 ..#...A_UINT32.. 5f970 0005710a 0000022f 01040000 0a930400 ..q..../........ 5f980 02616c6c 6f637261 6d5f6170 69000c00 .allocram_api... 5f990 000b0403 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr 5f9a0 616d5f69 6e697400 00000a99 02230003 am_init......#.. 5f9b0 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 5f9c0 000a9902 23040363 6d6e6f73 5f616c6c ....#..cmnos_all 5f9d0 6f637261 6d5f6465 62756700 000002a3 ocram_debug..... 5f9e0 02230800 09010400 000b0404 0006415f .#............A_ 5f9f0 5441534b 4c45545f 46554e43 0000000b TASKLET_FUNC.... 5fa00 06025f74 61736b6c 65740010 00000b65 .._tasklet.....e 5fa10 0366756e 63000000 0b0d0223 00036172 .func......#..ar 5fa20 67000000 022f0223 04037374 61746500 g..../.#..state. 5fa30 000001ea 02230803 6e657874 0000000b .....#..next.... 5fa40 6502230c 00040000 0b210400 0400000b e.#......!...... 5fa50 21040006 415f7461 736b6c65 745f7400 !...A_tasklet_t. 5fa60 00000b21 0400000b 73040009 01040000 ...!....s....... 5fa70 0b8b0400 09010400 000b9404 00027461 ..............ta 5fa80 736b6c65 745f6170 69001400 000c2903 sklet_api.....). 5fa90 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... 5faa0 02a30223 00035f74 61736b6c 65745f69 ...#.._tasklet_i 5fab0 6e69745f 7461736b 0000000b 8d022304 nit_task......#. 5fac0 035f7461 736b6c65 745f6469 7361626c ._tasklet_disabl 5fad0 65000000 0b960223 08035f74 61736b6c e......#.._taskl 5fae0 65745f73 63686564 756c6500 00000b96 et_schedule..... 5faf0 02230c03 5f746173 6b6c6574 5f72756e .#.._tasklet_run 5fb00 00000002 a3022310 00090104 00000c29 ......#........) 5fb10 04000a00 000a8501 0400000c 32040002 ............2... 5fb20 636c6f63 6b5f6170 69002400 000d1803 clock_api.$..... 5fb30 5f636c6f 636b5f69 6e697400 00000c2b _clock_init....+ 5fb40 02230003 5f636c6f 636b7265 67735f69 .#.._clockregs_i 5fb50 6e697400 000002a3 02230403 5f756172 nit......#.._uar 5fb60 745f6672 65717565 6e637900 00000c38 t_frequency....8 5fb70 02230803 5f64656c 61795f75 73000000 .#.._delay_us... 5fb80 01f30223 0c035f77 6c616e5f 62616e64 ...#.._wlan_band 5fb90 5f736574 00000001 f3022310 035f7265 _set......#.._re 5fba0 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. 5fbb0 000c3802 2314035f 6d696c6c 69736563 ..8.#.._millisec 5fbc0 6f6e6473 0000000c 38022318 035f7379 onds....8.#.._sy 5fbd0 73636c6b 5f636861 6e676500 000002a3 sclk_change..... 5fbe0 02231c03 5f636c6f 636b5f74 69636b00 .#.._clock_tick. 5fbf0 000002a3 02232000 0a000003 2a010400 .....# .....*... 5fc00 000d1804 0006415f 6f6c645f 696e7472 ......A_old_intr 5fc10 5f740000 00032a0a 00000d25 01040000 _t....*....%.... 5fc20 0d370400 09010400 000d4404 00090104 .7........D..... 5fc30 00000d4d 04000a00 00032a01 0400000d ...M......*..... 5fc40 56040006 415f6973 725f7400 00000d5c V...A_isr_t....\ 5fc50 09010400 000d7004 000a0000 05710104 ......p......q.. 5fc60 00000d79 04000901 0400000d 86040002 ...y............ 5fc70 696e7472 5f617069 002c0000 0ea8035f intr_api.,....._ 5fc80 696e7472 5f696e69 74000000 02a30223 intr_init......# 5fc90 00035f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i 5fca0 73720000 000d1e02 2304035f 696e7472 sr......#.._intr 5fcb0 5f646973 61626c65 0000000d 3d022308 _disable....=.#. 5fcc0 035f696e 74725f72 6573746f 72650000 ._intr_restore.. 5fcd0 000d4602 230c035f 696e7472 5f6d6173 ..F.#.._intr_mas 5fce0 6b5f696e 756d0000 000d4f02 2310035f k_inum....O.#.._ 5fcf0 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum 5fd00 0000000d 4f022314 035f696e 74725f61 ....O.#.._intr_a 5fd10 74746163 685f6973 72000000 0d720223 ttach_isr....r.# 5fd20 18035f67 65745f69 6e747265 6e61626c .._get_intrenabl 5fd30 65000000 0d7f0223 1c035f73 65745f69 e......#.._set_i 5fd40 6e747265 6e61626c 65000000 0d880223 ntrenable......# 5fd50 20035f67 65745f69 6e747270 656e6469 ._get_intrpendi 5fd60 6e670000 000d7f02 2324035f 756e626c ng......#$._unbl 5fd70 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. 5fd80 000002a3 02232800 11040000 0ece0374 .....#(........t 5fd90 696d656f 75740000 00032a02 23000361 imeout....*.#..a 5fda0 6374696f 6e000000 032a0223 00001208 ction....*.#.... 5fdb0 00000ee9 03636d64 00000003 2a022300 .....cmd....*.#. 5fdc0 1300000e a8022304 0006545f 5744545f ......#...T_WDT_ 5fdd0 434d4400 00000ece 09010400 000ef804 CMD............. 5fde0 00140400 000f4e10 454e554d 5f574454 ......N.ENUM_WDT 5fdf0 5f424f4f 54000110 454e554d 5f434f4c _BOOT...ENUM_COL 5fe00 445f424f 4f540002 10454e55 4d5f5355 D_BOOT...ENUM_SU 5fe10 53505f42 4f4f5400 0310454e 554d5f55 SP_BOOT...ENUM_U 5fe20 4e4b4e4f 574e5f42 4f4f5400 04000654 NKNOWN_BOOT....T 5fe30 5f424f4f 545f5459 50450000 000f010a _BOOT_TYPE...... 5fe40 00000f4e 01040000 0f5f0400 02776474 ...N....._...wdt 5fe50 5f617069 001c0000 1003035f 7764745f _api......._wdt_ 5fe60 696e6974 00000002 a3022300 035f7764 init......#.._wd 5fe70 745f656e 61626c65 00000002 a3022304 t_enable......#. 5fe80 035f7764 745f6469 7361626c 65000000 ._wdt_disable... 5fe90 02a30223 08035f77 64745f73 65740000 ...#.._wdt_set.. 5fea0 000efa02 230c035f 7764745f 7461736b ....#.._wdt_task 5feb0 00000002 a3022310 035f7764 745f7265 ......#.._wdt_re 5fec0 73657400 000002a3 02231403 5f776474 set......#.._wdt 5fed0 5f6c6173 745f626f 6f740000 000f6502 _last_boot....e. 5fee0 23180014 04000010 6a105245 545f5355 #.......j.RET_SU 5fef0 43434553 53000010 5245545f 4e4f545f CCESS...RET_NOT_ 5ff00 494e4954 00011052 45545f4e 4f545f45 INIT...RET_NOT_E 5ff10 58495354 00021052 45545f45 45505f43 XIST...RET_EEP_C 5ff20 4f525255 50540003 10524554 5f454550 ORRUPT...RET_EEP 5ff30 5f4f5645 52464c4f 57000410 5245545f _OVERFLOW...RET_ 5ff40 554e4b4e 4f574e00 05000654 5f454550 UNKNOWN....T_EEP 5ff50 5f524554 00000010 03040000 03070400 _RET............ 5ff60 0a000010 6a010400 00108004 000a0000 ....j........... 5ff70 106a0104 0000108d 04000265 65705f61 .j.........eep_a 5ff80 70690010 000010f6 035f6565 705f696e pi......._eep_in 5ff90 69740000 0002a302 2300035f 6565705f it......#.._eep_ 5ffa0 72656164 00000010 86022304 035f6565 read......#.._ee 5ffb0 705f7772 69746500 00001086 02230803 p_write......#.. 5ffc0 5f656570 5f69735f 65786973 74000000 _eep_is_exist... 5ffd0 10930223 0c000275 73625f61 70690070 ...#...usb_api.p 5ffe0 000013a3 035f7573 625f696e 69740000 ....._usb_init.. 5fff0 0002a302 2300035f 7573625f 726f6d5f ....#.._usb_rom_ 60000 7461736b 00000002 a3022304 035f7573 task......#.._us 60010 625f6677 5f746173 6b000000 02a30223 b_fw_task......# 60020 08035f75 73625f69 6e69745f 70687900 .._usb_init_phy. 60030 000002a3 02230c03 5f757362 5f657030 .....#.._usb_ep0 60040 5f736574 75700000 0002a302 2310035f _setup......#.._ 60050 7573625f 6570305f 74780000 0002a302 usb_ep0_tx...... 60060 2314035f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. 60070 0002a302 2318035f 7573625f 6765745f ....#.._usb_get_ 60080 696e7465 72666163 65000000 097e0223 interface....~.# 60090 1c035f75 73625f73 65745f69 6e746572 .._usb_set_inter 600a0 66616365 00000009 7e022320 035f7573 face....~.# ._us 600b0 625f6765 745f636f 6e666967 75726174 b_get_configurat 600c0 696f6e00 0000097e 02232403 5f757362 ion....~.#$._usb 600d0 5f736574 5f636f6e 66696775 72617469 _set_configurati 600e0 6f6e0000 00097e02 2328035f 7573625f on....~.#(._usb_ 600f0 7374616e 64617264 5f636d64 00000009 standard_cmd.... 60100 7e02232c 035f7573 625f7665 6e646f72 ~.#,._usb_vendor 60110 5f636d64 00000002 a3022330 035f7573 _cmd......#0._us 60120 625f706f 7765725f 6f666600 000002a3 b_power_off..... 60130 02233403 5f757362 5f726573 65745f66 .#4._usb_reset_f 60140 69666f00 000002a3 02233803 5f757362 ifo......#8._usb 60150 5f67656e 5f776474 00000002 a302233c _gen_wdt......#< 60160 035f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. 60170 000002a3 02234003 5f757362 5f636c72 .....#@._usb_clr 60180 5f666561 74757265 00000009 7e022344 _feature....~.#D 60190 035f7573 625f7365 745f6665 61747572 ._usb_set_featur 601a0 65000000 097e0223 48035f75 73625f73 e....~.#H._usb_s 601b0 65745f61 64647265 73730000 00097e02 et_address....~. 601c0 234c035f 7573625f 6765745f 64657363 #L._usb_get_desc 601d0 72697074 6f720000 00097e02 2350035f riptor....~.#P._ 601e0 7573625f 6765745f 73746174 75730000 usb_get_status.. 601f0 00097e02 2354035f 7573625f 73657475 ..~.#T._usb_setu 60200 705f6465 73630000 0002a302 2358035f p_desc......#X._ 60210 7573625f 7265675f 6f757400 000002a3 usb_reg_out..... 60220 02235c03 5f757362 5f737461 7475735f .#\._usb_status_ 60230 696e0000 0002a302 2360035f 7573625f in......#`._usb_ 60240 6570305f 74785f64 61746100 000002a3 ep0_tx_data..... 60250 02236403 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ 60260 64617461 00000002 a3022368 035f7573 data......#h._us 60270 625f636c 6b5f696e 69740000 0002a302 b_clk_init...... 60280 236c0002 5f564255 46002000 00140303 #l.._VBUF. ..... 60290 64657363 5f6c6973 74000000 02050223 desc_list......# 602a0 00036e65 78745f62 75660000 00140302 ..next_buf...... 602b0 23040362 75665f6c 656e6774 68000000 #..buf_length... 602c0 01c80223 08037265 73657276 65640000 ...#..reserved.. 602d0 00140a02 230a0363 74780000 0001d602 ....#..ctx...... 602e0 230c0004 000013a3 04000700 00019e02 #............... 602f0 00001417 08010004 000013a3 04000656 ...............V 60300 42554600 000013a3 04000014 1e04000a BUF............. 60310 00001428 01040000 142f0400 0a000014 ...(...../...... 60320 28010400 00143c04 00090104 00001449 (.....<........I 60330 04000276 6275665f 61706900 14000014 ...vbuf_api..... 60340 c7035f69 6e697400 000001f3 02230003 .._init......#.. 60350 5f616c6c 6f635f76 62756600 00001435 _alloc_vbuf....5 60360 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 60370 77697468 5f73697a 65000000 14420223 with_size....B.# 60380 08035f66 7265655f 76627566 00000014 .._free_vbuf.... 60390 4b02230c 03705265 73657276 65640000 K.#..pReserved.. 603a0 00022f02 23100002 5f5f6164 665f6465 ../.#...__adf_de 603b0 76696365 00040000 14e90364 756d6d79 vice.......dummy 603c0 00000001 ea022300 00040000 0a850400 ......#......... 603d0 025f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. 603e0 00001530 03627566 00000014 28022300 ...0.buf....(.#. 603f0 0364735f 61646472 00000014 e9022304 .ds_addr......#. 60400 0364735f 6c656e00 000001c8 02230800 .ds_len......#.. 60410 120c0000 156a035f 5f76615f 73746b00 .....j.__va_stk. 60420 000004df 02230003 5f5f7661 5f726567 .....#..__va_reg 60430 00000004 df022304 035f5f76 615f6e64 ......#..__va_nd 60440 78000000 01ea0223 0800065f 5f616466 x......#...__adf 60450 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. 60460 000a8506 6164665f 6f735f64 6d615f61 ....adf_os_dma_a 60470 6464725f 74000000 156a065f 5f616466 ddr_t....j.__adf 60480 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. 60490 000a8506 6164665f 6f735f64 6d615f73 ....adf_os_dma_s 604a0 697a655f 74000000 159a025f 5f646d61 ize_t......__dma 604b0 5f736567 73000800 0015f603 70616464 _segs.......padd 604c0 72000000 15830223 00036c65 6e000000 r......#..len... 604d0 15b30223 0400065f 5f615f75 696e7433 ...#...__a_uint3 604e0 325f7400 00000a85 06615f75 696e7433 2_t......a_uint3 604f0 325f7400 000015f6 07000015 ca080000 2_t............. 60500 16250800 00026164 665f6f73 5f646d61 .%....adf_os_dma 60510 6d61705f 696e666f 000c0000 165e036e map_info.....^.n 60520 73656773 00000016 08022300 03646d61 segs......#..dma 60530 5f736567 73000000 16180223 0400065f _segs......#..._ 60540 5f615f75 696e7438 5f740000 00019e06 _a_uint8_t...... 60550 615f7569 6e74385f 74000000 165e0400 a_uint8_t....^.. 60560 00166f04 00025f5f 73675f73 65677300 ..o...__sg_segs. 60570 08000016 b0037661 64647200 0000167e ......vaddr....~ 60580 02230003 6c656e00 00001608 02230400 .#..len......#.. 60590 07000016 85200000 16bd0803 00026164 ..... ........ad 605a0 665f6f73 5f73676c 69737400 24000016 f_os_sglist.$... 605b0 f0036e73 65677300 00001608 02230003 ..nsegs......#.. 605c0 73675f73 65677300 000016b0 02230400 sg_segs......#.. 605d0 12100000 17390376 656e646f 72000000 .....9.vendor... 605e0 16080223 00036465 76696365 00000016 ...#..device.... 605f0 08022304 03737562 76656e64 6f720000 ..#..subvendor.. 60600 00160802 23080373 75626465 76696365 ....#..subdevice 60610 00000016 0802230c 00056c6f 6e67206c ......#...long l 60620 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 60630 00070806 415f5549 4e543634 00000017 ....A_UINT64.... 60640 39065f5f 615f7569 6e743634 5f740000 9.__a_uint64_t.. 60650 00175306 615f7569 6e743634 5f740000 ..S.a_uint64_t.. 60660 00176114 04000017 bf104144 465f4f53 ..a.......ADF_OS 60670 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M 60680 454d0000 10414446 5f4f535f 5245534f EM...ADF_OS_RESO 60690 55524345 5f545950 455f494f 00010006 URCE_TYPE_IO.... 606a0 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 606b0 74797065 5f740000 00178312 18000018 type_t.......... 606c0 09037374 61727400 00001773 02230003 ..start....s.#.. 606d0 656e6400 00001773 02230803 74797065 end....s.#..type 606e0 00000017 bf022310 00066164 665f6f73 ......#...adf_os 606f0 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... 60700 16f00400 00180904 00110400 00184803 ..............H. 60710 70636900 00001822 02230003 72617700 pci....".#..raw. 60720 0000022f 02230000 11100000 18670370 .../.#.......g.p 60730 63690000 00180902 23000372 61770000 ci......#..raw.. 60740 00022f02 23000006 6164665f 6472765f ../.#...adf_drv_ 60750 68616e64 6c655f74 00000002 2f066164 handle_t..../.ad 60760 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. 60770 000017db 04000018 7d040006 6164665f ........}...adf_ 60780 6f735f61 74746163 685f6461 74615f74 os_attach_data_t 60790 00000018 48040000 189b0400 04000014 ....H........... 607a0 c7040006 5f5f6164 665f6f73 5f646576 ....__adf_os_dev 607b0 6963655f 74000000 18bc0661 64665f6f ice_t......adf_o 607c0 735f6465 76696365 5f740000 0018c30a s_device_t...... 607d0 00001867 01040000 18ef0400 09010400 ...g............ 607e0 0018fc04 00066164 665f6f73 5f706d5f ......adf_os_pm_ 607f0 74000000 022f0901 04000019 16040014 t..../.......... 60800 04000019 56104144 465f4f53 5f425553 ....V.ADF_OS_BUS 60810 5f545950 455f5043 49000110 4144465f _TYPE_PCI...ADF_ 60820 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE 60830 52494300 02000661 64665f6f 735f6275 RIC....adf_os_bu 60840 735f7479 70655f74 00000019 1f066164 s_type_t......ad 60850 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat 60860 615f7400 00001829 04000001 8d040002 a_t....)........ 60870 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 60880 001a3303 6472765f 61747461 63680000 ..3.drv_attach.. 60890 0018f502 23000364 72765f64 65746163 ....#..drv_detac 608a0 68000000 18fe0223 04036472 765f7375 h......#..drv_su 608b0 7370656e 64000000 19180223 08036472 spend......#..dr 608c0 765f7265 73756d65 00000018 fe02230c v_resume......#. 608d0 03627573 5f747970 65000000 19560223 .bus_type....V.# 608e0 10036275 735f6461 74610000 00196d02 ..bus_data....m. 608f0 2314036d 6f645f6e 616d6500 00001988 #..mod_name..... 60900 02231803 69666e61 6d650000 00198802 .#..ifname...... 60910 231c0006 6164665f 6f735f68 616e646c #...adf_os_handl 60920 655f7400 0000022f 04000016 5e040009 e_t..../....^... 60930 01090106 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 60940 655f7400 00000571 14040000 1a821041 e_t....q.......A 60950 5f46414c 53450000 10415f54 52554500 _FALSE...A_TRUE. 60960 01000661 5f626f6f 6c5f7400 00001a68 ...a_bool_t....h 60970 04000014 f0040006 5f5f6164 665f6f73 ........__adf_os 60980 5f646d61 5f6d6170 5f740000 001a9009 _dma_map_t...... 60990 010f6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 609a0 796e6300 0400001b 1a104144 465f5359 ync.......ADF_SY 609b0 4e435f50 52455245 41440000 10414446 NC_PREREAD...ADF 609c0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 609d0 10414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 609e0 41440001 10414446 5f53594e 435f504f AD...ADF_SYNC_PO 609f0 53545752 49544500 03000661 64665f6f STWRITE....adf_o 60a00 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 60a10 001ab109 01066164 665f6f73 5f73697a ......adf_os_siz 60a20 655f7400 00001a53 0a00001b 35010661 e_t....S....5..a 60a30 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 60a40 00001a97 0400001b 4e04000a 0000022f ........N....../ 60a50 01040000 1a970400 0a000002 2f010901 ............/... 60a60 0a000015 83010901 0573686f 72742069 .........short i 60a70 6e740005 0206415f 494e5431 36000000 nt....A_INT16... 60a80 1b88065f 5f615f69 6e743136 5f740000 ...__a_int16_t.. 60a90 001b9506 615f696e 7431365f 74000000 ....a_int16_t... 60aa0 1ba20573 69676e65 64206368 61720005 ...signed char.. 60ab0 0106415f 494e5438 0000001b c2065f5f ..A_INT8......__ 60ac0 615f696e 74385f74 0000001b d106615f a_int8_t......a_ 60ad0 696e7438 5f740000 001bdd12 0c00001c int8_t.......... 60ae0 54037375 70706f72 74656400 00001608 T.supported..... 60af0 02230003 61647665 7274697a 65640000 .#..advertized.. 60b00 00160802 23040373 70656564 0000001b ....#..speed.... 60b10 b3022308 03647570 6c657800 00001bed ..#..duplex..... 60b20 02230a03 6175746f 6e656700 0000166f .#..autoneg....o 60b30 02230b00 07000016 6f060000 1c610805 .#......o....a.. 60b40 00026164 665f6e65 745f6574 68616464 ..adf_net_ethadd 60b50 72000600 001c8503 61646472 0000001c r.......addr.... 60b60 54022300 00065f5f 615f7569 6e743136 T.#...__a_uint16 60b70 5f740000 0001c806 615f7569 6e743136 _t......a_uint16 60b80 5f740000 001c8512 0e00001c e9036574 _t............et 60b90 6865725f 64686f73 74000000 1c540223 her_dhost....T.# 60ba0 00036574 6865725f 73686f73 74000000 ..ether_shost... 60bb0 1c540223 06036574 6865725f 74797065 .T.#..ether_type 60bc0 0000001c 9702230c 00121400 001daa15 ......#......... 60bd0 69705f76 65727369 6f6e0000 00166f01 ip_version....o. 60be0 00040223 00156970 5f686c00 0000166f ...#..ip_hl....o 60bf0 01040402 23000369 705f746f 73000000 ....#..ip_tos... 60c00 166f0223 01036970 5f6c656e 0000001c .o.#..ip_len.... 60c10 97022302 0369705f 69640000 001c9702 ..#..ip_id...... 60c20 23040369 705f6672 61675f6f 66660000 #..ip_frag_off.. 60c30 001c9702 23060369 705f7474 6c000000 ....#..ip_ttl... 60c40 166f0223 08036970 5f70726f 746f0000 .o.#..ip_proto.. 60c50 00166f02 23090369 705f6368 65636b00 ..o.#..ip_check. 60c60 00001c97 02230a03 69705f73 61646472 .....#..ip_saddr 60c70 00000016 0802230c 0369705f 64616464 ......#..ip_dadd 60c80 72000000 16080223 10000261 64665f6e r......#...adf_n 60c90 65745f76 6c616e68 64720004 00001dfc et_vlanhdr...... 60ca0 03747069 64000000 1c970223 00157072 .tpid......#..pr 60cb0 696f0000 00166f01 00030223 02156366 io....o....#..cf 60cc0 69000000 166f0103 01022302 15766964 i....o....#..vid 60cd0 0000001c 9702040c 02230200 02616466 .........#...adf 60ce0 5f6e6574 5f766964 00020000 1e2d1572 _net_vid.....-.r 60cf0 65730000 00166f01 00040223 00157661 es....o....#..va 60d00 6c000000 1c970204 0c022300 00120c00 l.........#..... 60d10 001e6903 72785f62 75667369 7a650000 ..i.rx_bufsize.. 60d20 00160802 23000372 785f6e64 65736300 ....#..rx_ndesc. 60d30 00001608 02230403 74785f6e 64657363 .....#..tx_ndesc 60d40 00000016 08022308 00120800 001e8f03 ......#......... 60d50 706f6c6c 65640000 001a8202 23000370 polled......#..p 60d60 6f6c6c5f 77740000 00160802 23040007 oll_wt......#... 60d70 0000166f 4000001e 9c083f00 12460000 ...o@.....?..F.. 60d80 1ec40369 665f6e61 6d650000 001e8f02 ...if_name...... 60d90 23000364 65765f61 64647200 00001c54 #..dev_addr....T 60da0 02234000 14040000 1efb1041 44465f4f .#@........ADF_O 60db0 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT 60dc0 00001041 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 60dd0 534b5f36 34424954 00010006 6164665f SK_64BIT....adf_ 60de0 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... 60df0 1ec40261 64665f64 6d615f69 6e666f00 ...adf_dma_info. 60e00 0800001f 4803646d 615f6d61 736b0000 ....H.dma_mask.. 60e10 001efb02 23000373 675f6e73 65677300 ....#..sg_nsegs. 60e20 00001608 02230400 14040000 1f9e1041 .....#.........A 60e30 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON 60e40 45000010 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU 60e50 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. 60e60 10414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 60e70 43505f55 44505f49 50763600 02000661 CP_UDP_IPv6....a 60e80 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ 60e90 655f7400 00001f48 12080000 1fe10374 e_t....H.......t 60ea0 785f636b 73756d00 00001f9e 02230003 x_cksum......#.. 60eb0 72785f63 6b73756d 0000001f 9e022304 rx_cksum......#. 60ec0 00066164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 60ed0 696e666f 5f740000 001fb814 04000020 info_t......... 60ee0 3a104144 465f4e45 545f5453 4f5f4e4f :.ADF_NET_TSO_NO 60ef0 4e450000 10414446 5f4e4554 5f54534f NE...ADF_NET_TSO 60f00 5f495056 34000110 4144465f 4e45545f _IPV4...ADF_NET_ 60f10 54534f5f 414c4c00 02000661 64665f6e TSO_ALL....adf_n 60f20 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... 60f30 1ffb1210 0000208e 03636b73 756d5f63 ...... ..cksum_c 60f40 61700000 001fe102 23000374 736f0000 ap......#..tso.. 60f50 00203a02 23080376 6c616e5f 73757070 . :.#..vlan_supp 60f60 6f727465 64000000 166f0223 0c001220 orted....o.#... 60f70 00002127 0374785f 7061636b 65747300 ..!'.tx_packets. 60f80 00001608 02230003 72785f70 61636b65 .....#..rx_packe 60f90 74730000 00160802 23040374 785f6279 ts......#..tx_by 60fa0 74657300 00001608 02230803 72785f62 tes......#..rx_b 60fb0 79746573 00000016 0802230c 0374785f ytes......#..tx_ 60fc0 64726f70 70656400 00001608 02231003 dropped......#.. 60fd0 72785f64 726f7070 65640000 00160802 rx_dropped...... 60fe0 23140372 785f6572 726f7273 00000016 #..rx_errors.... 60ff0 08022318 0374785f 6572726f 72730000 ..#..tx_errors.. 61000 00160802 231c0006 6164665f 6e65745f ....#...adf_net_ 61010 65746861 6464725f 74000000 1c611600 ethaddr_t....a.. 61020 00212703 00000021 4c087f00 17616466 .!'....!L....adf 61030 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. 61040 03040000 2183036e 656c656d 00000016 ....!..nelem.... 61050 08022300 036d6361 73740000 00213e02 ..#..mcast...!>. 61060 23040006 6164665f 6e65745f 636d645f #...adf_net_cmd_ 61070 6c696e6b 5f696e66 6f5f7400 00001bfb link_info_t..... 61080 06616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol 61090 6c5f696e 666f5f74 0000001e 69066164 l_info_t....i.ad 610a0 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ 610b0 696e666f 5f740000 001fe106 6164665f info_t......adf_ 610c0 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf 610d0 6f5f7400 00001e2d 06616466 5f6e6574 o_t....-.adf_net 610e0 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. 610f0 00001f12 06616466 5f6e6574 5f636d64 .....adf_net_cmd 61100 5f766964 5f740000 001c9706 6164665f _vid_t......adf_ 61110 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ 61120 6361705f 74000000 20520661 64665f6e cap_t... R.adf_n 61130 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. 61140 00208e06 6164665f 6e65745f 636d645f . ..adf_net_cmd_ 61150 6d636164 64725f74 00000021 4c0f6164 mcaddr_t...!L.ad 61160 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 61170 63617000 04000022 c5104144 465f4e45 cap...."..ADF_NE 61180 545f4d43 4153545f 53555000 00104144 T_MCAST_SUP...AD 61190 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS 611a0 55500001 00066164 665f6e65 745f636d UP....adf_net_cm 611b0 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... 611c0 227d1803 04000023 97036c69 6e6b5f69 "}.....#..link_i 611d0 6e666f00 00002183 02230003 706f6c6c nfo...!..#..poll 611e0 5f696e66 6f000000 21a00223 0003636b _info...!..#..ck 611f0 73756d5f 696e666f 00000021 bd022300 sum_info...!..#. 61200 0372696e 675f696e 666f0000 0021db02 .ring_info...!.. 61210 23000364 6d615f69 6e666f00 000021f8 #..dma_info...!. 61220 02230003 76696400 00002214 02230003 .#..vid..."..#.. 61230 6f66666c 6f61645f 63617000 0000222b offload_cap..."+ 61240 02230003 73746174 73000000 224a0223 .#..stats..."J.# 61250 00036d63 6173745f 696e666f 00000022 ..mcast_info..." 61260 63022300 036d6361 73745f63 61700000 c.#..mcast_cap.. 61270 0022c502 23000014 04000023 ee104144 ."..#......#..AD 61280 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 61290 4e4f4e45 00001041 44465f4e 4255465f NONE...ADF_NBUF_ 612a0 52585f43 4b53554d 5f485700 01104144 RX_CKSUM_HW...AD 612b0 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 612c0 554e4e45 43455353 41525900 02000661 UNNECESSARY....a 612d0 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 612e0 5f747970 655f7400 00002397 12080000 _type_t...#..... 612f0 242e0372 6573756c 74000000 23ee0223 $..result...#..# 61300 00037661 6c000000 16080223 04001208 ..val......#.... 61310 0000245e 03747970 65000000 203a0223 ..$^.type... :.# 61320 00036d73 73000000 1c970223 04036864 ..mss......#..hd 61330 725f6f66 66000000 166f0223 0600025f r_off....o.#..._ 61340 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. 61350 0c000024 9d036865 61640000 00142802 ...$..head....(. 61360 23000374 61696c00 00001428 02230403 #..tail....(.#.. 61370 716c656e 00000016 08022308 00065f5f qlen......#...__ 61380 6164665f 6e627566 5f740000 00142804 adf_nbuf_t....(. 61390 0000167e 04000400 00160804 0009010a ...~............ 613a0 00000205 010a0000 1608010a 0000167e ...............~ 613b0 010a0000 167e0104 000001d6 0400065f .....~........._ 613c0 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ 613d0 74000000 245e065f 5f616466 5f6e6275 t...$^.__adf_nbu 613e0 665f7175 6575655f 74000000 24de0400 f_queue_t...$... 613f0 0024f604 000a0000 249d010a 0000249d .$......$.....$. 61400 01140400 00261610 415f5354 41545553 .....&..A_STATUS 61410 5f4f4b00 0010415f 53544154 55535f46 _OK...A_STATUS_F 61420 41494c45 44000110 415f5354 41545553 AILED...A_STATUS 61430 5f454e4f 454e5400 0210415f 53544154 _ENOENT...A_STAT 61440 55535f45 4e4f4d45 4d000310 415f5354 US_ENOMEM...A_ST 61450 41545553 5f45494e 56414c00 0410415f ATUS_EINVAL...A_ 61460 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE 61470 53530005 10415f53 54415455 535f454e SS...A_STATUS_EN 61480 4f545355 50500006 10415f53 54415455 OTSUPP...A_STATU 61490 535f4542 55535900 0710415f 53544154 S_EBUSY...A_STAT 614a0 55535f45 32424947 00081041 5f535441 US_E2BIG...A_STA 614b0 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI 614c0 4c000910 415f5354 41545553 5f454e58 L...A_STATUS_ENX 614d0 494f000a 10415f53 54415455 535f4546 IO...A_STATUS_EF 614e0 41554c54 000b1041 5f535441 5455535f AULT...A_STATUS_ 614f0 45494f00 0c000661 5f737461 7475735f EIO....a_status_ 61500 74000000 25210a00 00261601 0a000001 t...%!...&...... 61510 ea010901 06616466 5f6e6275 665f7400 .....adf_nbuf_t. 61520 0000249d 14040000 267b1041 44465f4f ..$.....&{.ADF_O 61530 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. 61540 00104144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO 61550 4d5f4445 56494345 00010006 6164665f M_DEVICE....adf_ 61560 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& 61570 440a0000 26160109 01066164 665f6f73 D...&.....adf_os 61580 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. 61590 00162504 00002699 04000901 09010a00 ..%...&......... 615a0 00263401 0a000024 9d010901 09010a00 .&4....$........ 615b0 00263401 0a000024 9d010a00 00263401 .&4....$.....&4. 615c0 0a000024 9d010a00 00263401 09010901 ...$.....&4..... 615d0 0a000016 08010a00 00167e01 09010901 ..........~..... 615e0 0a00001b 35010a00 001a8201 0a00001a ....5........... 615f0 82010661 64665f6f 735f7367 6c697374 ...adf_os_sglist 61600 5f740000 0016bd04 00002712 04000901 _t........'..... 61610 09010901 0a000016 7e010661 64665f6e ........~..adf_n 61620 6275665f 71756575 655f7400 000024f6 buf_queue_t...$. 61630 04000027 3a040009 01040000 24de0400 ...':.......$... 61640 09010901 09010a00 00263401 0a000024 .........&4....$ 61650 9d010a00 00160801 0a000016 08010a00 ................ 61660 001a8201 0a00001a 82010a00 001f9e01 ................ 61670 0a000016 08010661 64665f6e 6275665f .......adf_nbuf_ 61680 72785f63 6b73756d 5f740000 00240c04 rx_cksum_t...$.. 61690 00002796 04000901 09010661 64665f6e ..'........adf_n 616a0 6275665f 74736f5f 74000000 242e0400 buf_tso_t...$... 616b0 0027ba04 00090109 01066164 665f6e65 .'........adf_ne 616c0 745f6861 6e646c65 5f740000 00022f06 t_handle_t..../. 616d0 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ 616e0 74000000 1daa0400 0027ef04 000a0000 t........'...... 616f0 2616010a 00002616 01090109 01025f48 &.....&......._H 61700 49465f43 4f4e4649 47000400 00283e03 IF_CONFIG....(>. 61710 64756d6d 79000000 01ea0223 00000901 dummy......#.... 61720 04000028 3e040009 01040000 28470400 ...(>.......(G.. 61730 025f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. 61740 0000289c 0373656e 645f6275 665f646f ..(..send_buf_do 61750 6e650000 00284002 23000372 6563765f ne...(@.#..recv_ 61760 62756600 00002849 02230403 636f6e74 buf...(I.#..cont 61770 65787400 0000022f 02230800 06686966 ext..../.#...hif 61780 5f68616e 646c655f 74000000 022f0648 _handle_t..../.H 61790 49465f43 4f4e4649 47000000 281d0400 IF_CONFIG...(... 617a0 0028ae04 000a0000 289c0104 000028c5 .(......(.....(. 617b0 04000901 04000028 d2040006 4849465f .......(....HIF_ 617c0 43414c4c 4241434b 00000028 50040000 CALLBACK...(P... 617d0 28db0400 09010400 0028f404 000a0000 (........(...... 617e0 01ea0104 000028fd 04000901 04000029 ......(........) 617f0 0a04000a 000001ea 01040000 29130400 ............)... 61800 09010400 00292004 000a0000 01ea0104 .....) ......... 61810 00002929 04000901 04000029 36040002 ..)).......)6... 61820 6869665f 61706900 3800002a 8f035f69 hif_api.8..*.._i 61830 6e697400 000028cb 02230003 5f736875 nit...(..#.._shu 61840 74646f77 6e000000 28d40223 04035f72 tdown...(..#.._r 61850 65676973 7465725f 63616c6c 6261636b egister_callback 61860 00000028 f6022308 035f6765 745f746f ...(..#.._get_to 61870 74616c5f 63726564 69745f63 6f756e74 tal_credit_count 61880 00000029 0302230c 035f7374 61727400 ...)..#.._start. 61890 000028d4 02231003 5f636f6e 6669675f ..(..#.._config_ 618a0 70697065 00000029 0c022314 035f7365 pipe...)..#.._se 618b0 6e645f62 75666665 72000000 29190223 nd_buffer...)..# 618c0 18035f72 65747572 6e5f7265 63765f62 .._return_recv_b 618d0 75660000 00292202 231c035f 69735f70 uf...)".#.._is_p 618e0 6970655f 73757070 6f727465 64000000 ipe_supported... 618f0 292f0223 20035f67 65745f6d 61785f6d )/.# ._get_max_m 61900 73675f6c 656e0000 00292f02 2324035f sg_len...)/.#$._ 61910 6765745f 72657365 72766564 5f686561 get_reserved_hea 61920 64726f6f 6d000000 29030223 28035f69 droom...)..#(._i 61930 73725f68 616e646c 65720000 0028d402 sr_handler...(.. 61940 232c035f 6765745f 64656661 756c745f #,._get_default_ 61950 70697065 00000029 38022330 03705265 pipe...)8.#0.pRe 61960 73657276 65640000 00022f02 2334000f served..../.#4.. 61970 646d615f 656e6769 6e650004 00002b18 dma_engine....+. 61980 10444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. 61990 0010444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 619a0 00011044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 619b0 32000210 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R 619c0 58330003 10444d41 5f454e47 494e455f X3...DMA_ENGINE_ 619d0 54583000 0410444d 415f454e 47494e45 TX0...DMA_ENGINE 619e0 5f545831 00051044 4d415f45 4e47494e _TX1...DMA_ENGIN 619f0 455f4d41 58000600 06646d61 5f656e67 E_MAX....dma_eng 61a00 696e655f 74000000 2a8f0f64 6d615f69 ine_t...*..dma_i 61a10 66747970 65000400 002b6510 444d415f ftype....+e.DMA_ 61a20 49465f47 4d414300 0010444d 415f4946 IF_GMAC...DMA_IF 61a30 5f504349 00011044 4d415f49 465f5043 _PCI...DMA_IF_PC 61a40 49450002 0006646d 615f6966 74797065 IE....dma_iftype 61a50 5f740000 002b2a0a 000001c8 01040000 _t...+*......... 61a60 2b770400 09010400 002b8404 00090104 +w.......+...... 61a70 00002b8d 04000a00 000a8501 0400002b ..+............+ 61a80 9604000a 000001c8 01040000 2ba30400 ............+... 61a90 0a000001 c8010400 002bb004 000a0000 .........+...... 61aa0 14280104 00002bbd 04000901 0400002b .(....+........+ 61ab0 ca040002 646d615f 6c69625f 61706900 ....dma_lib_api. 61ac0 3400002c d1037478 5f696e69 74000000 4..,..tx_init... 61ad0 2b7d0223 00037478 5f737461 72740000 +}.#..tx_start.. 61ae0 002b8602 23040372 785f696e 69740000 .+..#..rx_init.. 61af0 002b7d02 23080372 785f636f 6e666967 .+}.#..rx_config 61b00 0000002b 8f02230c 0372785f 73746172 ...+..#..rx_star 61b10 74000000 2b860223 1003696e 74725f73 t...+..#..intr_s 61b20 74617475 73000000 2b9c0223 14036861 tatus...+..#..ha 61b30 72645f78 6d697400 00002ba9 02231803 rd_xmit...+..#.. 61b40 666c7573 685f786d 69740000 002b8602 flush_xmit...+.. 61b50 231c0378 6d69745f 646f6e65 0000002b #..xmit_done...+ 61b60 b6022320 03726561 705f786d 69747465 ..# .reap_xmitte 61b70 64000000 2bc30223 24037265 61705f72 d...+..#$.reap_r 61b80 65637600 00002bc3 02232803 72657475 ecv...+..#(.retu 61b90 726e5f72 65637600 00002bcc 02232c03 rn_recv...+..#,. 61ba0 72656376 5f706b74 0000002b b6022330 recv_pkt...+..#0 61bb0 00025f5f 7063695f 736f6674 63000c00 ..__pci_softc... 61bc0 002cef03 73770000 0028db02 23000006 .,..sw...(..#... 61bd0 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... 61be0 2cd10400 002cef04 00090104 00002d09 ,....,........-. 61bf0 04000a00 00019e01 0400002d 1204000f ...........-.... 61c00 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. 61c10 0400002d 72104849 465f5043 495f5049 ...-r.HIF_PCI_PI 61c20 50455f54 58300000 10484946 5f504349 PE_TX0...HIF_PCI 61c30 5f504950 455f5458 31000110 4849465f _PIPE_TX1...HIF_ 61c40 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. 61c50 02000668 69665f70 63695f70 6970655f ...hif_pci_pipe_ 61c60 74785f74 0000002d 1f0a0000 2b180104 tx_t...-....+... 61c70 00002d89 04000f68 69665f70 63695f70 ..-....hif_pci_p 61c80 6970655f 72780004 00002e0f 10484946 ipe_rx.......HIF 61c90 5f504349 5f504950 455f5258 30000010 _PCI_PIPE_RX0... 61ca0 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 61cb0 00011048 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 61cc0 52583200 02104849 465f5043 495f5049 RX2...HIF_PCI_PI 61cd0 50455f52 58330003 10484946 5f504349 PE_RX3...HIF_PCI 61ce0 5f504950 455f5258 5f4d4158 00040006 _PIPE_RX_MAX.... 61cf0 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ 61d00 74000000 2d960a00 002b1801 0400002e t...-....+...... 61d10 26040002 6869665f 7063695f 61706900 &...hif_pci_api. 61d20 2400002f 04037063 695f626f 6f745f69 $../..pci_boot_i 61d30 6e697400 000002a3 02230003 7063695f nit......#..pci_ 61d40 696e6974 00000028 cb022304 03706369 init...(..#..pci 61d50 5f726573 65740000 0002a302 23080370 _reset......#..p 61d60 63695f65 6e61626c 65000000 02a30223 ci_enable......# 61d70 0c037063 695f7265 61705f78 6d697474 ..pci_reap_xmitt 61d80 65640000 002d0b02 23100370 63695f72 ed...-..#..pci_r 61d90 6561705f 72656376 0000002d 0b022314 eap_recv...-..#. 61da0 03706369 5f676574 5f706970 65000000 .pci_get_pipe... 61db0 2d180223 18037063 695f6765 745f7478 -..#..pci_get_tx 61dc0 5f656e67 0000002d 8f02231c 03706369 _eng...-..#..pci 61dd0 5f676574 5f72785f 656e6700 00002e2c _get_rx_eng...., 61de0 02232000 02676d61 635f6170 69000400 .# ..gmac_api... 61df0 002f2b03 676d6163 5f626f6f 745f696e ./+.gmac_boot_in 61e00 69740000 0002a302 23000007 0000018d it......#....... 61e10 0600002f 38080500 025f5f65 74686864 .../8....__ethhd 61e20 72000e00 002f6e03 64737400 00002f2b r..../n.dst.../+ 61e30 02230003 73726300 00002f2b 02230603 .#..src.../+.#.. 61e40 65747970 65000000 01c80223 0c00025f etype......#..._ 61e50 5f617468 68647200 0400002f bc157265 _athhdr..../..re 61e60 73000000 019e0100 02022300 1570726f s.........#..pro 61e70 746f0000 00019e01 02060223 00037265 to.........#..re 61e80 735f6c6f 00000001 9e022301 03726573 s_lo......#..res 61e90 5f686900 000001c8 02230200 025f5f67 _hi......#...__g 61ea0 6d61635f 68647200 1400002f f8036574 mac_hdr..../..et 61eb0 68000000 2f380223 00036174 68000000 h.../8.#..ath... 61ec0 2f6e0223 0e03616c 69676e5f 70616400 /n.#..align_pad. 61ed0 000001c8 02231200 065f5f67 6d61635f .....#...__gmac_ 61ee0 6864725f 74000000 2fbc025f 5f676d61 hdr_t.../..__gma 61ef0 635f736f 66746300 24000030 42036864 c_softc.$..0B.hd 61f00 72000000 2ff80223 00036772 616e0000 r.../..#..gran.. 61f10 0001c802 23140373 77000000 28db0223 ....#..sw...(..# 61f20 18000e5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage 61f30 5f636865 636b0000 01040000 30420400 _check......0B.. 61f40 0a000001 ea010400 00306004 00040000 .........0`..... 61f50 05710400 175f415f 636d6e6f 735f696e .q..._A_cmnos_in 61f60 64697265 6374696f 6e5f7461 626c6500 direction_table. 61f70 01b80000 31b00368 616c5f6c 696e6b61 ....1..hal_linka 61f80 67655f63 6865636b 00000030 66022300 ge_check...0f.#. 61f90 03737461 72745f62 73730000 00306d02 .start_bss...0m. 61fa0 23040361 70705f73 74617274 00000002 #..app_start.... 61fb0 a3022308 036d656d 00000005 b102230c ..#..mem......#. 61fc0 036d6973 63000000 06d00223 20037072 .misc......# .pr 61fd0 696e7466 00000002 d0022344 03756172 intf......#D.uar 61fe0 74000000 03830223 4c03676d 61630000 t......#L.gmac.. 61ff0 002f0402 236c0375 73620000 0010f602 ./..#l.usb...... 62000 23700363 6c6f636b 0000000c 3f0323e0 #p.clock....?.#. 62010 01037469 6d657200 000008e8 03238402 ..timer......#.. 62020 03696e74 72000000 0d8f0323 98020361 .intr......#...a 62030 6c6c6f63 72616d00 00000aa0 0323c402 llocram......#.. 62040 03726f6d 70000000 09920323 d0020377 .romp......#...w 62050 64745f74 696d6572 0000000f 6c0323e0 dt_timer....l.#. 62060 02036565 70000000 109a0323 fc020373 ..eep......#...s 62070 7472696e 67000000 07f40323 8c030374 tring......#...t 62080 61736b6c 65740000 000b9d03 23a40300 asklet......#... 62090 025f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI 620a0 47001000 00322303 6765745f 636f6d6d G....2#.get_comm 620b0 616e645f 62756600 00001435 02230003 and_buf....5.#.. 620c0 72656376 5f636f6d 6d616e64 00000014 recv_command.... 620d0 4b022304 03676574 5f657665 6e745f62 K.#..get_event_b 620e0 75660000 00143502 23080373 656e645f uf....5.#..send_ 620f0 6576656e 745f646f 6e650000 00144b02 event_done....K. 62100 230c0006 5553425f 4649464f 5f434f4e #...USB_FIFO_CON 62110 46494700 000031b0 04000032 23040009 FIG...1....2#... 62120 01040000 323f0400 02757362 6669666f ....2?...usbfifo 62130 5f617069 000c0000 3295035f 696e6974 _api....2.._init 62140 00000032 41022300 035f656e 61626c65 ...2A.#.._enable 62150 5f657665 6e745f69 73720000 0002a302 _event_isr...... 62160 23040370 52657365 72766564 00000002 #..pReserved.... 62170 2f022308 00070000 166f0200 0032a208 /.#......o...2.. 62180 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD 62190 52000800 00331403 456e6470 6f696e74 R....3..Endpoint 621a0 49440000 00166f02 23000346 6c616773 ID....o.#..Flags 621b0 00000016 6f022301 03506179 6c6f6164 ....o.#..Payload 621c0 4c656e00 00001c97 02230203 436f6e74 Len......#..Cont 621d0 726f6c42 79746573 00000032 95022304 rolBytes...2..#. 621e0 03486f73 74536571 4e756d00 00001c97 .HostSeqNum..... 621f0 02230600 12020000 332d034d 65737361 .#......3-.Messa 62200 67654944 0000001c 97022300 00120800 geID......#..... 62210 00339003 4d657373 61676549 44000000 .3..MessageID... 62220 1c970223 00034372 65646974 436f756e ...#..CreditCoun 62230 74000000 1c970223 02034372 65646974 t......#..Credit 62240 53697a65 0000001c 97022304 034d6178 Size......#..Max 62250 456e6470 6f696e74 73000000 166f0223 Endpoints....o.# 62260 06035f50 61643100 0000166f 02230700 .._Pad1....o.#.. 62270 120a0000 3427034d 65737361 67654944 ....4'.MessageID 62280 0000001c 97022300 03536572 76696365 ......#..Service 62290 49440000 001c9702 23020343 6f6e6e65 ID......#..Conne 622a0 6374696f 6e466c61 67730000 001c9702 ctionFlags...... 622b0 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI 622c0 44000000 166f0223 06035570 4c696e6b D....o.#..UpLink 622d0 50697065 49440000 00166f02 23070353 PipeID....o.#..S 622e0 65727669 63654d65 74614c65 6e677468 erviceMetaLength 622f0 00000016 6f022308 035f5061 64310000 ....o.#.._Pad1.. 62300 00166f02 23090012 0a000034 af034d65 ..o.#......4..Me 62310 73736167 65494400 00001c97 02230003 ssageID......#.. 62320 53657276 69636549 44000000 1c970223 ServiceID......# 62330 02035374 61747573 00000016 6f022304 ..Status....o.#. 62340 03456e64 706f696e 74494400 0000166f .EndpointID....o 62350 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. 62360 001c9702 23060353 65727669 63654d65 ....#..ServiceMe 62370 74614c65 6e677468 00000016 6f022308 taLength....o.#. 62380 035f5061 64310000 00166f02 23090012 ._Pad1....o.#... 62390 02000034 c8034d65 73736167 65494400 ...4..MessageID. 623a0 00001c97 02230000 12040000 3504034d .....#......5..M 623b0 65737361 67654944 0000001c 97022300 essageID......#. 623c0 03506970 65494400 0000166f 02230203 .PipeID....o.#.. 623d0 43726564 6974436f 756e7400 0000166f CreditCount....o 623e0 02230300 12040000 353b034d 65737361 .#......5;.Messa 623f0 67654944 0000001c 97022300 03506970 geID......#..Pip 62400 65494400 0000166f 02230203 53746174 eID....o.#..Stat 62410 75730000 00166f02 23030012 02000035 us....o.#......5 62420 62035265 636f7264 49440000 00166f02 b.RecordID....o. 62430 2300034c 656e6774 68000000 166f0223 #..Length....o.# 62440 01001202 0000358c 03456e64 706f696e ......5..Endpoin 62450 74494400 0000166f 02230003 43726564 tID....o.#..Cred 62460 69747300 0000166f 02230100 12040000 its....o.#...... 62470 35cd0345 6e64706f 696e7449 44000000 5..EndpointID... 62480 166f0223 00034372 65646974 73000000 .o.#..Credits... 62490 166f0223 01035467 74437265 64697453 .o.#..TgtCreditS 624a0 65714e6f 0000001c 97022302 00070000 eqNo......#..... 624b0 166f0400 0035da08 03001206 00003616 .o...5........6. 624c0 03507265 56616c69 64000000 166f0223 .PreValid....o.# 624d0 00034c6f 6f6b4168 65616400 000035cd ..LookAhead...5. 624e0 02230103 506f7374 56616c69 64000000 .#..PostValid... 624f0 166f0223 05000670 6f6f6c5f 68616e64 .o.#...pool_hand 62500 6c655f74 00000002 2f0a0000 36160104 le_t..../...6... 62510 00003629 04000901 04000036 36040014 ..6).......66... 62520 04000036 b410504f 4f4c5f49 445f4854 ...6..POOL_ID_HT 62530 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL 62540 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ 62550 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ 62560 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... 62570 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ 62580 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA 62590 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID 625a0 00000036 3f090104 000036c5 04000a00 ...6?.....6..... 625b0 00263401 04000036 ce04000a 00002634 .&4....6......&4 625c0 01040000 36db0400 09010400 0036e804 ....6........6.. 625d0 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. 625e0 0000378a 035f696e 69740000 00362f02 ..7.._init...6/. 625f0 2300035f 73687574 646f776e 00000036 #.._shutdown...6 62600 38022304 035f6372 65617465 5f706f6f 8.#.._create_poo 62610 6c000000 36c70223 08035f61 6c6c6f63 l...6..#.._alloc 62620 5f627566 00000036 d402230c 035f616c _buf...6..#.._al 62630 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... 62640 36e10223 10035f66 7265655f 62756600 6..#.._free_buf. 62650 000036ea 02231403 70526573 65727665 ..6..#..pReserve 62660 64000000 022f0223 1800025f 4854435f d..../.#..._HTC_ 62670 53455256 49434500 1c000038 6903704e SERVICE....8i.pN 62680 65787400 00003869 02230003 50726f63 ext...8i.#..Proc 62690 65737352 6563764d 73670000 00391e02 essRecvMsg...9.. 626a0 23040350 726f6365 73735365 6e644275 #..ProcessSendBu 626b0 66666572 436f6d70 6c657465 00000039 fferComplete...9 626c0 27022308 0350726f 63657373 436f6e6e '.#..ProcessConn 626d0 65637400 0000393b 02230c03 53657276 ect...9;.#..Serv 626e0 69636549 44000000 01c80223 10035365 iceID......#..Se 626f0 72766963 65466c61 67730000 0001c802 rviceFlags...... 62700 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize 62710 00000001 c8022314 03547261 696c6572 ......#..Trailer 62720 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... 62730 01c80223 16035365 72766963 65437478 ...#..ServiceCtx 62740 00000002 2f022318 00040000 378a0400 ..../.#.....7... 62750 14040000 39071945 4e44504f 494e545f ....9..ENDPOINT_ 62760 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP 62770 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT 62780 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... 62790 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP 627a0 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT 627b0 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... 627c0 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP 627d0 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT 627e0 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP 627f0 4f494e54 5f494400 00003870 09010400 OINT_ID...8p.... 62800 00391c04 00090104 00003925 04000400 .9........9%.... 62810 0001ea04 000a0000 019e0104 00003935 ..............95 62820 04000400 00378a04 00025f48 54435f43 .....7...._HTC_C 62830 4f4e4649 47001400 0039ba03 43726564 ONFIG....9..Cred 62840 69745369 7a650000 0001ea02 23000343 itSize......#..C 62850 72656469 744e756d 62657200 000001ea reditNumber..... 62860 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... 62870 33022308 03484946 48616e64 6c650000 3.#..HIFHandle.. 62880 00289c02 230c0350 6f6f6c48 616e646c .(..#..PoolHandl 62890 65000000 36160223 1000025f 4854435f e...6..#..._HTC_ 628a0 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 628b0 f603656e 645f706f 696e7400 0000019e ..end_point..... 628c0 02230003 6874635f 666c6167 73000000 .#..htc_flags... 628d0 019e0223 01000668 74635f68 616e646c ...#...htc_handl 628e0 655f7400 0000022f 06485443 5f534554 e_t..../.HTC_SET 628f0 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. 62900 0002a306 4854435f 434f4e46 49470000 ....HTC_CONFIG.. 62910 00394904 00003a23 04000a00 0039f601 .9I...:#.....9.. 62920 0400003a 3a040009 01040000 3a470400 ...::.......:G.. 62930 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 62940 8a040000 3a500400 09010400 003a6804 ....:P.......:h. 62950 00090104 00003a71 04000901 0400003a ......:q.......: 62960 7a04000a 000001ea 01040000 3a830400 z...........:... 62970 02687463 5f617069 73003400 003c0003 .htc_apis.4..<.. 62980 5f485443 5f496e69 74000000 3a400223 _HTC_Init...:@.# 62990 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. 629a0 00003a49 02230403 5f485443 5f526567 ..:I.#.._HTC_Reg 629b0 69737465 72536572 76696365 0000003a isterService...: 629c0 6a022308 035f4854 435f5265 61647900 j.#.._HTC_Ready. 629d0 00003a49 02230c03 5f485443 5f526574 ..:I.#.._HTC_Ret 629e0 75726e42 75666665 72730000 003a7302 urnBuffers...:s. 629f0 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu 62a00 66666572 734c6973 74000000 3a7c0223 ffersList...:|.# 62a10 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. 62a20 003a7302 2318035f 4854435f 47657452 .:s.#.._HTC_GetR 62a30 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. 62a40 00003a89 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg 62a50 52656376 48616e64 6c657200 00002849 RecvHandler...(I 62a60 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon 62a70 6548616e 646c6572 00000028 40022324 eHandler...(@.#$ 62a80 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 62a90 50726f63 6573734d 73670000 00391e02 ProcessMsg...9.. 62aa0 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS 62ab0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom 62ac0 706c6574 65000000 39270223 2c037052 plete...9'.#,.pR 62ad0 65736572 76656400 0000022f 02233000 eserved..../.#0. 62ae0 02686f73 745f6170 705f6172 65615f73 .host_app_area_s 62af0 00040000 3c300377 6d695f70 726f746f ....<0.wmi_proto 62b00 636f6c5f 76657200 00001608 02230000 col_ver......#.. 62b10 120e0000 3c670364 73744d61 63000000 ....c 62cf0 03487463 48616e64 6c650000 0039f602 .HtcHandle...9.. 62d00 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... 62d10 36160223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl 62d20 79457674 73000000 01ea0223 08034d61 yEvts......#..Ma 62d30 78457665 6e744576 74730000 0001ea02 xEventEvts...... 62d40 230c0009 01040000 3e630400 06574d49 #.......>c...WMI 62d50 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> 62d60 65025f57 4d495f44 49535041 5443485f e._WMI_DISPATCH_ 62d70 454e5452 59000800 003ecc03 70436d64 ENTRY....>..pCmd 62d80 48616e64 6c657200 00003e6c 02230003 Handler...>l.#.. 62d90 436d6449 44000000 01c80223 0403466c CmdID......#..Fl 62da0 61677300 000001c8 02230600 025f574d ags......#..._WM 62db0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 62dc0 00100000 3f2d0370 4e657874 0000003f ....?-.pNext...? 62dd0 2d022300 0370436f 6e746578 74000000 -.#..pContext... 62de0 022f0223 04034e75 6d626572 4f66456e ./.#..NumberOfEn 62df0 74726965 73000000 01ea0223 08037054 tries......#..pT 62e00 61626c65 0000003f 4c02230c 00040000 able...?L.#..... 62e10 3ecc0400 06574d49 5f444953 50415443 >....WMI_DISPATC 62e20 485f454e 54525900 00003e81 0400003f H_ENTRY...>....? 62e30 34040004 00003ecc 04000648 54435f42 4.....>....HTC_B 62e40 55465f43 4f4e5445 58540000 0039ba0f UF_CONTEXT...9.. 62e50 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... 62e60 003fe419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS 62e70 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ 62e80 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV 62e90 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL 62ea0 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... 62eb0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA 62ec0 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA 62ed0 53530000 003f6f02 5f574d49 5f425546 SS...?o._WMI_BUF 62ee0 5f434f4e 54455854 000c0000 40420348 _CONTEXT....@B.H 62ef0 74634275 66437478 0000003f 5a022300 tcBufCtx...?Z.#. 62f00 03457665 6e74436c 61737300 00003fe4 .EventClass...?. 62f10 02230403 466c6167 73000000 01c80223 .#..Flags......# 62f20 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. 62f30 0000022f 06574d49 5f535643 5f434f4e .../.WMI_SVC_CON 62f40 46494700 00003dfa 04000040 5404000a FIG...=....@T... 62f50 00004042 01040000 406f0400 06574d49 ..@B....@o...WMI 62f60 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 62f70 00003ecc 04000040 7c040009 01040000 ..>....@|....... 62f80 409b0400 0a000026 34010400 0040a404 @......&4....@.. 62f90 00090104 000040b1 04000a00 0001ea01 ......@......... 62fa0 04000040 ba040009 01040000 40c70400 ...@........@... 62fb0 0a000001 9e010400 0040d004 00025f77 .........@...._w 62fc0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B 62fd0 18035f57 4d495f49 6e697400 00004075 .._WMI_Init...@u 62fe0 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe 62ff0 72446973 70617463 68546162 6c650000 rDispatchTable.. 63000 00409d02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo 63010 63457665 6e740000 0040aa02 2308035f cEvent...@..#.._ 63020 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... 63030 40b30223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe 63040 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount 63050 00000040 c0022310 035f574d 495f5365 ...@..#.._WMI_Se 63060 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle 63070 72000000 39270223 14035f57 4d495f47 r...9'.#.._WMI_G 63080 6574436f 6e74726f 6c457000 000040c0 etControlEp...@. 63090 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow 630a0 6e000000 40c90223 1c035f57 4d495f52 n...@..#.._WMI_R 630b0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle 630c0 72000000 391e0223 20035f57 4d495f53 r...9..# ._WMI_S 630d0 65727669 6365436f 6e6e6563 74000000 erviceConnect... 630e0 40d60223 24037052 65736572 76656400 @..#$.pReserved. 630f0 0000022f 02232800 027a7344 6d614465 .../.#(..zsDmaDe 63100 73630014 0000429a 03637472 6c000000 sc....B..ctrl... 63110 01b20223 00037374 61747573 00000001 ...#..status.... 63120 b2022302 03746f74 616c4c65 6e000000 ..#..totalLen... 63130 01b20223 04036461 74615369 7a650000 ...#..dataSize.. 63140 0001b202 2306036c 61737441 64647200 ....#..lastAddr. 63150 0000429a 02230803 64617461 41646472 ..B..#..dataAddr 63160 00000003 1502230c 036e6578 74416464 ......#..nextAdd 63170 72000000 429a0223 10000400 00421804 r...B..#.....B.. 63180 00040000 42180400 027a7344 6d615175 ....B....zsDmaQu 63190 65756500 08000042 da036865 61640000 eue....B..head.. 631a0 0042a102 23000374 65726d69 6e61746f .B..#..terminato 631b0 72000000 42a10223 0400027a 73547844 r...B..#...zsTxD 631c0 6d615175 65756500 10000043 3e036865 maQueue....C>.he 631d0 61640000 0042a102 23000374 65726d69 ad...B..#..termi 631e0 6e61746f 72000000 42a10223 0403786d nator...B..#..xm 631f0 69746564 5f627566 5f686561 64000000 ited_buf_head... 63200 14280223 0803786d 69746564 5f627566 .(.#..xmited_buf 63210 5f746169 6c000000 14280223 0c000901 _tail....(.#.... 63220 04000043 3e040004 000042a8 04000901 ...C>.....B..... 63230 04000043 4e040004 000042da 04000901 ...CN.....B..... 63240 04000043 5e040009 01040000 43670400 ...C^.......Cg.. 63250 09010400 00437004 000a0000 14280104 .....Cp......(.. 63260 00004379 04000901 04000043 8604000a ..Cy.......C.... 63270 00001428 01040000 438f0400 09010400 ...(....C....... 63280 00439c04 000a0000 01ea0104 000043a5 .C............C. 63290 04000a00 0042a101 04000043 b2040009 .....B.....C.... 632a0 01040000 43bf0400 02646d61 5f656e67 ....C....dma_eng 632b0 696e655f 61706900 40000045 35035f69 ine_api.@..E5._i 632c0 6e697400 00004340 02230003 5f696e69 nit...C@.#.._ini 632d0 745f7278 5f717565 75650000 00435002 t_rx_queue...CP. 632e0 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu 632f0 65000000 43600223 08035f63 6f6e6669 e...C`.#.._confi 63300 675f7278 5f717565 75650000 00436902 g_rx_queue...Ci. 63310 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C 63320 72022310 035f666c 7573685f 786d6974 r.#.._flush_xmit 63330 00000043 50022314 035f7265 61705f72 ...CP.#.._reap_r 63340 6563765f 62756600 0000437f 02231803 ecv_buf...C..#.. 63350 5f726574 75726e5f 72656376 5f627566 _return_recv_buf 63360 00000043 8802231c 035f7265 61705f78 ...C..#.._reap_x 63370 6d697465 645f6275 66000000 43950223 mited_buf...C..# 63380 20035f73 7761705f 64617461 00000043 ._swap_data...C 63390 9e022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ 633a0 7061636b 65747300 000043ab 02232803 packets...C..#(. 633b0 5f646573 635f6475 6d700000 00435002 _desc_dump...CP. 633c0 232c035f 6765745f 7061636b 65740000 #,._get_packet.. 633d0 0043b802 2330035f 7265636c 61696d5f .C..#0._reclaim_ 633e0 7061636b 65740000 0043c102 2334035f packet...C..#4._ 633f0 7075745f 7061636b 65740000 0043c102 put_packet...C.. 63400 23380370 52657365 72766564 00000002 #8.pReserved.... 63410 2f02233c 00065f41 5f636d6e 6f735f69 /.#<.._A_cmnos_i 63420 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 63430 5f740000 00307406 574d495f 5356435f _t...0t.WMI_SVC_ 63440 41504953 00000040 dd175f41 5f6d6167 APIS...@.._A_mag 63450 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 63460 7461626c 6500034c 00004663 03636d6e table..L..Fc.cmn 63470 6f730000 00453502 23000364 62670000 os...E5.#..dbg.. 63480 00053e03 23b80303 68696600 0000293f ..>.#...hif...)? 63490 0323c003 03687463 0000003a 900323f8 .#...htc...:..#. 634a0 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... 634b0 45570323 ac040375 73626669 666f5f61 EW.#...usbfifo_a 634c0 70690000 00324803 23d80403 6275665f pi...2H.#...buf_ 634d0 706f6f6c 00000036 f10323e4 04037662 pool...6..#...vb 634e0 75660000 00145203 23800503 76646573 uf....R.#...vdes 634f0 63000000 02320323 94050361 6c6c6f63 c....2.#...alloc 63500 72616d00 00000aa0 0323a805 03646d61 ram......#...dma 63510 5f656e67 696e6500 000043c8 0323b405 _engine...C..#.. 63520 03646d61 5f6c6962 0000002b d30323f4 .dma_lib...+..#. 63530 05036869 665f7063 69000000 2e330323 ..hif_pci....3.# 63540 a8060002 56444553 435f434f 4e544558 ....VDESC_CONTEX 63550 54000800 0046a203 66726565 5f766465 T....F..free_vde 63560 73635f68 65616400 00000205 02230003 sc_head......#.. 63570 70526573 65727665 64000000 022f0223 pReserved..../.# 63580 04001a67 5f766465 73634374 78000000 ...g_vdescCtx... 63590 46630503 00500b04 01065f41 5f6d6167 Fc...P...._A_mag 635a0 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 635b0 7461626c 655f7400 00004569 04000002 table_t...Ei.... 635c0 32040009 01040000 020c0400 1b01225f 2............."_ 635d0 76646573 635f696e 69740001 01039201 vdesc_init...... 635e0 20029000 008e4f74 008e4faf 00004732 .....Ot..O...G2 635f0 1c01226e 44657363 00000001 ea01521d .."nDesc......R. 63600 76646573 63000000 02051d69 00000001 vdesc......i.... 63610 ea001e01 865f7664 6573635f 616c6c6f ....._vdesc_allo 63620 635f6465 73630000 00020501 03920120 c_desc......... 63630 02900000 8e4fb000 8e4fc800 00476f1d .....O...O...Go. 63640 616c6c6f 63446573 63000000 0205001f allocDesc....... 63650 01a15f76 64657363 5f676574 5f68775f .._vdesc_get_hw_ 63660 64657363 00000001 ab010103 92012002 desc.......... . 63670 9000008e 4fc8008e 4fd00000 47ad1c01 ....O...O...G... 63680 a1646573 63000000 02050152 001b01b1 .desc......R.... 63690 5f766465 73635f73 7761705f 76646573 _vdesc_swap_vdes 636a0 63000101 03920120 02900000 8e4fd000 c...... .....O.. 636b0 8e500d00 0048091c 01b16465 73740000 .P...H....dest.. 636c0 00020501 521c01b1 73726300 00000205 ....R...src..... 636d0 01531d74 6d700000 000a851d 746d7041 .S.tmp......tmpA 636e0 64647200 000001ab 002001cd 76646573 ddr...... ..vdes 636f0 635f6d6f 64756c65 5f696e73 74616c6c c_module_install 63700 00010103 92012002 9000008e 5010008e ...... .....P... 63710 50291c01 cd617069 73000000 46dc0152 P)...apis...F..R 63720 00000000 00589c00 02000023 ff04012f .....X.....#.../ 63730 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 63740 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 63750 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 63760 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 63770 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 63780 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc 63790 2f737263 2f687463 2e63002f 726f6f74 /src/htc.c./root 637a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 637b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 637c0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 637d0 63007874 2d786363 20666f72 20372e31 c.xt-xcc for 7.1 637e0 2e30202d 4f50543a 616c6967 6e5f696e .0 -OPT:align_in 637f0 73747275 6374696f 6e733d33 32202d4f structions=32 -O 63800 32202d67 33202d4f 50543a73 70616365 2 -g3 -OPT:space 63810 00010000 01611802 01030000 00f40400 .....a.......... 63820 04696e74 00050404 63686172 00070105 .int....char.... 63830 00000104 05000001 04030000 01110400 ................ 63840 06000000 fd010300 00011d04 00077072 ..............pr 63850 696e7466 5f617069 00080000 0161085f intf_api.....a._ 63860 7072696e 74665f69 6e697400 000000f6 printf_init..... 63870 02230008 5f707269 6e746600 00000123 .#.._printf....# 63880 02230400 0473686f 72742075 6e736967 .#...short unsig 63890 6e656420 696e7400 07020975 696e7431 ned int....uint1 638a0 365f7400 00000161 046c6f6e 6720756e 6_t....a.long un 638b0 7369676e 65642069 6e740007 04097569 signed int....ui 638c0 6e743332 5f740000 00018507 75617274 nt32_t......uart 638d0 5f666966 6f000800 0001f308 73746172 _fifo.......star 638e0 745f696e 64657800 00000177 02230008 t_index....w.#.. 638f0 656e645f 696e6465 78000000 01770223 end_index....w.# 63900 02086f76 65727275 6e5f6572 72000000 ..overrun_err... 63910 019a0223 04000775 6172745f 61706900 ...#...uart_api. 63920 20000002 ac085f75 6172745f 696e6974 ....._uart_init 63930 00000003 03022300 085f7561 72745f63 ......#.._uart_c 63940 6861725f 70757400 0000032a 02230408 har_put....*.#.. 63950 5f756172 745f6368 61725f67 65740000 _uart_char_get.. 63960 00033e02 2308085f 75617274 5f737472 ..>.#.._uart_str 63970 5f6f7574 00000003 4702230c 085f7561 _out....G.#.._ua 63980 72745f74 61736b00 000000f6 02231008 rt_task......#.. 63990 5f756172 745f7374 61747573 00000003 _uart_status.... 639a0 03022314 085f7561 72745f63 6f6e6669 ..#.._uart_confi 639b0 67000000 03500223 18085f75 6172745f g....P.#.._uart_ 639c0 6877696e 69740000 00035902 231c0003 hwinit....Y.#... 639d0 000001f3 04000775 6172745f 626c6b00 .......uart_blk. 639e0 10000002 fd086465 6275675f 6d6f6465 ......debug_mode 639f0 00000001 77022300 08626175 64000000 ....w.#..baud... 63a00 01770223 02085f75 61727400 000002ac .w.#.._uart..... 63a10 02230408 5f747800 000001a8 02230800 .#.._tx......#.. 63a20 06000001 9a010300 0002fd04 0004756e ..............un 63a30 7369676e 65642063 68617200 07010975 signed char....u 63a40 696e7438 5f740000 00030a02 01030000 int8_t.......... 63a50 03280400 03000003 1b040006 00000177 .(.............w 63a60 01030000 03380400 02010300 00034504 .....8........E. 63a70 00020103 0000034e 04000201 03000003 .......N........ 63a80 57040003 00000104 04000600 0000fd01 W............... 63a90 03000003 67040007 44425f43 4f4d4d41 ....g...DB_COMMA 63aa0 4e445f53 54525543 54000c00 0003bf08 ND_STRUCT....... 63ab0 636d645f 73747200 00000360 02230008 cmd_str....`.#.. 63ac0 68656c70 5f737472 00000003 60022304 help_str....`.#. 63ad0 08636d64 5f66756e 63000000 036d0223 .cmd_func....m.# 63ae0 08000764 62675f61 70690008 000003f2 ...dbg_api...... 63af0 085f6462 675f696e 69740000 0000f602 ._dbg_init...... 63b00 2300085f 6462675f 7461736b 00000000 #.._dbg_task.... 63b10 f6022304 000a0400 04756e73 69676e65 ..#......unsigne 63b20 6420696e 74000704 06000003 f2010300 d int........... 63b30 00040504 000b0b03 00000413 04000600 ................ 63b40 0003f201 03000004 1b040006 000000fd ................ 63b50 01030000 04280400 076d656d 5f617069 .....(...mem_api 63b60 00140000 0497085f 6d656d5f 696e6974 ......._mem_init 63b70 00000000 f6022300 085f6d65 6d736574 ......#.._memset 63b80 00000004 0b022304 085f6d65 6d637079 ......#.._memcpy 63b90 00000004 21022308 085f6d65 6d6d6f76 ....!.#.._memmov 63ba0 65000000 04210223 0c085f6d 656d636d e....!.#.._memcm 63bb0 70000000 042e0223 10000c72 65676973 p......#...regis 63bc0 7465725f 64756d70 5f730000 01030000 ter_dump_s...... 63bd0 04970400 02010300 0004b104 00020103 ................ 63be0 000004ba 04000600 0000fd01 03000004 ................ 63bf0 c304000d 686f7374 69665f73 00040000 ....hostif_s.... 63c00 051f0e48 49465f55 53420000 0e484946 ...HIF_USB...HIF 63c10 5f504349 4500010e 4849465f 474d4143 _PCIE...HIF_GMAC 63c20 00020e48 49465f50 43490003 0e484946 ...HIF_PCI...HIF 63c30 5f4e554d 00040e48 49465f4e 4f4e4500 _NUM...HIF_NONE. 63c40 05000941 5f484f53 54494600 000004d0 ...A_HOSTIF..... 63c50 06000005 1f010300 00052d04 00060000 ..........-..... 63c60 031b0103 0000053a 04000600 00017701 .......:......w. 63c70 03000005 47040007 6d697363 5f617069 ....G...misc_api 63c80 00240000 0637085f 73797374 656d5f72 .$...7._system_r 63c90 65736574 00000000 f6022300 085f6d61 eset......#.._ma 63ca0 635f7265 73657400 000000f6 02230408 c_reset......#.. 63cb0 5f617373 6661696c 00000004 b3022308 _assfail......#. 63cc0 085f6d69 73616c69 676e6564 5f6c6f61 ._misaligned_loa 63cd0 645f6861 6e646c65 72000000 04b30223 d_handler......# 63ce0 0c085f72 65706f72 745f6661 696c7572 .._report_failur 63cf0 655f746f 5f686f73 74000000 04bc0223 e_to_host......# 63d00 10085f74 61726765 745f6964 5f676574 .._target_id_get 63d10 00000004 c9022314 085f6973 5f686f73 ......#.._is_hos 63d20 745f7072 6573656e 74000000 05330223 t_present....3.# 63d30 18085f6b 62686974 00000005 4002231c .._kbhit....@.#. 63d40 085f726f 6d5f7665 7273696f 6e5f6765 ._rom_version_ge 63d50 74000000 054d0223 20000600 00036001 t....M.# .....`. 63d60 03000006 37040006 00000360 01030000 ....7......`.... 63d70 06440400 06000000 fd010300 00065104 .D............Q. 63d80 00060000 00fd0103 0000065e 04000600 ...........^.... 63d90 0000fd01 03000006 6b040007 73747269 ........k...stri 63da0 6e675f61 70690018 000006f1 085f7374 ng_api......._st 63db0 72696e67 5f696e69 74000000 00f60223 ring_init......# 63dc0 00085f73 74726370 79000000 063d0223 .._strcpy....=.# 63dd0 04085f73 74726e63 70790000 00064a02 .._strncpy....J. 63de0 2308085f 7374726c 656e0000 00065702 #.._strlen....W. 63df0 230c085f 73747263 6d700000 00066402 #.._strcmp....d. 63e00 2310085f 7374726e 636d7000 00000671 #.._strncmp....q 63e10 02231400 0f000003 f5140000 06fe1004 .#.............. 63e20 00095f41 5f54494d 45525f53 50414345 .._A_TIMER_SPACE 63e30 00000006 f109415f 74696d65 725f7400 ......A_timer_t. 63e40 000006fe 03000007 12040002 01030000 ................ 63e50 07280400 02010300 00073104 0009415f .(........1...A_ 63e60 48414e44 4c450000 0003f502 0109415f HANDLE........A_ 63e70 54494d45 525f4655 4e430000 00074803 TIMER_FUNC....H. 63e80 0000074a 04000201 03000007 63040007 ...J........c... 63e90 74696d65 725f6170 69001400 0007e208 timer_api....... 63ea0 5f74696d 65725f69 6e697400 000000f6 _timer_init..... 63eb0 02230008 5f74696d 65725f61 726d0000 .#.._timer_arm.. 63ec0 00072a02 2304085f 74696d65 725f6469 ..*.#.._timer_di 63ed0 7361726d 00000007 33022308 085f7469 sarm....3.#.._ti 63ee0 6d65725f 73657466 6e000000 07650223 mer_setfn....e.# 63ef0 0c085f74 696d6572 5f72756e 00000000 .._timer_run.... 63f00 f6022310 0009424f 4f4c4541 4e000000 ..#...BOOLEAN... 63f10 01770600 0007e201 03000007 ef040006 .w.............. 63f20 000007e2 01030000 07fc0400 06000007 ................ 63f30 e2010300 00080904 0007726f 6d705f61 ..........romp_a 63f40 70690010 0000087b 085f726f 6d705f69 pi.....{._romp_i 63f50 6e697400 000000f6 02230008 5f726f6d nit......#.._rom 63f60 705f646f 776e6c6f 61640000 0007f502 p_download...... 63f70 2304085f 726f6d70 5f696e73 74616c6c #.._romp_install 63f80 00000008 02022308 085f726f 6d705f64 ......#.._romp_d 63f90 65636f64 65000000 080f0223 0c000772 ecode......#...r 63fa0 6f6d5f70 61746368 5f737400 10000008 om_patch_st..... 63fb0 d7086372 63313600 00000177 02230008 ..crc16....w.#.. 63fc0 6c656e00 00000177 02230208 6c645f61 len....w.#..ld_a 63fd0 64647200 0000019a 02230408 66756e5f ddr......#..fun_ 63fe0 61646472 00000001 9a022308 08706675 addr......#..pfu 63ff0 6e000000 03310223 0c000765 65705f72 n....1.#...eep_r 64000 65646972 5f616464 72000400 00090908 edir_addr....... 64010 6f666673 65740000 00017702 23000873 offset....w.#..s 64020 697a6500 00000177 02230200 09415f55 ize....w.#...A_U 64030 494e5433 32000000 03f50600 0003f201 INT32........... 64040 03000009 17040007 616c6c6f 6372616d ........allocram 64050 5f617069 000c0000 09880863 6d6e6f73 _api.......cmnos 64060 5f616c6c 6f637261 6d5f696e 69740000 _allocram_init.. 64070 00091d02 23000863 6d6e6f73 5f616c6c ....#..cmnos_all 64080 6f637261 6d000000 091d0223 0408636d ocram......#..cm 64090 6e6f735f 616c6c6f 6372616d 5f646562 nos_allocram_deb 640a0 75670000 0000f602 23080002 01030000 ug......#....... 640b0 09880400 09415f54 41534b4c 45545f46 .....A_TASKLET_F 640c0 554e4300 0000098a 075f7461 736b6c65 UNC......_taskle 640d0 74001000 0009e908 66756e63 00000009 t.......func.... 640e0 91022300 08617267 00000003 f2022304 ..#..arg......#. 640f0 08737461 74650000 0000fd02 2308086e .state......#..n 64100 65787400 000009e9 02230c00 03000009 ext......#...... 64110 a5040003 000009a5 04000941 5f746173 ...........A_tas 64120 6b6c6574 5f740000 0009a503 000009f7 klet_t.......... 64130 04000201 0300000a 0f040002 01030000 ................ 64140 0a180400 07746173 6b6c6574 5f617069 .....tasklet_api 64150 00140000 0aad085f 7461736b 6c65745f ......._tasklet_ 64160 696e6974 00000000 f6022300 085f7461 init......#.._ta 64170 736b6c65 745f696e 69745f74 61736b00 sklet_init_task. 64180 00000a11 02230408 5f746173 6b6c6574 .....#.._tasklet 64190 5f646973 61626c65 0000000a 1a022308 _disable......#. 641a0 085f7461 736b6c65 745f7363 68656475 ._tasklet_schedu 641b0 6c650000 000a1a02 230c085f 7461736b le......#.._task 641c0 6c65745f 72756e00 000000f6 02231000 let_run......#.. 641d0 02010300 000aad04 00060000 09090103 ................ 641e0 00000ab6 04000201 0300000a c3040007 ................ 641f0 636c6f63 6b5f6170 69002400 000ba508 clock_api.$..... 64200 5f636c6f 636b5f69 6e697400 00000aaf _clock_init..... 64210 02230008 5f636c6f 636b7265 67735f69 .#.._clockregs_i 64220 6e697400 000000f6 02230408 5f756172 nit......#.._uar 64230 745f6672 65717565 6e637900 00000abc t_frequency..... 64240 02230808 5f64656c 61795f75 73000000 .#.._delay_us... 64250 0ac50223 0c085f77 6c616e5f 62616e64 ...#.._wlan_band 64260 5f736574 0000000a c5022310 085f7265 _set......#.._re 64270 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. 64280 000abc02 2314085f 6d696c6c 69736563 ....#.._millisec 64290 6f6e6473 0000000a bc022318 085f7379 onds......#.._sy 642a0 73636c6b 5f636861 6e676500 000000f6 sclk_change..... 642b0 02231c08 5f636c6f 636b5f74 69636b00 .#.._clock_tick. 642c0 000000f6 02232000 06000001 9a010300 .....# ......... 642d0 000ba504 0009415f 6f6c645f 696e7472 ......A_old_intr 642e0 5f740000 00019a06 00000bb2 01030000 _t.............. 642f0 0bc40400 02010300 000bd104 00020103 ................ 64300 00000bda 04000600 00019a01 0300000b ................ 64310 e3040009 415f6973 725f7400 00000be9 ....A_isr_t..... 64320 02010300 000bfd04 00060000 03f50103 ................ 64330 00000c06 04000201 0300000c 13040007 ................ 64340 696e7472 5f617069 002c0000 0d35085f intr_api.,...5._ 64350 696e7472 5f696e69 74000000 00f60223 intr_init......# 64360 00085f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i 64370 73720000 000bab02 2304085f 696e7472 sr......#.._intr 64380 5f646973 61626c65 0000000b ca022308 _disable......#. 64390 085f696e 74725f72 6573746f 72650000 ._intr_restore.. 643a0 000bd302 230c085f 696e7472 5f6d6173 ....#.._intr_mas 643b0 6b5f696e 756d0000 000bdc02 2310085f k_inum......#.._ 643c0 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum 643d0 0000000b dc022314 085f696e 74725f61 ......#.._intr_a 643e0 74746163 685f6973 72000000 0bff0223 ttach_isr......# 643f0 18085f67 65745f69 6e747265 6e61626c .._get_intrenabl 64400 65000000 0c0c0223 1c085f73 65745f69 e......#.._set_i 64410 6e747265 6e61626c 65000000 0c150223 ntrenable......# 64420 20085f67 65745f69 6e747270 656e6469 ._get_intrpendi 64430 6e670000 000c0c02 2324085f 756e626c ng......#$._unbl 64440 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. 64450 000000f6 02232800 11040000 0d5b0874 .....#(......[.t 64460 696d656f 75740000 00019a02 23000861 imeout......#..a 64470 6374696f 6e000000 019a0223 00001208 ction......#.... 64480 00000d76 08636d64 00000001 9a022300 ...v.cmd......#. 64490 1300000d 35022304 0009545f 5744545f ....5.#...T_WDT_ 644a0 434d4400 00000d5b 02010300 000d8504 CMD....[........ 644b0 00140400 000ddb0e 454e554d 5f574454 ........ENUM_WDT 644c0 5f424f4f 5400010e 454e554d 5f434f4c _BOOT...ENUM_COL 644d0 445f424f 4f540002 0e454e55 4d5f5355 D_BOOT...ENUM_SU 644e0 53505f42 4f4f5400 030e454e 554d5f55 SP_BOOT...ENUM_U 644f0 4e4b4e4f 574e5f42 4f4f5400 04000954 NKNOWN_BOOT....T 64500 5f424f4f 545f5459 50450000 000d8e06 _BOOT_TYPE...... 64510 00000ddb 01030000 0dec0400 07776474 .............wdt 64520 5f617069 001c0000 0e90085f 7764745f _api......._wdt_ 64530 696e6974 00000000 f6022300 085f7764 init......#.._wd 64540 745f656e 61626c65 00000000 f6022304 t_enable......#. 64550 085f7764 745f6469 7361626c 65000000 ._wdt_disable... 64560 00f60223 08085f77 64745f73 65740000 ...#.._wdt_set.. 64570 000d8702 230c085f 7764745f 7461736b ....#.._wdt_task 64580 00000000 f6022310 085f7764 745f7265 ......#.._wdt_re 64590 73657400 000000f6 02231408 5f776474 set......#.._wdt 645a0 5f6c6173 745f626f 6f740000 000df202 _last_boot...... 645b0 23180014 0400000e f70e5245 545f5355 #.........RET_SU 645c0 43434553 5300000e 5245545f 4e4f545f CCESS...RET_NOT_ 645d0 494e4954 00010e52 45545f4e 4f545f45 INIT...RET_NOT_E 645e0 58495354 00020e52 45545f45 45505f43 XIST...RET_EEP_C 645f0 4f525255 50540003 0e524554 5f454550 ORRUPT...RET_EEP 64600 5f4f5645 52464c4f 5700040e 5245545f _OVERFLOW...RET_ 64610 554e4b4e 4f574e00 05000954 5f454550 UNKNOWN....T_EEP 64620 5f524554 0000000e 90030000 01770400 _RET.........w.. 64630 0600000e f7010300 000f0d04 00060000 ................ 64640 0ef70103 00000f1a 04000765 65705f61 ...........eep_a 64650 70690010 00000f83 085f6565 705f696e pi......._eep_in 64660 69740000 0000f602 2300085f 6565705f it......#.._eep_ 64670 72656164 0000000f 13022304 085f6565 read......#.._ee 64680 705f7772 69746500 00000f13 02230808 p_write......#.. 64690 5f656570 5f69735f 65786973 74000000 _eep_is_exist... 646a0 0f200223 0c000775 73625f61 70690070 . .#...usb_api.p 646b0 00001230 085f7573 625f696e 69740000 ...0._usb_init.. 646c0 0000f602 2300085f 7573625f 726f6d5f ....#.._usb_rom_ 646d0 7461736b 00000000 f6022304 085f7573 task......#.._us 646e0 625f6677 5f746173 6b000000 00f60223 b_fw_task......# 646f0 08085f75 73625f69 6e69745f 70687900 .._usb_init_phy. 64700 000000f6 02230c08 5f757362 5f657030 .....#.._usb_ep0 64710 5f736574 75700000 0000f602 2310085f _setup......#.._ 64720 7573625f 6570305f 74780000 0000f602 usb_ep0_tx...... 64730 2314085f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. 64740 0000f602 2318085f 7573625f 6765745f ....#.._usb_get_ 64750 696e7465 72666163 65000000 08020223 interface......# 64760 1c085f75 73625f73 65745f69 6e746572 .._usb_set_inter 64770 66616365 00000008 02022320 085f7573 face......# ._us 64780 625f6765 745f636f 6e666967 75726174 b_get_configurat 64790 696f6e00 00000802 02232408 5f757362 ion......#$._usb 647a0 5f736574 5f636f6e 66696775 72617469 _set_configurati 647b0 6f6e0000 00080202 2328085f 7573625f on......#(._usb_ 647c0 7374616e 64617264 5f636d64 00000008 standard_cmd.... 647d0 0202232c 085f7573 625f7665 6e646f72 ..#,._usb_vendor 647e0 5f636d64 00000000 f6022330 085f7573 _cmd......#0._us 647f0 625f706f 7765725f 6f666600 000000f6 b_power_off..... 64800 02233408 5f757362 5f726573 65745f66 .#4._usb_reset_f 64810 69666f00 000000f6 02233808 5f757362 ifo......#8._usb 64820 5f67656e 5f776474 00000000 f602233c _gen_wdt......#< 64830 085f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. 64840 000000f6 02234008 5f757362 5f636c72 .....#@._usb_clr 64850 5f666561 74757265 00000008 02022344 _feature......#D 64860 085f7573 625f7365 745f6665 61747572 ._usb_set_featur 64870 65000000 08020223 48085f75 73625f73 e......#H._usb_s 64880 65745f61 64647265 73730000 00080202 et_address...... 64890 234c085f 7573625f 6765745f 64657363 #L._usb_get_desc 648a0 72697074 6f720000 00080202 2350085f riptor......#P._ 648b0 7573625f 6765745f 73746174 75730000 usb_get_status.. 648c0 00080202 2354085f 7573625f 73657475 ....#T._usb_setu 648d0 705f6465 73630000 0000f602 2358085f p_desc......#X._ 648e0 7573625f 7265675f 6f757400 000000f6 usb_reg_out..... 648f0 02235c08 5f757362 5f737461 7475735f .#\._usb_status_ 64900 696e0000 0000f602 2360085f 7573625f in......#`._usb_ 64910 6570305f 74785f64 61746100 000000f6 ep0_tx_data..... 64920 02236408 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ 64930 64617461 00000000 f6022368 085f7573 data......#h._us 64940 625f636c 6b5f696e 69740000 0000f602 b_clk_init...... 64950 236c0007 5f564445 53430024 000012bc #l.._VDESC.$.... 64960 086e6578 745f6465 73630000 0012bc02 .next_desc...... 64970 23000862 75665f61 64647200 000012d0 #..buf_addr..... 64980 02230408 6275665f 73697a65 00000012 .#..buf_size.... 64990 d7022308 08646174 615f6f66 66736574 ..#..data_offset 649a0 00000012 d702230a 08646174 615f7369 ......#..data_si 649b0 7a650000 0012d702 230c0863 6f6e7472 ze......#..contr 649c0 6f6c0000 0012d702 230e0868 775f6465 ol......#..hw_de 649d0 73635f62 75660000 0012e502 23100003 sc_buf......#... 649e0 00001230 04000941 5f55494e 54380000 ...0...A_UINT8.. 649f0 00030a03 000012c3 04000941 5f55494e ...........A_UIN 64a00 54313600 00000161 0f000012 c3140000 T16....a........ 64a10 12f21013 00030000 12300400 09564445 .........0...VDE 64a20 53430000 00123003 000012f9 04000600 SC....0......... 64a30 00130401 03000013 0b040006 000012d0 ................ 64a40 01030000 13180400 02010300 00132504 ..............%. 64a50 00077664 6573635f 61706900 14000013 ..vdesc_api..... 64a60 9d085f69 6e697400 00000ac5 02230008 .._init......#.. 64a70 5f616c6c 6f635f76 64657363 00000013 _alloc_vdesc.... 64a80 11022304 085f6765 745f6877 5f646573 ..#.._get_hw_des 64a90 63000000 131e0223 08085f73 7761705f c......#.._swap_ 64aa0 76646573 63000000 13270223 0c087052 vdesc....'.#..pR 64ab0 65736572 76656400 000003f2 02231000 eserved......#.. 64ac0 075f5642 55460020 000013fd 08646573 ._VBUF. .....des 64ad0 635f6c69 73740000 00130402 2300086e c_list......#..n 64ae0 6578745f 62756600 000013fd 02230408 ext_buf......#.. 64af0 6275665f 6c656e67 74680000 0012d702 buf_length...... 64b00 23080872 65736572 76656400 00001404 #..reserved..... 64b10 02230a08 63747800 000012e5 02230c00 .#..ctx......#.. 64b20 03000013 9d04000f 000012c3 02000014 ................ 64b30 11100100 03000013 9d040009 56425546 ............VBUF 64b40 00000013 9d030000 14180400 06000014 ................ 64b50 22010300 00142904 00060000 14220103 ".....)......".. 64b60 00001436 04000201 03000014 43040007 ...6........C... 64b70 76627566 5f617069 00140000 14c1085f vbuf_api......._ 64b80 696e6974 0000000a c5022300 085f616c init......#.._al 64b90 6c6f635f 76627566 00000014 2f022304 loc_vbuf..../.#. 64ba0 085f616c 6c6f635f 76627566 5f776974 ._alloc_vbuf_wit 64bb0 685f7369 7a650000 00143c02 2308085f h_size....<.#.._ 64bc0 66726565 5f766275 66000000 14450223 free_vbuf....E.# 64bd0 0c087052 65736572 76656400 000003f2 ..pReserved..... 64be0 02231000 075f5f61 64665f64 65766963 .#...__adf_devic 64bf0 65000400 0014e308 64756d6d 79000000 e.......dummy... 64c00 00fd0223 00000300 00090904 00075f5f ...#..........__ 64c10 6164665f 646d615f 6d617000 0c000015 adf_dma_map..... 64c20 2a086275 66000000 14220223 00086473 *.buf....".#..ds 64c30 5f616464 72000000 14e30223 04086473 _addr......#..ds 64c40 5f6c656e 00000012 d7022308 00120c00 _len......#..... 64c50 00156408 5f5f7661 5f73746b 00000003 ..d.__va_stk.... 64c60 60022300 085f5f76 615f7265 67000000 `.#..__va_reg... 64c70 03600223 04085f5f 76615f6e 64780000 .`.#..__va_ndx.. 64c80 0000fd02 23080009 5f5f6164 665f6f73 ....#...__adf_os 64c90 5f646d61 5f616464 725f7400 00000909 _dma_addr_t..... 64ca0 09616466 5f6f735f 646d615f 61646472 .adf_os_dma_addr 64cb0 5f740000 00156409 5f5f6164 665f6f73 _t....d.__adf_os 64cc0 5f646d61 5f73697a 655f7400 00000909 _dma_size_t..... 64cd0 09616466 5f6f735f 646d615f 73697a65 .adf_os_dma_size 64ce0 5f740000 00159407 5f5f646d 615f7365 _t......__dma_se 64cf0 67730008 000015f0 08706164 64720000 gs.......paddr.. 64d00 00157d02 2300086c 656e0000 0015ad02 ..}.#..len...... 64d10 23040009 5f5f615f 75696e74 33325f74 #...__a_uint32_t 64d20 00000009 0909615f 75696e74 33325f74 ......a_uint32_t 64d30 00000015 f00f0000 15c40800 00161f10 ................ 64d40 00000761 64665f6f 735f646d 616d6170 ...adf_os_dmamap 64d50 5f696e66 6f000c00 00165808 6e736567 _info.....X.nseg 64d60 73000000 16020223 0008646d 615f7365 s......#..dma_se 64d70 67730000 00161202 23040009 5f5f615f gs......#...__a_ 64d80 75696e74 385f7400 000012c3 09615f75 uint8_t......a_u 64d90 696e7438 5f740000 00165803 00001669 int8_t....X....i 64da0 0400075f 5f73675f 73656773 00080000 ...__sg_segs.... 64db0 16aa0876 61646472 00000016 78022300 ...vaddr....x.#. 64dc0 086c656e 00000016 02022304 000f0000 .len......#..... 64dd0 167f2000 0016b710 03000761 64665f6f .. ........adf_o 64de0 735f7367 6c697374 00240000 16ea086e s_sglist.$.....n 64df0 73656773 00000016 02022300 0873675f segs......#..sg_ 64e00 73656773 00000016 aa022304 00121000 segs......#..... 64e10 00173308 76656e64 6f720000 00160202 ..3.vendor...... 64e20 23000864 65766963 65000000 16020223 #..device......# 64e30 04087375 6276656e 646f7200 00001602 ..subvendor..... 64e40 02230808 73756264 65766963 65000000 .#..subdevice... 64e50 16020223 0c00046c 6f6e6720 6c6f6e67 ...#...long long 64e60 20756e73 69676e65 6420696e 74000708 unsigned int... 64e70 09415f55 494e5436 34000000 1733095f .A_UINT64....3._ 64e80 5f615f75 696e7436 345f7400 0000174d _a_uint64_t....M 64e90 09615f75 696e7436 345f7400 0000175b .a_uint64_t....[ 64ea0 14040000 17b90e41 44465f4f 535f5245 .......ADF_OS_RE 64eb0 534f5552 43455f54 5950455f 4d454d00 SOURCE_TYPE_MEM. 64ec0 000e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC 64ed0 455f5459 50455f49 4f000100 09616466 E_TYPE_IO....adf 64ee0 5f6f735f 7265736f 75726365 5f747970 _os_resource_typ 64ef0 655f7400 0000177d 12180000 18030873 e_t....}.......s 64f00 74617274 00000017 6d022300 08656e64 tart....m.#..end 64f10 00000017 6d022308 08747970 65000000 ....m.#..type... 64f20 17b90223 10000961 64665f6f 735f7063 ...#...adf_os_pc 64f30 695f6465 765f6964 5f740000 0016ea03 i_dev_id_t...... 64f40 00001803 04001104 00001842 08706369 ...........B.pci 64f50 00000018 1c022300 08726177 00000003 ......#..raw.... 64f60 f2022300 00111000 00186108 70636900 ..#.......a.pci. 64f70 00001803 02230008 72617700 000003f2 .....#..raw..... 64f80 02230000 09616466 5f647276 5f68616e .#...adf_drv_han 64f90 646c655f 74000000 03f20961 64665f6f dle_t......adf_o 64fa0 735f7265 736f7572 63655f74 00000017 s_resource_t.... 64fb0 d5030000 18770400 09616466 5f6f735f .....w...adf_os_ 64fc0 61747461 63685f64 6174615f 74000000 attach_data_t... 64fd0 18420300 00189504 00030000 14c10400 .B.............. 64fe0 095f5f61 64665f6f 735f6465 76696365 .__adf_os_device 64ff0 5f740000 0018b609 6164665f 6f735f64 _t......adf_os_d 65000 65766963 655f7400 000018bd 06000018 evice_t......... 65010 61010300 0018e904 00020103 000018f6 a............... 65020 04000961 64665f6f 735f706d 5f740000 ...adf_os_pm_t.. 65030 0003f202 01030000 19100400 14040000 ................ 65040 19500e41 44465f4f 535f4255 535f5459 .P.ADF_OS_BUS_TY 65050 50455f50 43490001 0e414446 5f4f535f PE_PCI...ADF_OS_ 65060 4255535f 54595045 5f47454e 45524943 BUS_TYPE_GENERIC 65070 00020009 6164665f 6f735f62 75735f74 ....adf_os_bus_t 65080 7970655f 74000000 19190961 64665f6f ype_t......adf_o 65090 735f6275 735f7265 675f6461 74615f74 s_bus_reg_data_t 650a0 00000018 23030000 030a0400 075f6164 ....#........_ad 650b0 665f6472 765f696e 666f0020 00001a2d f_drv_info. ...- 650c0 08647276 5f617474 61636800 000018ef .drv_attach..... 650d0 02230008 6472765f 64657461 63680000 .#..drv_detach.. 650e0 0018f802 23040864 72765f73 75737065 ....#..drv_suspe 650f0 6e640000 00191202 23080864 72765f72 nd......#..drv_r 65100 6573756d 65000000 18f80223 0c086275 esume......#..bu 65110 735f7479 70650000 00195002 23100862 s_type....P.#..b 65120 75735f64 61746100 00001967 02231408 us_data....g.#.. 65130 6d6f645f 6e616d65 00000019 82022318 mod_name......#. 65140 0869666e 616d6500 00001982 02231c00 .ifname......#.. 65150 09616466 5f6f735f 68616e64 6c655f74 .adf_os_handle_t 65160 00000003 f2030000 16580400 02010201 .........X...... 65170 095f5f61 64665f6f 735f7369 7a655f74 .__adf_os_size_t 65180 00000003 f5140400 001a7c0e 415f4641 ..........|.A_FA 65190 4c534500 000e415f 54525545 00010009 LSE...A_TRUE.... 651a0 615f626f 6f6c5f74 0000001a 62030000 a_bool_t....b... 651b0 14ea0400 095f5f61 64665f6f 735f646d .....__adf_os_dm 651c0 615f6d61 705f7400 00001a8a 02010d61 a_map_t........a 651d0 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 651e0 00040000 1b140e41 44465f53 594e435f .......ADF_SYNC_ 651f0 50524552 45414400 000e4144 465f5359 PREREAD...ADF_SY 65200 4e435f50 52455752 49544500 020e4144 NC_PREWRITE...AD 65210 465f5359 4e435f50 4f535452 45414400 F_SYNC_POSTREAD. 65220 010e4144 465f5359 4e435f50 4f535457 ..ADF_SYNC_POSTW 65230 52495445 00030009 6164665f 6f735f63 RITE....adf_os_c 65240 61636865 5f73796e 635f7400 00001aab ache_sync_t..... 65250 02010961 64665f6f 735f7369 7a655f74 ...adf_os_size_t 65260 0000001a 4d060000 1b2f0109 6164665f ....M..../..adf_ 65270 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... 65280 91030000 1b480400 06000003 f2010300 .....H.......... 65290 001a9104 00060000 03f20102 01060000 ................ 652a0 157d0102 01047368 6f727420 696e7400 .}....short int. 652b0 05020941 5f494e54 31360000 001b8209 ...A_INT16...... 652c0 5f5f615f 696e7431 365f7400 00001b8f __a_int16_t..... 652d0 09615f69 6e743136 5f740000 001b9c04 .a_int16_t...... 652e0 7369676e 65642063 68617200 05010941 signed char....A 652f0 5f494e54 38000000 1bbc095f 5f615f69 _INT8......__a_i 65300 6e74385f 74000000 1bcb0961 5f696e74 nt8_t......a_int 65310 385f7400 00001bd7 120c0000 1c4e0873 8_t..........N.s 65320 7570706f 72746564 00000016 02022300 upported......#. 65330 08616476 65727469 7a656400 00001602 .advertized..... 65340 02230408 73706565 64000000 1bad0223 .#..speed......# 65350 08086475 706c6578 0000001b e702230a ..duplex......#. 65360 08617574 6f6e6567 00000016 6902230b .autoneg....i.#. 65370 000f0000 16690600 001c5b10 05000761 .....i....[....a 65380 64665f6e 65745f65 74686164 64720006 df_net_ethaddr.. 65390 00001c7f 08616464 72000000 1c4e0223 .....addr....N.# 653a0 0000095f 5f615f75 696e7431 365f7400 ...__a_uint16_t. 653b0 000012d7 09615f75 696e7431 365f7400 .....a_uint16_t. 653c0 00001c7f 120e0000 1ce30865 74686572 ...........ether 653d0 5f64686f 73740000 001c4e02 23000865 _dhost....N.#..e 653e0 74686572 5f73686f 73740000 001c4e02 ther_shost....N. 653f0 23060865 74686572 5f747970 65000000 #..ether_type... 65400 1c910223 0c001214 00001da4 1569705f ...#.........ip_ 65410 76657273 696f6e00 00001669 01000402 version....i.... 65420 23001569 705f686c 00000016 69010404 #..ip_hl....i... 65430 02230008 69705f74 6f730000 00166902 .#..ip_tos....i. 65440 23010869 705f6c65 6e000000 1c910223 #..ip_len......# 65450 02086970 5f696400 00001c91 02230408 ..ip_id......#.. 65460 69705f66 7261675f 6f666600 00001c91 ip_frag_off..... 65470 02230608 69705f74 746c0000 00166902 .#..ip_ttl....i. 65480 23080869 705f7072 6f746f00 00001669 #..ip_proto....i 65490 02230908 69705f63 6865636b 0000001c .#..ip_check.... 654a0 9102230a 0869705f 73616464 72000000 ..#..ip_saddr... 654b0 16020223 0c086970 5f646164 64720000 ...#..ip_daddr.. 654c0 00160202 23100007 6164665f 6e65745f ....#...adf_net_ 654d0 766c616e 68647200 0400001d f6087470 vlanhdr.......tp 654e0 69640000 001c9102 23001570 72696f00 id......#..prio. 654f0 00001669 01000302 23021563 66690000 ...i....#..cfi.. 65500 00166901 03010223 02157669 64000000 ..i....#..vid... 65510 1c910204 0c022302 00076164 665f6e65 ......#...adf_ne 65520 745f7669 64000200 001e2715 72657300 t_vid.....'.res. 65530 00001669 01000402 23001576 616c0000 ...i....#..val.. 65540 001c9102 040c0223 0000120c 00001e63 .......#.......c 65550 0872785f 62756673 697a6500 00001602 .rx_bufsize..... 65560 02230008 72785f6e 64657363 00000016 .#..rx_ndesc.... 65570 02022304 0874785f 6e646573 63000000 ..#..tx_ndesc... 65580 16020223 08001208 00001e89 08706f6c ...#.........pol 65590 6c656400 00001a7c 02230008 706f6c6c led....|.#..poll 655a0 5f777400 00001602 02230400 0f000016 _wt......#...... 655b0 69400000 1e96103f 00124600 001ebe08 i@.....?..F..... 655c0 69665f6e 616d6500 00001e89 02230008 if_name......#.. 655d0 6465765f 61646472 0000001c 4e022340 dev_addr....N.#@ 655e0 00140400 001ef50e 4144465f 4f535f44 ........ADF_OS_D 655f0 4d415f4d 41534b5f 33324249 5400000e MA_MASK_32BIT... 65600 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ 65610 36344249 54000100 09616466 5f6f735f 64BIT....adf_os_ 65620 646d615f 6d61736b 5f740000 001ebe07 dma_mask_t...... 65630 6164665f 646d615f 696e666f 00080000 adf_dma_info.... 65640 1f420864 6d615f6d 61736b00 00001ef5 .B.dma_mask..... 65650 02230008 73675f6e 73656773 00000016 .#..sg_nsegs.... 65660 02022304 00140400 001f980e 4144465f ..#.........ADF_ 65670 4e45545f 434b5355 4d5f4e4f 4e450000 NET_CKSUM_NONE.. 65680 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 65690 43505f55 44505f49 50763400 010e4144 CP_UDP_IPv4...AD 656a0 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ 656b0 5544505f 49507636 00020009 6164665f UDP_IPv6....adf_ 656c0 6e65745f 636b7375 6d5f7479 70655f74 net_cksum_type_t 656d0 0000001f 42120800 001fdb08 74785f63 ....B.......tx_c 656e0 6b73756d 0000001f 98022300 0872785f ksum......#..rx_ 656f0 636b7375 6d000000 1f980223 04000961 cksum......#...a 65700 64665f6e 65745f63 6b73756d 5f696e66 df_net_cksum_inf 65710 6f5f7400 00001fb2 14040000 20340e41 o_t......... 4.A 65720 44465f4e 45545f54 534f5f4e 4f4e4500 DF_NET_TSO_NONE. 65730 000e4144 465f4e45 545f5453 4f5f4950 ..ADF_NET_TSO_IP 65740 56340001 0e414446 5f4e4554 5f54534f V4...ADF_NET_TSO 65750 5f414c4c 00020009 6164665f 6e65745f _ALL....adf_net_ 65760 74736f5f 74797065 5f740000 001ff512 tso_type_t...... 65770 10000020 8808636b 73756d5f 63617000 ... ..cksum_cap. 65780 00001fdb 02230008 74736f00 00002034 .....#..tso... 4 65790 02230808 766c616e 5f737570 706f7274 .#..vlan_support 657a0 65640000 00166902 230c0012 20000021 ed....i.#... ..! 657b0 21087478 5f706163 6b657473 00000016 !.tx_packets.... 657c0 02022300 0872785f 7061636b 65747300 ..#..rx_packets. 657d0 00001602 02230408 74785f62 79746573 .....#..tx_bytes 657e0 00000016 02022308 0872785f 62797465 ......#..rx_byte 657f0 73000000 16020223 0c087478 5f64726f s......#..tx_dro 65800 70706564 00000016 02022310 0872785f pped......#..rx_ 65810 64726f70 70656400 00001602 02231408 dropped......#.. 65820 72785f65 72726f72 73000000 16020223 rx_errors......# 65830 18087478 5f657272 6f727300 00001602 ..tx_errors..... 65840 02231c00 09616466 5f6e6574 5f657468 .#...adf_net_eth 65850 61646472 5f740000 001c5b16 00002121 addr_t....[...!! 65860 03000000 2146107f 00176164 665f6e65 ....!F....adf_ne 65870 745f636d 645f6d63 61646472 00030400 t_cmd_mcaddr.... 65880 00217d08 6e656c65 6d000000 16020223 .!}.nelem......# 65890 00086d63 61737400 00002138 02230400 ..mcast...!8.#.. 658a0 09616466 5f6e6574 5f636d64 5f6c696e .adf_net_cmd_lin 658b0 6b5f696e 666f5f74 0000001b f5096164 k_info_t......ad 658c0 665f6e65 745f636d 645f706f 6c6c5f69 f_net_cmd_poll_i 658d0 6e666f5f 74000000 1e630961 64665f6e nfo_t....c.adf_n 658e0 65745f63 6d645f63 6b73756d 5f696e66 et_cmd_cksum_inf 658f0 6f5f7400 00001fdb 09616466 5f6e6574 o_t......adf_net 65900 5f636d64 5f72696e 675f696e 666f5f74 _cmd_ring_info_t 65910 0000001e 27096164 665f6e65 745f636d ....'.adf_net_cm 65920 645f646d 615f696e 666f5f74 0000001f d_dma_info_t.... 65930 0c096164 665f6e65 745f636d 645f7669 ..adf_net_cmd_vi 65940 645f7400 00001c91 09616466 5f6e6574 d_t......adf_net 65950 5f636d64 5f6f6666 6c6f6164 5f636170 _cmd_offload_cap 65960 5f740000 00204c09 6164665f 6e65745f _t... L.adf_net_ 65970 636d645f 73746174 735f7400 00002088 cmd_stats_t... . 65980 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 65990 6464725f 74000000 21460d61 64665f6e ddr_t...!F.adf_n 659a0 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap 659b0 00040000 22bf0e41 44465f4e 45545f4d ...."..ADF_NET_M 659c0 43415354 5f535550 00000e41 44465f4e CAST_SUP...ADF_N 659d0 45545f4d 43415354 5f4e4f54 53555000 ET_MCAST_NOTSUP. 659e0 01000961 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m 659f0 63617374 5f636170 5f740000 00227718 cast_cap_t..."w. 65a00 03040000 2391086c 696e6b5f 696e666f ....#..link_info 65a10 00000021 7d022300 08706f6c 6c5f696e ...!}.#..poll_in 65a20 666f0000 00219a02 23000863 6b73756d fo...!..#..cksum 65a30 5f696e66 6f000000 21b70223 00087269 _info...!..#..ri 65a40 6e675f69 6e666f00 000021d5 02230008 ng_info...!..#.. 65a50 646d615f 696e666f 00000021 f2022300 dma_info...!..#. 65a60 08766964 00000022 0e022300 086f6666 .vid..."..#..off 65a70 6c6f6164 5f636170 00000022 25022300 load_cap..."%.#. 65a80 08737461 74730000 00224402 2300086d .stats..."D.#..m 65a90 63617374 5f696e66 6f000000 225d0223 cast_info..."].# 65aa0 00086d63 6173745f 63617000 000022bf ..mcast_cap...". 65ab0 02230000 14040000 23e80e41 44465f4e .#......#..ADF_N 65ac0 4255465f 52585f43 4b53554d 5f4e4f4e BUF_RX_CKSUM_NON 65ad0 4500000e 4144465f 4e425546 5f52585f E...ADF_NBUF_RX_ 65ae0 434b5355 4d5f4857 00010e41 44465f4e CKSUM_HW...ADF_N 65af0 4255465f 52585f43 4b53554d 5f554e4e BUF_RX_CKSUM_UNN 65b00 45434553 53415259 00020009 6164665f ECESSARY....adf_ 65b10 6e627566 5f72785f 636b7375 6d5f7479 nbuf_rx_cksum_ty 65b20 70655f74 00000023 91120800 00242808 pe_t...#.....$(. 65b30 72657375 6c740000 0023e802 23000876 result...#..#..v 65b40 616c0000 00160202 23040012 08000024 al......#......$ 65b50 58087479 70650000 00203402 2300086d X.type... 4.#..m 65b60 73730000 001c9102 23040868 64725f6f ss......#..hdr_o 65b70 66660000 00166902 23060007 5f5f6164 ff....i.#...__ad 65b80 665f6e62 75665f71 68656164 000c0000 f_nbuf_qhead.... 65b90 24970868 65616400 00001422 02230008 $..head....".#.. 65ba0 7461696c 00000014 22022304 08716c65 tail....".#..qle 65bb0 6e000000 16020223 0800095f 5f616466 n......#...__adf 65bc0 5f6e6275 665f7400 00001422 03000016 _nbuf_t....".... 65bd0 78040003 00001602 04000201 06000013 x............... 65be0 04010600 00160201 06000016 78010600 ............x... 65bf0 00167801 03000012 e5040009 5f5f6164 ..x.........__ad 65c00 665f6e62 75665f71 68656164 5f740000 f_nbuf_qhead_t.. 65c10 00245809 5f5f6164 665f6e62 75665f71 .$X.__adf_nbuf_q 65c20 75657565 5f740000 0024d803 000024f0 ueue_t...$....$. 65c30 04000600 00249701 06000024 97011404 .....$.....$.... 65c40 00002610 0e415f53 54415455 535f4f4b ..&..A_STATUS_OK 65c50 00000e41 5f535441 5455535f 4641494c ...A_STATUS_FAIL 65c60 45440001 0e415f53 54415455 535f454e ED...A_STATUS_EN 65c70 4f454e54 00020e41 5f535441 5455535f OENT...A_STATUS_ 65c80 454e4f4d 454d0003 0e415f53 54415455 ENOMEM...A_STATU 65c90 535f4549 4e56414c 00040e41 5f535441 S_EINVAL...A_STA 65ca0 5455535f 45494e50 524f4752 45535300 TUS_EINPROGRESS. 65cb0 050e415f 53544154 55535f45 4e4f5453 ..A_STATUS_ENOTS 65cc0 55505000 060e415f 53544154 55535f45 UPP...A_STATUS_E 65cd0 42555359 00070e41 5f535441 5455535f BUSY...A_STATUS_ 65ce0 45324249 4700080e 415f5354 41545553 E2BIG...A_STATUS 65cf0 5f454144 44524e4f 54415641 494c0009 _EADDRNOTAVAIL.. 65d00 0e415f53 54415455 535f454e 58494f00 .A_STATUS_ENXIO. 65d10 0a0e415f 53544154 55535f45 4641554c ..A_STATUS_EFAUL 65d20 54000b0e 415f5354 41545553 5f45494f T...A_STATUS_EIO 65d30 000c0009 615f7374 61747573 5f740000 ....a_status_t.. 65d40 00251b06 00002610 01060000 00fd0102 .%....&......... 65d50 01096164 665f6e62 75665f74 00000024 ..adf_nbuf_t...$ 65d60 97140400 0026750e 4144465f 4f535f44 .....&u.ADF_OS_D 65d70 4d415f54 4f5f4445 56494345 00000e41 MA_TO_DEVICE...A 65d80 44465f4f 535f444d 415f4652 4f4d5f44 DF_OS_DMA_FROM_D 65d90 45564943 45000100 09616466 5f6f735f EVICE....adf_os_ 65da0 646d615f 6469725f 74000000 263e0600 dma_dir_t...&>.. 65db0 00261001 02010961 64665f6f 735f646d .&.....adf_os_dm 65dc0 616d6170 5f696e66 6f5f7400 0000161f amap_info_t..... 65dd0 03000026 93040002 01020106 0000262e ...&..........&. 65de0 01060000 24970102 01020106 0000262e ....$.........&. 65df0 01060000 24970106 0000262e 01060000 ....$.....&..... 65e00 24970106 0000262e 01020102 01060000 $.....&......... 65e10 16020106 00001678 01020102 01060000 .......x........ 65e20 1b2f0106 00001a7c 01060000 1a7c0109 ./.....|.....|.. 65e30 6164665f 6f735f73 676c6973 745f7400 adf_os_sglist_t. 65e40 000016b7 03000027 0c040002 01020102 .......'........ 65e50 01060000 16780109 6164665f 6e627566 .....x..adf_nbuf 65e60 5f717565 75655f74 00000024 f0030000 _queue_t...$.... 65e70 27340400 02010300 0024d804 00020102 '4.......$...... 65e80 01020106 0000262e 01060000 24970106 ......&.....$... 65e90 00001602 01060000 16020106 00001a7c ...............| 65ea0 01060000 1a7c0106 00001f98 01060000 .....|.......... 65eb0 16020109 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ 65ec0 636b7375 6d5f7400 00002406 03000027 cksum_t...$....' 65ed0 90040002 01020109 6164665f 6e627566 ........adf_nbuf 65ee0 5f74736f 5f740000 00242803 000027b4 _tso_t...$(...'. 65ef0 04000201 02010961 64665f6e 65745f68 .......adf_net_h 65f00 616e646c 655f7400 000003f2 09616466 andle_t......adf 65f10 5f6e6574 5f766c61 6e686472 5f740000 _net_vlanhdr_t.. 65f20 001da403 000027e9 04000600 00261001 ......'......&.. 65f30 06000026 10010201 0201075f 4849465f ...&......._HIF_ 65f40 434f4e46 49470004 00002838 0864756d CONFIG....(8.dum 65f50 6d790000 0000fd02 23000002 01030000 my......#....... 65f60 28380400 02010300 00284104 00075f48 (8.......(A..._H 65f70 49465f43 414c4c42 41434b00 0c000028 IF_CALLBACK....( 65f80 96087365 6e645f62 75665f64 6f6e6500 ..send_buf_done. 65f90 0000283a 02230008 72656376 5f627566 ..(:.#..recv_buf 65fa0 00000028 43022304 08636f6e 74657874 ...(C.#..context 65fb0 00000003 f2022308 00096869 665f6861 ......#...hif_ha 65fc0 6e646c65 5f740000 0003f209 4849465f ndle_t......HIF_ 65fd0 434f4e46 49470000 00281703 000028a8 CONFIG...(....(. 65fe0 04000600 00289601 03000028 bf040002 .....(.....(.... 65ff0 01030000 28cc0400 09484946 5f43414c ....(....HIF_CAL 66000 4c424143 4b000000 284a0300 0028d504 LBACK...(J...(.. 66010 00020103 000028ee 04000600 0000fd01 ......(......... 66020 03000028 f7040002 01030000 29040400 ...(........)... 66030 06000000 fd010300 00290d04 00020103 .........)...... 66040 0000291a 04000600 0000fd01 03000029 ..)............) 66050 23040002 01030000 29300400 07686966 #.......)0...hif 66060 5f617069 00380000 2a89085f 696e6974 _api.8..*.._init 66070 00000028 c5022300 085f7368 7574646f ...(..#.._shutdo 66080 776e0000 0028ce02 2304085f 72656769 wn...(..#.._regi 66090 73746572 5f63616c 6c626163 6b000000 ster_callback... 660a0 28f00223 08085f67 65745f74 6f74616c (..#.._get_total 660b0 5f637265 6469745f 636f756e 74000000 _credit_count... 660c0 28fd0223 0c085f73 74617274 00000028 (..#.._start...( 660d0 ce022310 085f636f 6e666967 5f706970 ..#.._config_pip 660e0 65000000 29060223 14085f73 656e645f e...)..#.._send_ 660f0 62756666 65720000 00291302 2318085f buffer...)..#.._ 66100 72657475 726e5f72 6563765f 62756600 return_recv_buf. 66110 0000291c 02231c08 5f69735f 70697065 ..)..#.._is_pipe 66120 5f737570 706f7274 65640000 00292902 _supported...)). 66130 2320085f 6765745f 6d61785f 6d73675f # ._get_max_msg_ 66140 6c656e00 00002929 02232408 5f676574 len...)).#$._get 66150 5f726573 65727665 645f6865 6164726f _reserved_headro 66160 6f6d0000 0028fd02 2328085f 6973725f om...(..#(._isr_ 66170 68616e64 6c657200 000028ce 02232c08 handler...(..#,. 66180 5f676574 5f646566 61756c74 5f706970 _get_default_pip 66190 65000000 29320223 30087052 65736572 e...)2.#0.pReser 661a0 76656400 000003f2 02233400 0d646d61 ved......#4..dma 661b0 5f656e67 696e6500 0400002b 120e444d _engine....+..DM 661c0 415f454e 47494e45 5f525830 00000e44 A_ENGINE_RX0...D 661d0 4d415f45 4e47494e 455f5258 3100010e MA_ENGINE_RX1... 661e0 444d415f 454e4749 4e455f52 58320002 DMA_ENGINE_RX2.. 661f0 0e444d41 5f454e47 494e455f 52583300 .DMA_ENGINE_RX3. 66200 030e444d 415f454e 47494e45 5f545830 ..DMA_ENGINE_TX0 66210 00040e44 4d415f45 4e47494e 455f5458 ...DMA_ENGINE_TX 66220 3100050e 444d415f 454e4749 4e455f4d 1...DMA_ENGINE_M 66230 41580006 0009646d 615f656e 67696e65 AX....dma_engine 66240 5f740000 002a890d 646d615f 69667479 _t...*..dma_ifty 66250 70650004 00002b5f 0e444d41 5f49465f pe....+_.DMA_IF_ 66260 474d4143 00000e44 4d415f49 465f5043 GMAC...DMA_IF_PC 66270 4900010e 444d415f 49465f50 43494500 I...DMA_IF_PCIE. 66280 02000964 6d615f69 66747970 655f7400 ...dma_iftype_t. 66290 00002b24 06000012 d7010300 002b7104 ..+$.........+q. 662a0 00020103 00002b7e 04000201 0300002b ......+~.......+ 662b0 87040006 00000909 01030000 2b900400 ............+... 662c0 06000012 d7010300 002b9d04 00060000 .........+...... 662d0 12d70103 00002baa 04000600 00142201 ......+.......". 662e0 0300002b b7040002 01030000 2bc40400 ...+........+... 662f0 07646d61 5f6c6962 5f617069 00340000 .dma_lib_api.4.. 66300 2ccb0874 785f696e 69740000 002b7702 ,..tx_init...+w. 66310 23000874 785f7374 61727400 00002b80 #..tx_start...+. 66320 02230408 72785f69 6e697400 00002b77 .#..rx_init...+w 66330 02230808 72785f63 6f6e6669 67000000 .#..rx_config... 66340 2b890223 0c087278 5f737461 72740000 +..#..rx_start.. 66350 002b8002 23100869 6e74725f 73746174 .+..#..intr_stat 66360 75730000 002b9602 23140868 6172645f us...+..#..hard_ 66370 786d6974 0000002b a3022318 08666c75 xmit...+..#..flu 66380 73685f78 6d697400 00002b80 02231c08 sh_xmit...+..#.. 66390 786d6974 5f646f6e 65000000 2bb00223 xmit_done...+..# 663a0 20087265 61705f78 6d697474 65640000 .reap_xmitted.. 663b0 002bbd02 23240872 6561705f 72656376 .+..#$.reap_recv 663c0 0000002b bd022328 08726574 75726e5f ...+..#(.return_ 663d0 72656376 0000002b c602232c 08726563 recv...+..#,.rec 663e0 765f706b 74000000 2bb00223 3000075f v_pkt...+..#0.._ 663f0 5f706369 5f736f66 7463000c 00002ce9 _pci_softc....,. 66400 08737700 000028d5 02230000 095f5f70 .sw...(..#...__p 66410 63695f73 6f667463 5f740000 002ccb03 ci_softc_t...,.. 66420 00002ce9 04000201 0300002d 03040006 ..,........-.... 66430 000012c3 01030000 2d0c0400 0d686966 ........-....hif 66440 5f706369 5f706970 655f7478 00040000 _pci_pipe_tx.... 66450 2d6c0e48 49465f50 43495f50 4950455f -l.HIF_PCI_PIPE_ 66460 54583000 000e4849 465f5043 495f5049 TX0...HIF_PCI_PI 66470 50455f54 58310001 0e484946 5f504349 PE_TX1...HIF_PCI 66480 5f504950 455f5458 5f4d4158 00020009 _PIPE_TX_MAX.... 66490 6869665f 7063695f 70697065 5f74785f hif_pci_pipe_tx_ 664a0 74000000 2d190600 002b1201 0300002d t...-....+.....- 664b0 8304000d 6869665f 7063695f 70697065 ....hif_pci_pipe 664c0 5f727800 0400002e 090e4849 465f5043 _rx.......HIF_PC 664d0 495f5049 50455f52 58300000 0e484946 I_PIPE_RX0...HIF 664e0 5f504349 5f504950 455f5258 3100010e _PCI_PIPE_RX1... 664f0 4849465f 5043495f 50495045 5f525832 HIF_PCI_PIPE_RX2 66500 00020e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 66510 52583300 030e4849 465f5043 495f5049 RX3...HIF_PCI_PI 66520 50455f52 585f4d41 58000400 09686966 PE_RX_MAX....hif 66530 5f706369 5f706970 655f7278 5f740000 _pci_pipe_rx_t.. 66540 002d9006 00002b12 01030000 2e200400 .-....+...... .. 66550 07686966 5f706369 5f617069 00240000 .hif_pci_api.$.. 66560 2efe0870 63695f62 6f6f745f 696e6974 ...pci_boot_init 66570 00000000 f6022300 08706369 5f696e69 ......#..pci_ini 66580 74000000 28c50223 04087063 695f7265 t...(..#..pci_re 66590 73657400 000000f6 02230808 7063695f set......#..pci_ 665a0 656e6162 6c650000 0000f602 230c0870 enable......#..p 665b0 63695f72 6561705f 786d6974 74656400 ci_reap_xmitted. 665c0 00002d05 02231008 7063695f 72656170 ..-..#..pci_reap 665d0 5f726563 76000000 2d050223 14087063 _recv...-..#..pc 665e0 695f6765 745f7069 70650000 002d1202 i_get_pipe...-.. 665f0 23180870 63695f67 65745f74 785f656e #..pci_get_tx_en 66600 67000000 2d890223 1c087063 695f6765 g...-..#..pci_ge 66610 745f7278 5f656e67 0000002e 26022320 t_rx_eng....&.# 66620 0007676d 61635f61 70690004 00002f25 ..gmac_api..../% 66630 08676d61 635f626f 6f745f69 6e697400 .gmac_boot_init. 66640 000000f6 02230000 0f000003 0a060000 .....#.......... 66650 2f321005 00075f5f 65746868 6472000e /2....__ethhdr.. 66660 00002f68 08647374 0000002f 25022300 ../h.dst.../%.#. 66670 08737263 0000002f 25022306 08657479 .src.../%.#..ety 66680 70650000 0012d702 230c0007 5f5f6174 pe......#...__at 66690 68686472 00040000 2fb61572 65730000 hhdr..../..res.. 666a0 0012c301 00020223 00157072 6f746f00 .......#..proto. 666b0 000012c3 01020602 23000872 65735f6c ........#..res_l 666c0 6f000000 12c30223 01087265 735f6869 o......#..res_hi 666d0 00000012 d7022302 00075f5f 676d6163 ......#...__gmac 666e0 5f686472 00140000 2ff20865 74680000 _hdr..../..eth.. 666f0 002f3202 23000861 74680000 002f6802 ./2.#..ath.../h. 66700 230e0861 6c69676e 5f706164 00000012 #..align_pad.... 66710 d7022312 00095f5f 676d6163 5f686472 ..#...__gmac_hdr 66720 5f740000 002fb607 5f5f676d 61635f73 _t.../..__gmac_s 66730 6f667463 00240000 303c0868 64720000 oftc.$..0<.hdr.. 66740 002ff202 23000867 72616e00 000012d7 ./..#..gran..... 66750 02231408 73770000 0028d502 2318000c .#..sw...(..#... 66760 5f415f6f 735f6c69 6e6b6167 655f6368 _A_os_linkage_ch 66770 65636b00 00010300 00303c04 00060000 eck......0<..... 66780 00fd0103 0000305a 04000300 0003f504 ......0Z........ 66790 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 667a0 65637469 6f6e5f74 61626c65 0001b800 ection_table.... 667b0 0031aa08 68616c5f 6c696e6b 6167655f .1..hal_linkage_ 667c0 63686563 6b000000 30600223 00087374 check...0`.#..st 667d0 6172745f 62737300 00003067 02230408 art_bss...0g.#.. 667e0 6170705f 73746172 74000000 00f60223 app_start......# 667f0 08086d65 6d000000 04350223 0c086d69 ..mem....5.#..mi 66800 73630000 00055402 23200870 72696e74 sc....T.# .print 66810 66000000 012a0223 44087561 72740000 f....*.#D.uart.. 66820 0001f302 234c0867 6d616300 00002efe ....#L.gmac..... 66830 02236c08 75736200 00000f83 02237008 .#l.usb......#p. 66840 636c6f63 6b000000 0acc0323 e0010874 clock......#...t 66850 696d6572 00000007 6c032384 0208696e imer....l.#...in 66860 74720000 000c1c03 23980208 616c6c6f tr......#...allo 66870 6372616d 00000009 240323c4 0208726f cram....$.#...ro 66880 6d700000 00081603 23d00208 7764745f mp......#...wdt_ 66890 74696d65 72000000 0df90323 e0020865 timer......#...e 668a0 65700000 000f2703 23fc0208 73747269 ep....'.#...stri 668b0 6e670000 00067803 238c0308 7461736b ng....x.#...task 668c0 6c657400 00000a21 0323a403 00075f55 let....!.#...._U 668d0 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. 668e0 0000321d 08676574 5f636f6d 6d616e64 ..2..get_command 668f0 5f627566 00000014 2f022300 08726563 _buf..../.#..rec 66900 765f636f 6d6d616e 64000000 14450223 v_command....E.# 66910 04086765 745f6576 656e745f 62756600 ..get_event_buf. 66920 0000142f 02230808 73656e64 5f657665 .../.#..send_eve 66930 6e745f64 6f6e6500 00001445 02230c00 nt_done....E.#.. 66940 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG 66950 00000031 aa030000 321d0400 02010300 ...1....2....... 66960 00323904 00077573 62666966 6f5f6170 .29...usbfifo_ap 66970 69000c00 00328f08 5f696e69 74000000 i....2.._init... 66980 323b0223 00085f65 6e61626c 655f6576 2;.#.._enable_ev 66990 656e745f 69737200 000000f6 02230408 ent_isr......#.. 669a0 70526573 65727665 64000000 03f20223 pReserved......# 669b0 08000f00 00166902 0000329c 10010007 ......i...2..... 669c0 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. 669d0 0000330e 08456e64 706f696e 74494400 ..3..EndpointID. 669e0 00001669 02230008 466c6167 73000000 ...i.#..Flags... 669f0 16690223 01085061 796c6f61 644c656e .i.#..PayloadLen 66a00 0000001c 91022302 08436f6e 74726f6c ......#..Control 66a10 42797465 73000000 328f0223 0408486f Bytes...2..#..Ho 66a20 73745365 714e756d 0000001c 91022306 stSeqNum......#. 66a30 00120200 00332708 4d657373 61676549 .....3'.MessageI 66a40 44000000 1c910223 00001208 0000338a D......#......3. 66a50 084d6573 73616765 49440000 001c9102 .MessageID...... 66a60 23000843 72656469 74436f75 6e740000 #..CreditCount.. 66a70 001c9102 23020843 72656469 7453697a ....#..CreditSiz 66a80 65000000 1c910223 04084d61 78456e64 e......#..MaxEnd 66a90 706f696e 74730000 00166902 2306085f points....i.#.._ 66aa0 50616431 00000016 69022307 00120a00 Pad1....i.#..... 66ab0 00342108 4d657373 61676549 44000000 .4!.MessageID... 66ac0 1c910223 00085365 72766963 65494400 ...#..ServiceID. 66ad0 00001c91 02230208 436f6e6e 65637469 .....#..Connecti 66ae0 6f6e466c 61677300 00001c91 02230408 onFlags......#.. 66af0 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. 66b00 00166902 23060855 704c696e 6b506970 ..i.#..UpLinkPip 66b10 65494400 00001669 02230708 53657276 eID....i.#..Serv 66b20 6963654d 6574614c 656e6774 68000000 iceMetaLength... 66b30 16690223 08085f50 61643100 00001669 .i.#.._Pad1....i 66b40 02230900 120a0000 34a9084d 65737361 .#......4..Messa 66b50 67654944 0000001c 91022300 08536572 geID......#..Ser 66b60 76696365 49440000 001c9102 23020853 viceID......#..S 66b70 74617475 73000000 16690223 0408456e tatus....i.#..En 66b80 64706f69 6e744944 00000016 69022305 dpointID....i.#. 66b90 084d6178 4d736753 697a6500 00001c91 .MaxMsgSize..... 66ba0 02230608 53657276 6963654d 6574614c .#..ServiceMetaL 66bb0 656e6774 68000000 16690223 08085f50 ength....i.#.._P 66bc0 61643100 00001669 02230900 12020000 ad1....i.#...... 66bd0 34c2084d 65737361 67654944 0000001c 4..MessageID.... 66be0 91022300 00120400 0034fe08 4d657373 ..#......4..Mess 66bf0 61676549 44000000 1c910223 00085069 ageID......#..Pi 66c00 70654944 00000016 69022302 08437265 peID....i.#..Cre 66c10 64697443 6f756e74 00000016 69022303 ditCount....i.#. 66c20 00120400 00353508 4d657373 61676549 .....55.MessageI 66c30 44000000 1c910223 00085069 70654944 D......#..PipeID 66c40 00000016 69022302 08537461 74757300 ....i.#..Status. 66c50 00001669 02230300 12020000 355c0852 ...i.#......5\.R 66c60 65636f72 64494400 00001669 02230008 ecordID....i.#.. 66c70 4c656e67 74680000 00166902 23010012 Length....i.#... 66c80 02000035 8608456e 64706f69 6e744944 ...5..EndpointID 66c90 00000016 69022300 08437265 64697473 ....i.#..Credits 66ca0 00000016 69022301 00120400 0035c708 ....i.#......5.. 66cb0 456e6470 6f696e74 49440000 00166902 EndpointID....i. 66cc0 23000843 72656469 74730000 00166902 #..Credits....i. 66cd0 23010854 67744372 65646974 5365714e #..TgtCreditSeqN 66ce0 6f000000 1c910223 02000f00 00166904 o......#......i. 66cf0 000035d4 10030012 06000036 10085072 ..5........6..Pr 66d00 6556616c 69640000 00166902 2300084c eValid....i.#..L 66d10 6f6f6b41 68656164 00000035 c7022301 ookAhead...5..#. 66d20 08506f73 7456616c 69640000 00166902 .PostValid....i. 66d30 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ 66d40 74000000 03f20600 00361001 03000036 t........6.....6 66d50 23040002 01030000 36300400 14040000 #.......60...... 66d60 36ae0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C 66d70 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID 66d80 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP 66d90 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI 66da0 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO 66db0 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF 66dc0 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. 66dd0 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... 66de0 36390201 03000036 bf040006 0000262e 69.....6......&. 66df0 01030000 36c80400 06000026 2e010300 ....6......&.... 66e00 0036d504 00020103 000036e2 04000762 .6........6....b 66e10 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 66e20 84085f69 6e697400 00003629 02230008 .._init...6).#.. 66e30 5f736875 74646f77 6e000000 36320223 _shutdown...62.# 66e40 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. 66e50 0036c102 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu 66e60 66000000 36ce0223 0c085f61 6c6c6f63 f...6..#.._alloc 66e70 5f627566 5f616c69 676e0000 0036db02 _buf_align...6.. 66e80 2310085f 66726565 5f627566 00000036 #.._free_buf...6 66e90 e4022314 08705265 73657276 65640000 ..#..pReserved.. 66ea0 0003f202 23180007 5f485443 5f534552 ....#..._HTC_SER 66eb0 56494345 001c0000 38630870 4e657874 VICE....8c.pNext 66ec0 00000038 63022300 0850726f 63657373 ...8c.#..Process 66ed0 52656376 4d736700 00003918 02230408 RecvMsg...9..#.. 66ee0 50726f63 65737353 656e6442 75666665 ProcessSendBuffe 66ef0 72436f6d 706c6574 65000000 39210223 rComplete...9!.# 66f00 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect 66f10 00000039 3502230c 08536572 76696365 ...95.#..Service 66f20 49440000 0012d702 23100853 65727669 ID......#..Servi 66f30 6365466c 61677300 000012d7 02231208 ceFlags......#.. 66f40 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... 66f50 12d70223 14085472 61696c65 72537063 ...#..TrailerSpc 66f60 43686563 6b4c696d 69740000 0012d702 CheckLimit...... 66f70 23160853 65727669 63654374 78000000 #..ServiceCtx... 66f80 03f20223 18000300 00378404 00140400 ...#.....7...... 66f90 00390119 454e4450 4f494e54 5f554e55 .9..ENDPOINT_UNU 66fa0 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN 66fb0 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. 66fc0 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END 66fd0 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN 66fe0 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. 66ff0 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END 67000 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN 67010 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA 67020 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN 67030 545f4944 00000038 6a020103 00003916 T_ID...8j.....9. 67040 04000201 03000039 1f040003 000000fd .......9........ 67050 04000600 0012c301 03000039 2f040003 ...........9/... 67060 00003784 0400075f 4854435f 434f4e46 ..7...._HTC_CONF 67070 49470014 000039b4 08437265 64697453 IG....9..CreditS 67080 697a6500 000000fd 02230008 43726564 ize......#..Cred 67090 69744e75 6d626572 00000000 fd022304 itNumber......#. 670a0 084f5348 616e646c 65000000 1a2d0223 .OSHandle....-.# 670b0 08084849 4648616e 646c6500 00002896 ..HIFHandle...(. 670c0 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 670d0 00361002 23100007 5f485443 5f425546 .6..#..._HTC_BUF 670e0 5f434f4e 54455854 00020000 39f00865 _CONTEXT....9..e 670f0 6e645f70 6f696e74 00000012 c3022300 nd_point......#. 67100 08687463 5f666c61 67730000 0012c302 .htc_flags...... 67110 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t 67120 00000003 f2094854 435f5345 5455505f ......HTC_SETUP_ 67130 434f4d50 4c455445 5f434200 000000f6 COMPLETE_CB..... 67140 09485443 5f434f4e 46494700 00003943 .HTC_CONFIG...9C 67150 0300003a 1d040006 000039f0 01030000 ...:......9..... 67160 3a340400 02010300 003a4104 00094854 :4.......:A...HT 67170 435f5345 52564943 45000000 37840300 C_SERVICE...7... 67180 003a4a04 00020103 00003a62 04000201 .:J.......:b.... 67190 0300003a 6b040002 01030000 3a740400 ...:k.......:t.. 671a0 06000000 fd010300 003a7d04 00076874 .........:}...ht 671b0 635f6170 69730034 00003bfa 085f4854 c_apis.4..;.._HT 671c0 435f496e 69740000 003a3a02 2300085f C_Init...::.#.._ 671d0 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: 671e0 43022304 085f4854 435f5265 67697374 C.#.._HTC_Regist 671f0 65725365 72766963 65000000 3a640223 erService...:d.# 67200 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: 67210 4302230c 085f4854 435f5265 7475726e C.#.._HTC_Return 67220 42756666 65727300 00003a6d 02231008 Buffers...:m.#.. 67230 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe 67240 72734c69 73740000 003a7602 2314085f rsList...:v.#.._ 67250 4854435f 53656e64 4d736700 00003a6d HTC_SendMsg...:m 67260 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese 67270 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: 67280 8302231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec 67290 7648616e 646c6572 00000028 43022320 vHandler...(C.# 672a0 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa 672b0 6e646c65 72000000 283a0223 24085f48 ndler...(:.#$._H 672c0 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro 672d0 63657373 4d736700 00003918 02232808 cessMsg...9..#(. 672e0 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP 672f0 726f6365 73735365 6e64436f 6d706c65 rocessSendComple 67300 74650000 00392102 232c0870 52657365 te...9!.#,.pRese 67310 72766564 00000003 f2022330 0007686f rved......#0..ho 67320 73745f61 70705f61 7265615f 73000400 st_app_area_s... 67330 003c2a08 776d695f 70726f74 6f636f6c .<*.wmi_protocol 67340 5f766572 00000016 02022300 00120e00 _ver......#..... 67350 003c6108 6473744d 61630000 001c4e02 .].Ht 67530 6348616e 646c6500 000039f0 02230008 cHandle...9..#.. 67540 506f6f6c 48616e64 6c650000 00361002 PoolHandle...6.. 67550 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv 67560 74730000 0000fd02 2308084d 61784576 ts......#..MaxEv 67570 656e7445 76747300 000000fd 02230c00 entEvts......#.. 67580 02010300 003e5d04 0009574d 495f434d .....>]...WMI_CM 67590 445f4841 4e444c45 52000000 3e5f075f D_HANDLER...>_._ 675a0 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT 675b0 52590008 00003ec6 0870436d 6448616e RY....>..pCmdHan 675c0 646c6572 0000003e 66022300 08436d64 dler...>f.#..Cmd 675d0 49440000 0012d702 23040846 6c616773 ID......#..Flags 675e0 00000012 d7022306 00075f57 4d495f44 ......#..._WMI_D 675f0 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... 67600 003f2708 704e6578 74000000 3f270223 .?'.pNext...?'.# 67610 00087043 6f6e7465 78740000 0003f202 ..pContext...... 67620 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri 67630 65730000 0000fd02 23080870 5461626c es......#..pTabl 67640 65000000 3f460223 0c000300 003ec604 e...?F.#.....>.. 67650 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E 67660 4e545259 0000003e 7b030000 3f2e0400 NTRY...>{...?... 67670 0300003e c6040009 4854435f 4255465f ...>....HTC_BUF_ 67680 434f4e54 45585400 000039b4 0d574d49 CONTEXT...9..WMI 67690 5f455654 5f434c41 53530004 00003fde _EVT_CLASS....?. 676a0 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N 676b0 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT 676c0 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT 676d0 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 676e0 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI 676f0 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. 67700 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. 67710 00003f69 075f574d 495f4255 465f434f ..?i._WMI_BUF_CO 67720 4e544558 54000c00 00403c08 48746342 NTEXT....@<.HtcB 67730 75664374 78000000 3f540223 00084576 ufCtx...?T.#..Ev 67740 656e7443 6c617373 0000003f de022304 entClass...?..#. 67750 08466c61 67730000 0012d702 23080009 .Flags......#... 67760 776d695f 68616e64 6c655f74 00000003 wmi_handle_t.... 67770 f209574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG 67780 0000003d f4030000 404e0400 06000040 ...=....@N.....@ 67790 3c010300 00406904 0009574d 495f4449 <....@i...WMI_DI 677a0 53504154 43485f54 41424c45 0000003e SPATCH_TABLE...> 677b0 c6030000 40760400 02010300 00409504 ....@v.......@.. 677c0 00060000 262e0103 0000409e 04000201 ....&.....@..... 677d0 03000040 ab040006 000000fd 01030000 ...@............ 677e0 40b40400 02010300 0040c104 00060000 @........@...... 677f0 12c30103 000040ca 0400075f 776d695f ......@...._wmi_ 67800 7376635f 61706973 002c0000 4212085f svc_apis.,..B.._ 67810 574d495f 496e6974 00000040 6f022300 WMI_Init...@o.#. 67820 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi 67830 73706174 63685461 626c6500 00004097 spatchTable...@. 67840 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv 67850 656e7400 000040a4 02230808 5f574d49 ent...@..#.._WMI 67860 5f53656e 64457665 6e740000 0040ad02 _SendEvent...@.. 67870 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi 67880 6e674576 656e7473 436f756e 74000000 ngEventsCount... 67890 40ba0223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC 678a0 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. 678b0 00392102 2314085f 574d495f 47657443 .9!.#.._WMI_GetC 678c0 6f6e7472 6f6c4570 00000040 ba022318 ontrolEp...@..#. 678d0 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. 678e0 0040c302 231c085f 574d495f 52656376 .@..#.._WMI_Recv 678f0 4d657373 61676548 616e646c 65720000 MessageHandler.. 67900 00391802 2320085f 574d495f 53657276 .9..# ._WMI_Serv 67910 69636543 6f6e6e65 63740000 0040d002 iceConnect...@.. 67920 23240870 52657365 72766564 00000003 #$.pReserved.... 67930 f2022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. 67940 14000042 94086374 726c0000 00016102 ...B..ctrl....a. 67950 23000873 74617475 73000000 01610223 #..status....a.# 67960 0208746f 74616c4c 656e0000 00016102 ..totalLen....a. 67970 23040864 61746153 697a6500 00000161 #..dataSize....a 67980 02230608 6c617374 41646472 00000042 .#..lastAddr...B 67990 94022308 08646174 61416464 72000000 ..#..dataAddr... 679a0 01850223 0c086e65 78744164 64720000 ...#..nextAddr.. 679b0 00429402 23100003 00004212 04000300 .B..#.....B..... 679c0 00421204 00077a73 446d6151 75657565 .B....zsDmaQueue 679d0 00080000 42d40868 65616400 0000429b ....B..head...B. 679e0 02230008 7465726d 696e6174 6f720000 .#..terminator.. 679f0 00429b02 23040007 7a735478 446d6151 .B..#...zsTxDmaQ 67a00 75657565 00100000 43380868 65616400 ueue....C8.head. 67a10 0000429b 02230008 7465726d 696e6174 ..B..#..terminat 67a20 6f720000 00429b02 23040878 6d697465 or...B..#..xmite 67a30 645f6275 665f6865 61640000 00142202 d_buf_head....". 67a40 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta 67a50 696c0000 00142202 230c0002 01030000 il....".#....... 67a60 43380400 03000042 a2040002 01030000 C8.....B........ 67a70 43480400 03000042 d4040002 01030000 CH.....B........ 67a80 43580400 02010300 00436104 00020103 CX.......Ca..... 67a90 0000436a 04000600 00142201 03000043 ..Cj......"....C 67aa0 73040002 01030000 43800400 06000014 s.......C....... 67ab0 22010300 00438904 00020103 00004396 "....C........C. 67ac0 04000600 0000fd01 03000043 9f040006 ...........C.... 67ad0 0000429b 01030000 43ac0400 02010300 ..B.....C....... 67ae0 0043b904 0007646d 615f656e 67696e65 .C....dma_engine 67af0 5f617069 00400000 452f085f 696e6974 _api.@..E/._init 67b00 00000043 3a022300 085f696e 69745f72 ...C:.#.._init_r 67b10 785f7175 65756500 0000434a 02230408 x_queue...CJ.#.. 67b20 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. 67b30 00435a02 2308085f 636f6e66 69675f72 .CZ.#.._config_r 67b40 785f7175 65756500 00004363 02230c08 x_queue...Cc.#.. 67b50 5f786d69 745f6275 66000000 436c0223 _xmit_buf...Cl.# 67b60 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... 67b70 434a0223 14085f72 6561705f 72656376 CJ.#.._reap_recv 67b80 5f627566 00000043 79022318 085f7265 _buf...Cy.#.._re 67b90 7475726e 5f726563 765f6275 66000000 turn_recv_buf... 67ba0 43820223 1c085f72 6561705f 786d6974 C..#.._reap_xmit 67bb0 65645f62 75660000 00438f02 2320085f ed_buf...C..# ._ 67bc0 73776170 5f646174 61000000 43980223 swap_data...C..# 67bd0 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac 67be0 6b657473 00000043 a5022328 085f6465 kets...C..#(._de 67bf0 73635f64 756d7000 0000434a 02232c08 sc_dump...CJ.#,. 67c00 5f676574 5f706163 6b657400 000043b2 _get_packet...C. 67c10 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac 67c20 6b657400 000043bb 02233408 5f707574 ket...C..#4._put 67c30 5f706163 6b657400 000043bb 02233808 _packet...C..#8. 67c40 70526573 65727665 64000000 03f20223 pReserved......# 67c50 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi 67c60 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 67c70 0000306e 09574d49 5f535643 5f415049 ..0n.WMI_SVC_API 67c80 53000000 40d7175f 415f6d61 67706965 S...@.._A_magpie 67c90 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 67ca0 6c650003 4c000046 5d08636d 6e6f7300 le..L..F].cmnos. 67cb0 0000452f 02230008 64626700 000003bf ..E/.#..dbg..... 67cc0 0323b803 08686966 00000029 390323c0 .#...hif...)9.#. 67cd0 03086874 63000000 3a8a0323 f8030877 ..htc...:..#...w 67ce0 6d695f73 76635f61 70690000 00455103 mi_svc_api...EQ. 67cf0 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. 67d00 00003242 0323d804 08627566 5f706f6f ..2B.#...buf_poo 67d10 6c000000 36eb0323 e4040876 62756600 l...6..#...vbuf. 67d20 0000144c 03238005 08766465 73630000 ...L.#...vdesc.. 67d30 00132e03 23940508 616c6c6f 6372616d ....#...allocram 67d40 00000009 240323a8 0508646d 615f656e ....$.#...dma_en 67d50 67696e65 00000043 c20323b4 0508646d gine...C..#...dm 67d60 615f6c69 62000000 2bcd0323 f4050868 a_lib...+..#...h 67d70 69665f70 63690000 002e2d03 23a80600 if_pci....-.#... 67d80 06000003 f201095f 415f6d61 67706965 ......._A_magpie 67d90 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 67da0 6c655f74 00000045 63020102 01020106 le_t...Ec....... 67db0 000000fd 01075f48 54435f45 4e44504f ......_HTC_ENDPO 67dc0 494e5400 1c000047 91084372 65646974 INT....G..Credit 67dd0 73546f52 65747572 6e000000 1b8f0223 sToReturn......# 67de0 00087053 65727669 63650000 003a5b02 ..pService...:[. 67df0 23040843 72656469 74526574 75726e54 #..CreditReturnT 67e00 68726573 68686f6c 64000000 1b8f0223 hreshhold......# 67e10 08084372 65646974 73436f6e 73756d65 ..CreditsConsume 67e20 64000000 1b8f0223 0a08436f 6e6e6563 d......#..Connec 67e30 74696f6e 466c6167 73000000 12d70223 tionFlags......# 67e40 0c085065 6e64696e 67437265 64697452 ..PendingCreditR 67e50 65706f72 74730000 0000fd02 23100844 eports......#..D 67e60 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... 67e70 12c30223 14085570 4c696e6b 50697065 ...#..UpLinkPipe 67e80 49440000 0012c302 2315084c 61737453 ID......#..LastS 67e90 65714e75 6d000000 12d70223 16084e65 eqNum......#..Ne 67ea0 78744372 65646974 53657100 000012d7 xtCreditSeq..... 67eb0 02231800 09485443 5f454e44 504f494e .#...HTC_ENDPOIN 67ec0 54000000 46921600 00479102 68000047 T...F....G..h..G 67ed0 b1101500 175f4854 435f434f 4e544558 ....._HTC_CONTEX 67ee0 540002cc 000049ab 084f5348 616e646c T.....I..OSHandl 67ef0 65000000 1a2d0223 0008456e 64706f69 e....-.#..Endpoi 67f00 6e747300 000047a3 02230408 4570486f nts...G..#..EpHo 67f10 73744e65 65647343 72656469 744d6170 stNeedsCreditMap 67f20 00000009 090323ec 04084570 43726564 ......#...EpCred 67f30 69745065 6e64696e 674d6170 00000009 itPendingMap.... 67f40 090323f0 04084570 52656376 50617573 ..#...EpRecvPaus 67f50 65644d61 70000000 09090323 f4040843 edMap......#...C 67f60 75727265 6e744570 496e6465 78000000 urrentEpIndex... 67f70 39010323 f8040848 5443436f 6e74726f 9..#...HTCContro 67f80 6c536572 76696365 0000003a 4a0323fc lService...:J.#. 67f90 04087053 65727669 63654c69 73740000 ..pServiceList.. 67fa0 003a5b03 23980508 52656376 42756666 .:[.#...RecvBuff 67fb0 65725369 7a650000 0000fd03 239c0508 erSize......#... 67fc0 53746174 65466c61 67730000 00090903 StateFlags...... 67fd0 23a00508 53657475 70436f6d 706c6574 #...SetupComplet 67fe0 65436200 00003a02 0323a405 08546f74 eCb...:..#...Tot 67ff0 616c4372 65646974 73000000 00fd0323 alCredits......# 68000 a8050854 6f74616c 43726564 69747341 ...TotalCreditsA 68010 73736967 6e656400 000000fd 0323ac05 ssigned......#.. 68020 084e756d 42756666 65727346 6f724372 .NumBuffersForCr 68030 65646974 52707473 00000000 fd0323b0 editRpts......#. 68040 05084374 726c4275 66666572 416c6c6f ..CtrlBufferAllo 68050 6353697a 65000000 00fd0323 b4050870 cSize......#...p 68060 4374726c 42756666 65720000 0012d003 CtrlBuffer...... 68070 23b80508 4d617845 7050656e 64696e67 #...MaxEpPending 68080 43726564 69745270 74730000 0000fd03 CreditRpts...... 68090 23bc0508 68696648 616e646c 65000000 #...hifHandle... 680a0 28960323 c0050850 6f6f6c48 616e646c (..#...PoolHandl 680b0 65000000 36100323 c4050870 52657365 e...6..#...pRese 680c0 72766564 00000003 f20323c8 05000948 rved......#....H 680d0 54435f43 4f4e5445 58540000 0047b103 TC_CONTEXT...G.. 680e0 000049ab 04000201 03000047 a3040006 ..I........G.... 680f0 0000262e 01094854 435f5245 4144595f ..&...HTC_READY_ 68100 4d534700 00003327 03000049 d2040009 MSG...3'...I.... 68110 415f424f 4f4c0000 0000fd02 01030000 A_BOOL.......... 68120 47910400 02010300 003f5404 00094854 G........?T...HT 68130 435f4652 414d455f 48445200 0000329c C_FRAME_HDR...2. 68140 0300004a 0a040009 4854435f 5245434f ...J....HTC_RECO 68150 52445f48 44520000 00353503 00004a24 RD_HDR...55...J$ 68160 04000948 54435f43 52454449 545f5245 ...HTC_CREDIT_RE 68170 504f5254 5f315f31 00000035 86030000 PORT_1_1...5.... 68180 4a3f0400 02010201 0300003a 8a040002 J?.........:.... 68190 01020109 4854435f 434f4e4e 4543545f ....HTC_CONNECT_ 681a0 53455256 4943455f 4d534700 0000338a SERVICE_MSG...3. 681b0 0300004a 70040002 01094854 435f434f ...Jp.....HTC_CO 681c0 4e4e4543 545f5345 52564943 455f5245 NNECT_SERVICE_RE 681d0 53504f4e 53455f4d 53470000 00342103 SPONSE_MSG...4!. 681e0 00004a96 04000948 54435f43 4f4e4649 ..J....HTC_CONFI 681f0 475f5049 50455f4d 53470000 0034c203 G_PIPE_MSG...4.. 68200 00004ac3 04000201 09485443 5f434f4e ..J......HTC_CON 68210 4649475f 50495045 5f524553 504f4e53 FIG_PIPE_RESPONS 68220 455f4d53 47000000 34fe0300 004ae504 E_MSG...4....J.. 68230 00094854 435f554e 4b4e4f57 4e5f4d53 ..HTC_UNKNOWN_MS 68240 47000000 330e0300 004b0e04 0002011a G...3....K...... 68250 24013a61 64665f6e 6275665f 7461696c $.:adf_nbuf_tail 68260 726f6f6d 00000016 02010103 92012002 room.......... . 68270 9000008e 502c008e 504d0000 4b6a1b24 ....P,..PM..Kj.$ 68280 013a6275 66000000 262e0152 001a2401 .:buf...&..R..$. 68290 4a616466 5f6e6275 665f7075 73685f68 Jadf_nbuf_push_h 682a0 65616400 00001678 01010392 01200290 ead....x..... .. 682b0 00008e50 50008e50 7900004b b81b2401 ...PP..Py..K..$. 682c0 4a627566 00000026 2e01521b 24014a73 Jbuf...&..R.$.Js 682d0 697a6500 00001b2f 0153001a 24015a61 ize..../.S..$.Za 682e0 64665f6e 6275665f 7075745f 7461696c df_nbuf_put_tail 682f0 00000016 78010103 92012002 9000008e ....x..... ..... 68300 507c008e 50a70000 4c051b24 015a6275 P|..P...L..$.Zbu 68310 66000000 262e0152 1b24015a 73697a65 f...&..R.$.Zsize 68320 0000001b 2f015300 1a24016a 6164665f ..../.S..$.jadf_ 68330 6e627566 5f70756c 6c5f6865 61640000 nbuf_pull_head.. 68340 00167801 01039201 20029000 008e50a8 ..x..... .....P. 68350 008e50d2 00004c53 1b24016a 62756600 ..P...LS.$.jbuf. 68360 0000262e 01521b24 016a7369 7a650000 ..&..R.$.jsize.. 68370 001b2f01 53001a24 01886164 665f6e62 ../.S..$..adf_nb 68380 75665f6c 656e0000 001b2f01 01039201 uf_len..../..... 68390 20029000 008e50d4 008e50dc 00004c8c .....P...P...L. 683a0 1b240188 62756600 0000262e 0152001c .$..buf...&..R.. 683b0 2401b561 64665f6e 6275665f 7065656b $..adf_nbuf_peek 683c0 5f686561 64657200 01010392 01200290 _header...... .. 683d0 00008e50 dc008e50 f100004c e61b2401 ...P...P...L..$. 683e0 b5627566 00000026 2e01521b 2401b561 .buf...&..R.$..a 683f0 64647200 000024a9 01531b24 01b56c65 ddr...$..S.$..le 68400 6e000000 24b00154 001a2401 c5616466 n...$..T..$..adf 68410 5f6e6275 665f6765 745f7072 69760000 _nbuf_get_priv.. 68420 00167801 01039201 20029000 008e50f4 ..x..... .....P. 68430 008e50fb 00004d24 1b2401c5 62756600 ..P...M$.$..buf. 68440 0000262e 0152001d 01504854 435f4173 ..&..R...PHTC_As 68450 73656d62 6c654275 66666572 73000101 sembleBuffers... 68460 03920120 02900000 8e50fc00 8e511300 ... .....P...Q.. 68470 004d7c1e 01507048 54430000 0049bc01 .M|..PpHTC...I.. 68480 521e0150 436f756e 74000000 00fd0153 R..PCount......S 68490 1e015053 697a6500 000000fd 0154001f ..PSize......T.. 684a0 01575f48 54435f49 6e697400 000039f0 .W_HTC_Init...9. 684b0 01010103 92013002 9000008e 5114008e ......0.....Q... 684c0 51f40000 4df71e01 57536574 7570436f Q...M...WSetupCo 684d0 6d706c65 74650000 003a0201 521e0157 mplete...:..R..W 684e0 70436f6e 66696700 00003a2d 01532070 pConfig...:-.S p 684f0 48544300 000049bc 21686966 4342436f HTC...I.!hifCBCo 68500 6e666967 00000028 d5029150 20686f73 nfig...(...P hos 68510 745f6966 00000005 1f002201 b25f4854 t_if......".._HT 68520 435f5368 7574646f 776e0001 01010392 C_Shutdown...... 68530 01200290 00008e51 f4008e51 f900004e . .....Q...Q...N 68540 3c1e01b2 68746348 616e646c 65000000 <...htcHandle... 68550 39f00152 20704854 43000000 49bc001d 9..R pHTC...I... 68560 01b95f48 54435f52 65676973 74657253 .._HTC_RegisterS 68570 65727669 63650001 01039201 20029000 ervice...... ... 68580 008e51fc 008e5209 00004e99 1e01b968 ..Q...R...N....h 68590 74634861 6e646c65 00000039 f001521e tcHandle...9..R. 685a0 01b97053 65727669 63650000 003a5b01 ..pService...:[. 685b0 53207048 54430000 0049bc00 1d01c25f S pHTC...I....._ 685c0 4854435f 52656164 79000101 03920120 HTC_Ready...... 685d0 02900000 8e520c00 8e525e00 004efd1e .....R...R^..N.. 685e0 01c26874 6348616e 646c6500 000039f0 ..htcHandle...9. 685f0 01522070 48544300 000049bc 20704275 .R pHTC...I. pBu 68600 66666572 00000026 2e206164 64720000 ffer...&. addr.. 68610 00167820 70526561 64790000 0049e500 ..x pReady...I.. 68620 1d01de52 65747572 6e427566 66657273 ...ReturnBuffers 68630 00010103 92012002 9000008e 5260008e ...... .....R`.. 68640 52dd0000 4f9c1e01 de687463 48616e64 R...O....htcHand 68650 6c650000 0039f001 521e01de 456e6470 le...9..R...Endp 68660 6f696e74 49440000 00390101 531e01de ointID...9..S... 68670 70427566 66657273 00000026 2e01541e pBuffers...&..T. 68680 01de7365 6e644372 65646974 466c6167 ..sendCreditFlag 68690 00000049 ec015520 70485443 00000049 ...I..U pHTC...I 686a0 bc206570 43726564 69744d61 736b0000 . epCreditMask.. 686b0 00090920 6e627566 73000000 1b8f0023 ... nbufs......# 686c0 0101085f 4854435f 52657475 726e4275 ..._HTC_ReturnBu 686d0 66666572 734c6973 74000101 01049201 ffersList....... 686e0 c0000290 00008e52 e0008e53 17000050 .......R...S...P 686f0 2d1b0101 08687463 48616e64 6c650000 -....htcHandle.. 68700 0039f001 521b0101 08456e64 706f696e .9..R....Endpoin 68710 74494400 00003901 01531b01 01086275 tID...9..S....bu 68720 66486561 64000000 27340154 20704854 fHead...'4.T pHT 68730 43000000 49bc206e 65746275 66000000 C...I. netbuf... 68740 262e2074 6d704e62 75660000 00262e00 &. tmpNbuf...&.. 68750 2401011b 5f485443 5f526574 75726e42 $..._HTC_ReturnB 68760 75666665 72730001 01039201 20029000 uffers...... ... 68770 008e5318 008e5328 00005096 1b01011b ..S...S(..P..... 68780 68746348 616e646c 65000000 39f00152 htcHandle...9..R 68790 1b01011b 456e6470 6f696e74 49440000 ....EndpointID.. 687a0 00390101 531b0101 1b704275 66666572 .9..S....pBuffer 687b0 73000000 262e0154 00230101 205f4854 s...&..T.#.. _HT 687c0 435f5365 6e644d73 67000101 01049201 C_SendMsg....... 687d0 c0000290 00008e53 28008e54 82000051 .......S(..T...Q 687e0 b91b0101 20687463 48616e64 6c650000 .... htcHandle.. 687f0 0039f001 521b0101 20456e64 706f696e .9..R... Endpoin 68800 74494400 00003901 01531b01 01207042 tID...9..S... pB 68810 75666665 72730000 00262e01 54207048 uffers...&..T pH 68820 54430000 0049bc20 63747800 00004a03 TC...I. ctx...J. 68830 20746f74 737a0000 0000fd20 70485443 totsz..... pHTC 68840 48647200 00004a1d 20706970 654d6178 Hdr...J. pipeMax 68850 4c656e00 000000fd 20726f6f 6d466f72 Len..... roomFor 68860 50697065 4d61784c 656e0000 00090920 PipeMaxLen..... 68870 726f6f6d 00000000 fd20746f 74616c52 room..... totalR 68880 65706f72 74427974 65730000 0000fd20 eportBytes..... 68890 63726564 69747350 656e6469 6e674d61 creditsPendingMa 688a0 70000000 09092070 52656348 64720000 p..... pRecHdr.. 688b0 004a3820 69000000 00fd2063 6f6d7061 .J8 i..... compa 688c0 72654d61 736b0000 00090920 70437265 reMask..... pCre 688d0 64697452 70740000 004a5a00 240101ab ditRpt...JZ.$... 688e0 5f485443 5f506175 73655265 63760001 _HTC_PauseRecv.. 688f0 01039201 20029000 008e5484 008e5489 .... .....T...T. 68900 000051f7 1b0101ab 456e6470 6f696e74 ..Q.....Endpoint 68910 49440000 00390101 52002401 01b65f48 ID...9..R.$..._H 68920 54435f52 6573756d 65526563 76000101 TC_ResumeRecv... 68930 03920120 02900000 8e548c00 8e549100 ... .....T...T.. 68940 0052361b 0101b645 6e64706f 696e7449 .R6....EndpointI 68950 44000000 39010152 00250101 d45f4854 D...9..R.%..._HT 68960 435f4765 74526573 65727665 64486561 C_GetReservedHea 68970 64726f6f 6d000000 00fd0101 03920120 droom.......... 68980 02900000 8e549400 8e54a700 00528b1b .....T...T...R.. 68990 0101d468 74634861 6e646c65 00000039 ...htcHandle...9 689a0 f0015220 70485443 00000049 bc002401 ..R pHTC...I..$. 689b0 01db6874 635f6d6f 64756c65 5f696e73 ..htc_module_ins 689c0 74616c6c 00010103 92012002 9000008e tall...... ..... 689d0 54a8008e 54e90000 52c81b01 01db7041 T...T...R.....pA 689e0 50497300 00004a65 01520024 0101f648 PIs...Je.R.$...H 689f0 54434672 65654d73 67427566 66657200 TCFreeMsgBuffer. 68a00 01010392 01200290 00008e54 ec008e55 ..... .....T...U 68a10 01000053 101b0101 f6704854 43000000 ...S.....pHTC... 68a20 49bc0152 1b0101f6 62756600 0000262e I..R....buf...&. 68a30 01530025 0101fd48 5443416c 6c6f634d .S.%...HTCAllocM 68a40 73674275 66666572 00000026 2e010103 sgBuffer...&.... 68a50 92012002 9000008e 5504008e 55230000 .. .....U...U#.. 68a60 534f1b01 01fd7048 54430000 0049bc01 SO....pHTC...I.. 68a70 52002401 02024854 43436865 636b416e R.$...HTCCheckAn 68a80 6453656e 64437265 64697452 65706f72 dSendCreditRepor 68a90 74000101 03920120 02900000 8e552400 t...... .....U$. 68aa0 8e558200 0053e11b 01020270 48544300 .U...S.....pHTC. 68ab0 000049bc 01521b01 02024570 4d61736b ..I..R....EpMask 68ac0 00000009 0901531b 01020270 456e6470 ......S....pEndp 68ad0 6f696e74 00000049 fa01541b 01020245 oint...I..T....E 68ae0 69640000 00390101 55207043 72656442 id...9..U pCredB 68af0 75666665 72000000 262e2063 74780000 uffer...&. ctx.. 68b00 004a0300 24010244 48544350 726f6365 .J..$..DHTCProce 68b10 7373436f 6e6e6563 744d7367 00010103 ssConnectMsg.... 68b20 92013002 9000008e 5584008e 567c0000 ..0.....U...V|.. 68b30 54b21b01 02447048 54430000 0049bc01 T....DpHTC...I.. 68b40 521b0102 44704d73 67000000 4a8d0153 R...DpMsg...J..S 68b50 20705365 72766963 65000000 3a5b2063 pService...:[ c 68b60 6f6e6e65 63745374 61747573 00000012 onnectStatus.... 68b70 c3216d65 74614461 74614f75 744c656e .!metaDataOutLen 68b80 00000000 fd029150 20736572 76696365 .......P service 68b90 49640000 0012d720 70427566 66657200 Id..... pBuffer. 68ba0 0000262e 20705273 704d7367 0000004a ..&. pRspMsg...J 68bb0 bc20704d 65746144 61746149 4e000000 . pMetaDataIN... 68bc0 12d02070 4d657461 44617461 4f757400 .. pMetaDataOut. 68bd0 000012d0 00240102 9c485443 50726f63 .....$...HTCProc 68be0 65737343 6f6e6669 67506970 654d7367 essConfigPipeMsg 68bf0 00010103 92012002 9000008e 567c008e ...... .....V|.. 68c00 56f10000 551c1b01 029c7048 54430000 V...U.....pHTC.. 68c10 0049bc01 521b0102 9c704d73 67000000 .I..R....pMsg... 68c20 4adc0153 20704275 66666572 00000026 J..S pBuffer...& 68c30 2e207052 73704d73 67000000 4b070024 . pRspMsg...K..$ 68c40 0102c748 5443436f 6e74726f 6c537663 ...HTCControlSvc 68c50 50726f63 6573734d 73670001 01039201 ProcessMsg...... 68c60 30029000 008e56f4 008e577a 000055dc 0.....V...Wz..U. 68c70 1b0102c7 456e6470 6f696e74 49440000 ....EndpointID.. 68c80 00390101 521b0102 c7686472 5f627566 .9..R....hdr_buf 68c90 00000026 2e01531b 0102c770 42756666 ...&..S....pBuff 68ca0 65727300 0000262e 01541b01 02c76172 ers...&..T....ar 68cb0 67000000 03f20155 20736574 7570436f g......U setupCo 68cc0 6d706c65 74650000 0049ec20 70485443 mplete...I. pHTC 68cd0 00000049 bc21616e 62646174 61000000 ...I.!anbdata... 68ce0 16780291 5021616e 626c656e 00000016 .x..P!anblen.... 68cf0 02029154 20704d73 67000000 4b230024 ...T pMsg...K#.$ 68d00 01030448 5443436f 6e74726f 6c537663 ...HTCControlSvc 68d10 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl 68d20 65746500 01010392 01200290 00008e57 ete...... .....W 68d30 7c008e57 bb000056 771b0103 04456e64 |..W...Vw....End 68d40 706f696e 74494400 00003901 01521b01 pointID...9..R.. 68d50 03047042 75666665 72730000 00262e01 ..pBuffers...&.. 68d60 531b0103 04617267 00000003 f2015420 S....arg......T 68d70 70485443 00000049 bc206374 78000000 pHTC...I. ctx... 68d80 4a032063 72656469 74527074 456e6470 J. creditRptEndp 68d90 6f696e74 00000039 01002401 03344854 oint...9..$..4HT 68da0 4353656e 64446f6e 6548616e 646c6572 CSendDoneHandler 68db0 00010103 92012002 9000008e 57bc008e ...... .....W... 68dc0 57e30000 56e81b01 03346275 66000000 W...V....4buf... 68dd0 262e0152 1b010334 636f6e74 65787400 &..R...4context. 68de0 000003f2 01532070 48544300 000049bc .....S pHTC...I. 68df0 20637478 0000004a 03206375 7272656e ctx...J. curren 68e00 745f6569 64000000 12c30024 01038141 t_eid......$...A 68e10 646a7573 74437265 64697454 68726573 djustCreditThres 68e20 686f6c64 00010103 92012002 9000008e hold...... ..... 68e30 57e4008e 58110000 57441b01 03817045 W...X...WD....pE 68e40 6e64706f 696e7400 000049fa 01522063 ndpoint...I..R c 68e50 72656469 74734f75 74737461 6e64696e reditsOutstandin 68e60 67000000 1b8f0024 01039a52 65646973 g......$...Redis 68e70 74726962 75746543 72656469 74000101 tributeCredit... 68e80 03920120 02900000 8e581400 8e581900 ... .....X...X.. 68e90 0057921b 01039a62 75660000 00262e01 .W.....buf...&.. 68ea0 521b0103 9a746f50 69706549 64000000 R....toPipeId... 68eb0 00fd0153 00260103 a0485443 4d736752 ...S.&...HTCMsgR 68ec0 65637648 616e646c 65720001 01049201 ecvHandler...... 68ed0 c0000290 00008e58 1c008e59 1b1b0103 .......X...Y.... 68ee0 a0686472 5f627566 00000026 2e01521b .hdr_buf...&..R. 68ef0 0103a062 75666665 72000000 262e0153 ...buffer...&..S 68f00 1b0103a0 636f6e74 65787400 000003f2 ....context..... 68f10 01542070 48544300 000049bc 20736571 .T pHTC...I. seq 68f20 64696666 00000016 0220486f 73745365 diff..... HostSe 68f30 714e756d 00000016 0220746d 705f6e62 qNum..... tmp_nb 68f40 75660000 00262e21 616e6264 61746100 uf...&.!anbdata. 68f50 00001678 02914021 616e626c 656e0000 ...x..@!anblen.. 68f60 00160202 91442070 48544348 64720000 .....D pHTCHdr.. 68f70 004a1d20 746f7473 7a000000 12d72065 .J. totsz..... e 68f80 69640000 0000fd20 70456e64 706f696e id..... pEndpoin 68f90 74000000 49fa2065 69644d61 736b0000 t...I. eidMask.. 68fa0 00090920 6c656e00 00001602 20507265 ... len..... Pre 68fb0 73656e74 63726564 69747300 00001602 sentcredits..... 68fc0 00000000 004c2b00 02000026 1b04012f .....L+....&.../ 68fd0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 68fe0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 68ff0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 69000 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 69010 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 69020 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 69030 5f656e67 696e652f 7372632f 646d615f _engine/src/dma_ 69040 656e6769 6e652e63 002f726f 6f742f57 engine.c./root/W 69050 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 69060 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 69070 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 69080 656e6769 6e650078 742d7863 6320666f engine.xt-xcc fo 69090 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali 690a0 676e5f69 6e737472 75637469 6f6e733d gn_instructions= 690b0 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: 690c0 73706163 65000100 00017927 025f5644 space.....y'._VD 690d0 45534300 24000001 95036e65 78745f64 ESC.$.....next_d 690e0 65736300 00000195 02230003 6275665f esc......#..buf_ 690f0 61646472 00000001 ba022304 03627566 addr......#..buf 69100 5f73697a 65000000 01d70223 08036461 _size......#..da 69110 74615f6f 66667365 74000000 01d70223 ta_offset......# 69120 0a036461 74615f73 697a6500 000001d7 ..data_size..... 69130 02230c03 636f6e74 726f6c00 000001d7 .#..control..... 69140 02230e03 68775f64 6573635f 62756600 .#..hw_desc_buf. 69150 000001e5 02231000 04000001 09040005 .....#.......... 69160 756e7369 676e6564 20636861 72000701 unsigned char... 69170 06415f55 494e5438 00000001 9c040000 .A_UINT8........ 69180 01ad0400 0573686f 72742075 6e736967 .....short unsig 69190 6e656420 696e7400 07020641 5f55494e ned int....A_UIN 691a0 54313600 000001c1 07000001 ad140000 T16............. 691b0 01f20813 00040000 01090400 05696e74 .............int 691c0 00050409 01040000 02000400 06564445 .............VDE 691d0 53430000 00010904 00000209 04000a00 SC.............. 691e0 00021401 04000002 1b04000a 000001ba ................ 691f0 01040000 02280400 09010400 00023504 .....(........5. 69200 000b0400 02766465 73635f61 70690014 .....vdesc_api.. 69210 000002b0 035f696e 69740000 00020202 ....._init...... 69220 2300035f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. 69230 00000221 02230403 5f676574 5f68775f ...!.#.._get_hw_ 69240 64657363 00000002 2e022308 035f7377 desc......#.._sw 69250 61705f76 64657363 00000002 3702230c ap_vdesc....7.#. 69260 03705265 73657276 65640000 00023e02 .pReserved....>. 69270 23100002 5f564255 46002000 00031003 #..._VBUF. ..... 69280 64657363 5f6c6973 74000000 02140223 desc_list......# 69290 00036e65 78745f62 75660000 00031002 ..next_buf...... 692a0 23040362 75665f6c 656e6774 68000000 #..buf_length... 692b0 01d70223 08037265 73657276 65640000 ...#..reserved.. 692c0 00031702 230a0363 74780000 0001e502 ....#..ctx...... 692d0 230c0004 000002b0 04000700 0001ad02 #............... 692e0 00000324 08010004 000002b0 04000656 ...$...........V 692f0 42554600 000002b0 04000003 2b04000a BUF.........+... 69300 00000335 01040000 033c0400 0a000003 ...5.....<...... 69310 35010400 00034904 00090104 00000356 5.....I........V 69320 04000276 6275665f 61706900 14000003 ...vbuf_api..... 69330 d4035f69 6e697400 00000202 02230003 .._init......#.. 69340 5f616c6c 6f635f76 62756600 00000342 _alloc_vbuf....B 69350 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 69360 77697468 5f73697a 65000000 034f0223 with_size....O.# 69370 08035f66 7265655f 76627566 00000003 .._free_vbuf.... 69380 5802230c 03705265 73657276 65640000 X.#..pReserved.. 69390 00023e02 23100002 7a73446d 61446573 ..>.#...zsDmaDes 693a0 63001400 00045603 6374726c 00000001 c.....V.ctrl.... 693b0 c1022300 03737461 74757300 000001c1 ..#..status..... 693c0 02230203 746f7461 6c4c656e 00000001 .#..totalLen.... 693d0 c1022304 03646174 6153697a 65000000 ..#..dataSize... 693e0 01c10223 06036c61 73744164 64720000 ...#..lastAddr.. 693f0 00045602 23080364 61746141 64647200 ..V.#..dataAddr. 69400 0000045d 02230c03 6e657874 41646472 ...].#..nextAddr 69410 00000004 56022310 00040000 03d40400 ....V.#......... 69420 056c6f6e 6720756e 7369676e 65642069 .long unsigned i 69430 6e740007 04040000 03d40400 027a7344 nt...........zsD 69440 6d615175 65756500 08000004 ab036865 maQueue.......he 69450 61640000 00047202 23000374 65726d69 ad....r.#..termi 69460 6e61746f 72000000 04720223 0400027a nator....r.#...z 69470 73547844 6d615175 65756500 10000005 sTxDmaQueue..... 69480 0f036865 61640000 00047202 23000374 ..head....r.#..t 69490 65726d69 6e61746f 72000000 04720223 erminator....r.# 694a0 0403786d 69746564 5f627566 5f686561 ..xmited_buf_hea 694b0 64000000 03350223 0803786d 69746564 d....5.#..xmited 694c0 5f627566 5f746169 6c000000 03350223 _buf_tail....5.# 694d0 0c000901 04000005 0f040004 00000479 ...............y 694e0 04000901 04000005 1f040004 000004ab ................ 694f0 04000901 04000005 2f040009 01040000 ......../....... 69500 05380400 09010400 00054104 000a0000 .8........A..... 69510 03350104 0000054a 04000901 04000005 .5.....J........ 69520 5704000a 00000335 01040000 05600400 W......5.....`.. 69530 09010400 00056d04 000a0000 01f90104 ......m......... 69540 00000576 04000a00 00047201 04000005 ...v......r..... 69550 83040009 01040000 05900400 02646d61 .............dma 69560 5f656e67 696e655f 61706900 40000007 _engine_api.@... 69570 06035f69 6e697400 00000511 02230003 .._init......#.. 69580 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. 69590 00052102 2304035f 696e6974 5f74785f ..!.#.._init_tx_ 695a0 71756575 65000000 05310223 08035f63 queue....1.#.._c 695b0 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. 695c0 00053a02 230c035f 786d6974 5f627566 ..:.#.._xmit_buf 695d0 00000005 43022310 035f666c 7573685f ....C.#.._flush_ 695e0 786d6974 00000005 21022314 035f7265 xmit....!.#.._re 695f0 61705f72 6563765f 62756600 00000550 ap_recv_buf....P 69600 02231803 5f726574 75726e5f 72656376 .#.._return_recv 69610 5f627566 00000005 5902231c 035f7265 _buf....Y.#.._re 69620 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... 69630 05660223 20035f73 7761705f 64617461 .f.# ._swap_data 69640 00000005 6f022324 035f6861 735f636f ....o.#$._has_co 69650 6d706c5f 7061636b 65747300 0000057c mpl_packets....| 69660 02232803 5f646573 635f6475 6d700000 .#(._desc_dump.. 69670 00052102 232c035f 6765745f 7061636b ..!.#,._get_pack 69680 65740000 00058902 2330035f 7265636c et......#0._recl 69690 61696d5f 7061636b 65740000 00059202 aim_packet...... 696a0 2334035f 7075745f 7061636b 65740000 #4._put_packet.. 696b0 00059202 23380370 52657365 72766564 ....#8.pReserved 696c0 00000002 3e02233c 00090104 00000706 ....>.#<........ 696d0 04000563 68617200 07010c00 00070f0c ...char......... 696e0 0000070f 04000007 1c04000a 000001f9 ................ 696f0 01040000 07280400 02707269 6e74665f .....(...printf_ 69700 61706900 08000007 6c035f70 72696e74 api.....l._print 69710 665f696e 69740000 00070802 2300035f f_init......#.._ 69720 7072696e 74660000 00072e02 23040006 printf......#... 69730 75696e74 31365f74 00000001 c1067569 uint16_t......ui 69740 6e743332 5f740000 00045d02 75617274 nt32_t....].uart 69750 5f666966 6f000800 0007d303 73746172 _fifo.......star 69760 745f696e 64657800 0000076c 02230003 t_index....l.#.. 69770 656e645f 696e6465 78000000 076c0223 end_index....l.# 69780 02036f76 65727275 6e5f6572 72000000 ..overrun_err... 69790 077a0223 04000275 6172745f 61706900 .z.#...uart_api. 697a0 20000008 8c035f75 6172745f 696e6974 ....._uart_init 697b0 00000008 e3022300 035f7561 72745f63 ......#.._uart_c 697c0 6861725f 70757400 000008f9 02230403 har_put......#.. 697d0 5f756172 745f6368 61725f67 65740000 _uart_char_get.. 697e0 00090d02 2308035f 75617274 5f737472 ....#.._uart_str 697f0 5f6f7574 00000009 1602230c 035f7561 _out......#.._ua 69800 72745f74 61736b00 00000708 02231003 rt_task......#.. 69810 5f756172 745f7374 61747573 00000008 _uart_status.... 69820 e3022314 035f7561 72745f63 6f6e6669 ..#.._uart_confi 69830 67000000 091f0223 18035f75 6172745f g......#.._uart_ 69840 6877696e 69740000 00092802 231c0004 hwinit....(.#... 69850 000007d3 04000275 6172745f 626c6b00 .......uart_blk. 69860 10000008 dd036465 6275675f 6d6f6465 ......debug_mode 69870 00000007 6c022300 03626175 64000000 ....l.#..baud... 69880 076c0223 02035f75 61727400 0000088c .l.#.._uart..... 69890 02230403 5f747800 00000788 02230800 .#.._tx......#.. 698a0 0a000007 7a010400 0008dd04 00067569 ....z.........ui 698b0 6e74385f 74000000 019c0901 04000008 nt8_t........... 698c0 f7040004 000008ea 04000a00 00076c01 ..............l. 698d0 04000009 07040009 01040000 09140400 ................ 698e0 09010400 00091d04 00090104 00000926 ...............& 698f0 04000400 00070f04 000a0000 01f90104 ................ 69900 00000936 04000244 425f434f 4d4d414e ...6...DB_COMMAN 69910 445f5354 52554354 000c0000 098e0363 D_STRUCT.......c 69920 6d645f73 74720000 00092f02 23000368 md_str..../.#..h 69930 656c705f 73747200 0000092f 02230403 elp_str..../.#.. 69940 636d645f 66756e63 00000009 3c022308 cmd_func....<.#. 69950 00026462 675f6170 69000800 0009c103 ..dbg_api....... 69960 5f646267 5f696e69 74000000 07080223 _dbg_init......# 69970 00035f64 62675f74 61736b00 00000708 .._dbg_task..... 69980 02230400 05756e73 69676e65 6420696e .#...unsigned in 69990 74000704 0a000002 3e010400 0009d104 t.......>....... 699a0 000d0d04 000009df 04000a00 00023e01 ..............>. 699b0 04000009 e704000a 000001f9 01040000 ................ 699c0 09f40400 026d656d 5f617069 00140000 .....mem_api.... 699d0 0a63035f 6d656d5f 696e6974 00000007 .c._mem_init.... 699e0 08022300 035f6d65 6d736574 00000009 ..#.._memset.... 699f0 d7022304 035f6d65 6d637079 00000009 ..#.._memcpy.... 69a00 ed022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... 69a10 09ed0223 0c035f6d 656d636d 70000000 ...#.._memcmp... 69a20 09fa0223 10000e72 65676973 7465725f ...#...register_ 69a30 64756d70 5f730000 01040000 0a630400 dump_s.......c.. 69a40 09010400 000a7d04 00090104 00000a86 ......}......... 69a50 04000a00 0001f901 0400000a 8f04000f ................ 69a60 686f7374 69665f73 00040000 0aeb1048 hostif_s.......H 69a70 49465f55 53420000 10484946 5f504349 IF_USB...HIF_PCI 69a80 45000110 4849465f 474d4143 00021048 E...HIF_GMAC...H 69a90 49465f50 43490003 10484946 5f4e554d IF_PCI...HIF_NUM 69aa0 00041048 49465f4e 4f4e4500 05000641 ...HIF_NONE....A 69ab0 5f484f53 54494600 00000a9c 0a00000a _HOSTIF......... 69ac0 eb010400 000af904 000a0000 08ea0104 ................ 69ad0 00000b06 04000a00 00076c01 0400000b ..........l..... 69ae0 13040002 6d697363 5f617069 00240000 ....misc_api.$.. 69af0 0c03035f 73797374 656d5f72 65736574 ..._system_reset 69b00 00000007 08022300 035f6d61 635f7265 ......#.._mac_re 69b10 73657400 00000708 02230403 5f617373 set......#.._ass 69b20 6661696c 0000000a 7f022308 035f6d69 fail......#.._mi 69b30 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha 69b40 6e646c65 72000000 0a7f0223 0c035f72 ndler......#.._r 69b50 65706f72 745f6661 696c7572 655f746f eport_failure_to 69b60 5f686f73 74000000 0a880223 10035f74 _host......#.._t 69b70 61726765 745f6964 5f676574 0000000a arget_id_get.... 69b80 95022314 035f6973 5f686f73 745f7072 ..#.._is_host_pr 69b90 6573656e 74000000 0aff0223 18035f6b esent......#.._k 69ba0 62686974 0000000b 0c02231c 035f726f bhit......#.._ro 69bb0 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... 69bc0 0b190223 20000a00 00092f01 0400000c ...# ...../..... 69bd0 0304000a 0000092f 01040000 0c100400 ......./........ 69be0 0a000001 f9010400 000c1d04 000a0000 ................ 69bf0 01f90104 00000c2a 04000a00 0001f901 .......*........ 69c00 0400000c 37040002 73747269 6e675f61 ....7...string_a 69c10 70690018 00000cbd 035f7374 72696e67 pi......._string 69c20 5f696e69 74000000 07080223 00035f73 _init......#.._s 69c30 74726370 79000000 0c090223 04035f73 trcpy......#.._s 69c40 74726e63 70790000 000c1602 2308035f trncpy......#.._ 69c50 7374726c 656e0000 000c2302 230c035f strlen....#.#.._ 69c60 73747263 6d700000 000c3002 2310035f strcmp....0.#.._ 69c70 7374726e 636d7000 00000c3d 02231400 strncmp....=.#.. 69c80 07000009 c1140000 0cca0804 00065f41 .............._A 69c90 5f54494d 45525f53 50414345 0000000c _TIMER_SPACE.... 69ca0 bd06415f 74696d65 725f7400 00000cca ..A_timer_t..... 69cb0 0400000c de040009 01040000 0cf40400 ................ 69cc0 09010400 000cfd04 0006415f 48414e44 ..........A_HAND 69cd0 4c450000 0009c109 0106415f 54494d45 LE........A_TIME 69ce0 525f4655 4e430000 000d1404 00000d16 R_FUNC.......... 69cf0 04000901 0400000d 2f040002 74696d65 ......../...time 69d00 725f6170 69001400 000dae03 5f74696d r_api......._tim 69d10 65725f69 6e697400 00000708 02230003 er_init......#.. 69d20 5f74696d 65725f61 726d0000 000cf602 _timer_arm...... 69d30 2304035f 74696d65 725f6469 7361726d #.._timer_disarm 69d40 0000000c ff022308 035f7469 6d65725f ......#.._timer_ 69d50 73657466 6e000000 0d310223 0c035f74 setfn....1.#.._t 69d60 696d6572 5f72756e 00000007 08022310 imer_run......#. 69d70 0006424f 4f4c4541 4e000000 076c0a00 ..BOOLEAN....l.. 69d80 000dae01 0400000d bb04000a 00000dae ................ 69d90 01040000 0dc80400 0a00000d ae010400 ................ 69da0 000dd504 0002726f 6d705f61 70690010 ......romp_api.. 69db0 00000e47 035f726f 6d705f69 6e697400 ...G._romp_init. 69dc0 00000708 02230003 5f726f6d 705f646f .....#.._romp_do 69dd0 776e6c6f 61640000 000dc102 2304035f wnload......#.._ 69de0 726f6d70 5f696e73 74616c6c 0000000d romp_install.... 69df0 ce022308 035f726f 6d705f64 65636f64 ..#.._romp_decod 69e00 65000000 0ddb0223 0c000272 6f6d5f70 e......#...rom_p 69e10 61746368 5f737400 1000000e a3036372 atch_st.......cr 69e20 63313600 0000076c 02230003 6c656e00 c16....l.#..len. 69e30 0000076c 02230203 6c645f61 64647200 ...l.#..ld_addr. 69e40 0000077a 02230403 66756e5f 61646472 ...z.#..fun_addr 69e50 00000007 7a022308 03706675 6e000000 ....z.#..pfun... 69e60 09000223 0c000265 65705f72 65646972 ...#...eep_redir 69e70 5f616464 72000400 000ed503 6f666673 _addr.......offs 69e80 65740000 00076c02 23000373 697a6500 et....l.#..size. 69e90 0000076c 02230200 06415f55 494e5433 ...l.#...A_UINT3 69ea0 32000000 09c10a00 00023e01 0400000e 2.........>..... 69eb0 e3040002 616c6c6f 6372616d 5f617069 ....allocram_api 69ec0 000c0000 0f540363 6d6e6f73 5f616c6c .....T.cmnos_all 69ed0 6f637261 6d5f696e 69740000 000ee902 ocram_init...... 69ee0 23000363 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra 69ef0 6d000000 0ee90223 0403636d 6e6f735f m......#..cmnos_ 69f00 616c6c6f 6372616d 5f646562 75670000 allocram_debug.. 69f10 00070802 23080009 01040000 0f540400 ....#........T.. 69f20 06415f54 41534b4c 45545f46 554e4300 .A_TASKLET_FUNC. 69f30 00000f56 025f7461 736b6c65 74001000 ...V._tasklet... 69f40 000fb503 66756e63 0000000f 5d022300 ....func....].#. 69f50 03617267 00000002 3e022304 03737461 .arg....>.#..sta 69f60 74650000 0001f902 2308036e 65787400 te......#..next. 69f70 00000fb5 02230c00 0400000f 71040004 .....#......q... 69f80 00000f71 04000641 5f746173 6b6c6574 ...q...A_tasklet 69f90 5f740000 000f7104 00000fc3 04000901 _t....q......... 69fa0 0400000f db040009 01040000 0fe40400 ................ 69fb0 02746173 6b6c6574 5f617069 00140000 .tasklet_api.... 69fc0 1079035f 7461736b 6c65745f 696e6974 .y._tasklet_init 69fd0 00000007 08022300 035f7461 736b6c65 ......#.._taskle 69fe0 745f696e 69745f74 61736b00 00000fdd t_init_task..... 69ff0 02230403 5f746173 6b6c6574 5f646973 .#.._tasklet_dis 6a000 61626c65 0000000f e6022308 035f7461 able......#.._ta 6a010 736b6c65 745f7363 68656475 6c650000 sklet_schedule.. 6a020 000fe602 230c035f 7461736b 6c65745f ....#.._tasklet_ 6a030 72756e00 00000708 02231000 09010400 run......#...... 6a040 00107904 000a0000 0ed50104 00001082 ..y............. 6a050 04000263 6c6f636b 5f617069 00240000 ...clock_api.$.. 6a060 1168035f 636c6f63 6b5f696e 69740000 .h._clock_init.. 6a070 00107b02 2300035f 636c6f63 6b726567 ..{.#.._clockreg 6a080 735f696e 69740000 00070802 2304035f s_init......#.._ 6a090 75617274 5f667265 7175656e 63790000 uart_frequency.. 6a0a0 00108802 2308035f 64656c61 795f7573 ....#.._delay_us 6a0b0 00000002 0202230c 035f776c 616e5f62 ......#.._wlan_b 6a0c0 616e645f 73657400 00000202 02231003 and_set......#.. 6a0d0 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge 6a0e0 74000000 10880223 14035f6d 696c6c69 t......#.._milli 6a0f0 7365636f 6e647300 00001088 02231803 seconds......#.. 6a100 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. 6a110 00070802 231c035f 636c6f63 6b5f7469 ....#.._clock_ti 6a120 636b0000 00070802 2320000a 0000077a ck......# .....z 6a130 01040000 11680400 06415f6f 6c645f69 .....h...A_old_i 6a140 6e74725f 74000000 077a0a00 00117501 ntr_t....z....u. 6a150 04000011 87040009 01040000 11940400 ................ 6a160 09010400 00119d04 000a0000 077a0104 .............z.. 6a170 000011a6 04000641 5f697372 5f740000 .......A_isr_t.. 6a180 0011ac09 01040000 11c00400 0a000009 ................ 6a190 c1010400 0011c904 00090104 000011d6 ................ 6a1a0 04000269 6e74725f 61706900 2c000012 ...intr_api.,... 6a1b0 f8035f69 6e74725f 696e6974 00000007 .._intr_init.... 6a1c0 08022300 035f696e 74725f69 6e766f6b ..#.._intr_invok 6a1d0 655f6973 72000000 116e0223 04035f69 e_isr....n.#.._i 6a1e0 6e74725f 64697361 626c6500 0000118d ntr_disable..... 6a1f0 02230803 5f696e74 725f7265 73746f72 .#.._intr_restor 6a200 65000000 11960223 0c035f69 6e74725f e......#.._intr_ 6a210 6d61736b 5f696e75 6d000000 119f0223 mask_inum......# 6a220 10035f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i 6a230 6e756d00 0000119f 02231403 5f696e74 num......#.._int 6a240 725f6174 74616368 5f697372 00000011 r_attach_isr.... 6a250 c2022318 035f6765 745f696e 7472656e ..#.._get_intren 6a260 61626c65 00000011 cf02231c 035f7365 able......#.._se 6a270 745f696e 7472656e 61626c65 00000011 t_intrenable.... 6a280 d8022320 035f6765 745f696e 74727065 ..# ._get_intrpe 6a290 6e64696e 67000000 11cf0223 24035f75 nding......#$._u 6a2a0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 6a2b0 766c0000 00070802 23280011 04000013 vl......#(...... 6a2c0 1e037469 6d656f75 74000000 077a0223 ..timeout....z.# 6a2d0 00036163 74696f6e 00000007 7a022300 ..action....z.#. 6a2e0 00120800 00133903 636d6400 0000077a ......9.cmd....z 6a2f0 02230013 000012f8 02230400 06545f57 .#.......#...T_W 6a300 44545f43 4d440000 00131e09 01040000 DT_CMD.......... 6a310 13480400 14040000 139e1045 4e554d5f .H.........ENUM_ 6a320 5744545f 424f4f54 00011045 4e554d5f WDT_BOOT...ENUM_ 6a330 434f4c44 5f424f4f 54000210 454e554d COLD_BOOT...ENUM 6a340 5f535553 505f424f 4f540003 10454e55 _SUSP_BOOT...ENU 6a350 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. 6a360 0006545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... 6a370 13510a00 00139e01 04000013 af040002 .Q.............. 6a380 7764745f 61706900 1c000014 53035f77 wdt_api.....S._w 6a390 64745f69 6e697400 00000708 02230003 dt_init......#.. 6a3a0 5f776474 5f656e61 626c6500 00000708 _wdt_enable..... 6a3b0 02230403 5f776474 5f646973 61626c65 .#.._wdt_disable 6a3c0 00000007 08022308 035f7764 745f7365 ......#.._wdt_se 6a3d0 74000000 134a0223 0c035f77 64745f74 t....J.#.._wdt_t 6a3e0 61736b00 00000708 02231003 5f776474 ask......#.._wdt 6a3f0 5f726573 65740000 00070802 2314035f _reset......#.._ 6a400 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... 6a410 13b50223 18001404 000014ba 10524554 ...#.........RET 6a420 5f535543 43455353 00001052 45545f4e _SUCCESS...RET_N 6a430 4f545f49 4e495400 01105245 545f4e4f OT_INIT...RET_NO 6a440 545f4558 49535400 02105245 545f4545 T_EXIST...RET_EE 6a450 505f434f 52525550 54000310 5245545f P_CORRUPT...RET_ 6a460 4545505f 4f564552 464c4f57 00041052 EEP_OVERFLOW...R 6a470 45545f55 4e4b4e4f 574e0005 0006545f ET_UNKNOWN....T_ 6a480 4545505f 52455400 00001453 04000007 EEP_RET....S.... 6a490 6c04000a 000014ba 01040000 14d00400 l............... 6a4a0 0a000014 ba010400 0014dd04 00026565 ..............ee 6a4b0 705f6170 69001000 00154603 5f656570 p_api.....F._eep 6a4c0 5f696e69 74000000 07080223 00035f65 _init......#.._e 6a4d0 65705f72 65616400 000014d6 02230403 ep_read......#.. 6a4e0 5f656570 5f777269 74650000 0014d602 _eep_write...... 6a4f0 2308035f 6565705f 69735f65 78697374 #.._eep_is_exist 6a500 00000014 e302230c 00027573 625f6170 ......#...usb_ap 6a510 69007000 0017f303 5f757362 5f696e69 i.p....._usb_ini 6a520 74000000 07080223 00035f75 73625f72 t......#.._usb_r 6a530 6f6d5f74 61736b00 00000708 02230403 om_task......#.. 6a540 5f757362 5f66775f 7461736b 00000007 _usb_fw_task.... 6a550 08022308 035f7573 625f696e 69745f70 ..#.._usb_init_p 6a560 68790000 00070802 230c035f 7573625f hy......#.._usb_ 6a570 6570305f 73657475 70000000 07080223 ep0_setup......# 6a580 10035f75 73625f65 70305f74 78000000 .._usb_ep0_tx... 6a590 07080223 14035f75 73625f65 70305f72 ...#.._usb_ep0_r 6a5a0 78000000 07080223 18035f75 73625f67 x......#.._usb_g 6a5b0 65745f69 6e746572 66616365 0000000d et_interface.... 6a5c0 ce02231c 035f7573 625f7365 745f696e ..#.._usb_set_in 6a5d0 74657266 61636500 00000dce 02232003 terface......# . 6a5e0 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu 6a5f0 72617469 6f6e0000 000dce02 2324035f ration......#$._ 6a600 7573625f 7365745f 636f6e66 69677572 usb_set_configur 6a610 6174696f 6e000000 0dce0223 28035f75 ation......#(._u 6a620 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. 6a630 00000dce 02232c03 5f757362 5f76656e .....#,._usb_ven 6a640 646f725f 636d6400 00000708 02233003 dor_cmd......#0. 6a650 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. 6a660 00070802 2334035f 7573625f 72657365 ....#4._usb_rese 6a670 745f6669 666f0000 00070802 2338035f t_fifo......#8._ 6a680 7573625f 67656e5f 77647400 00000708 usb_gen_wdt..... 6a690 02233c03 5f757362 5f6a756d 705f626f .#<._usb_jump_bo 6a6a0 6f740000 00070802 2340035f 7573625f ot......#@._usb_ 6a6b0 636c725f 66656174 75726500 00000dce clr_feature..... 6a6c0 02234403 5f757362 5f736574 5f666561 .#D._usb_set_fea 6a6d0 74757265 0000000d ce022348 035f7573 ture......#H._us 6a6e0 625f7365 745f6164 64726573 73000000 b_set_address... 6a6f0 0dce0223 4c035f75 73625f67 65745f64 ...#L._usb_get_d 6a700 65736372 6970746f 72000000 0dce0223 escriptor......# 6a710 50035f75 73625f67 65745f73 74617475 P._usb_get_statu 6a720 73000000 0dce0223 54035f75 73625f73 s......#T._usb_s 6a730 65747570 5f646573 63000000 07080223 etup_desc......# 6a740 58035f75 73625f72 65675f6f 75740000 X._usb_reg_out.. 6a750 00070802 235c035f 7573625f 73746174 ....#\._usb_stat 6a760 75735f69 6e000000 07080223 60035f75 us_in......#`._u 6a770 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. 6a780 00070802 2364035f 7573625f 6570305f ....#d._usb_ep0_ 6a790 72785f64 61746100 00000708 02236803 rx_data......#h. 6a7a0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... 6a7b0 07080223 6c00025f 5f616466 5f646576 ...#l..__adf_dev 6a7c0 69636500 04000018 15036475 6d6d7900 ice.......dummy. 6a7d0 000001f9 02230000 0400000e d5040002 .....#.......... 6a7e0 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... 6a7f0 00185c03 62756600 00000335 02230003 ..\.buf....5.#.. 6a800 64735f61 64647200 00001815 02230403 ds_addr......#.. 6a810 64735f6c 656e0000 0001d702 23080012 ds_len......#... 6a820 0c000018 96035f5f 76615f73 746b0000 ......__va_stk.. 6a830 00092f02 2300035f 5f76615f 72656700 ../.#..__va_reg. 6a840 0000092f 02230403 5f5f7661 5f6e6478 .../.#..__va_ndx 6a850 00000001 f9022308 00065f5f 6164665f ......#...__adf_ 6a860 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 6a870 0ed50661 64665f6f 735f646d 615f6164 ...adf_os_dma_ad 6a880 64725f74 00000018 96065f5f 6164665f dr_t......__adf_ 6a890 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 6a8a0 0ed50661 64665f6f 735f646d 615f7369 ...adf_os_dma_si 6a8b0 7a655f74 00000018 c6025f5f 646d615f ze_t......__dma_ 6a8c0 73656773 00080000 19220370 61646472 segs.....".paddr 6a8d0 00000018 af022300 036c656e 00000018 ......#..len.... 6a8e0 df022304 00065f5f 615f7569 6e743332 ..#...__a_uint32 6a8f0 5f740000 000ed506 615f7569 6e743332 _t......a_uint32 6a900 5f740000 00192207 000018f6 08000019 _t...."......... 6a910 51080000 02616466 5f6f735f 646d616d Q....adf_os_dmam 6a920 61705f69 6e666f00 0c000019 8a036e73 ap_info.......ns 6a930 65677300 00001934 02230003 646d615f egs....4.#..dma_ 6a940 73656773 00000019 44022304 00065f5f segs....D.#...__ 6a950 615f7569 6e74385f 74000000 01ad0661 a_uint8_t......a 6a960 5f75696e 74385f74 00000019 8a040000 _uint8_t........ 6a970 199b0400 025f5f73 675f7365 67730008 .....__sg_segs.. 6a980 000019dc 03766164 64720000 0019aa02 .....vaddr...... 6a990 2300036c 656e0000 00193402 23040007 #..len....4.#... 6a9a0 000019b1 20000019 e9080300 02616466 .... ........adf 6a9b0 5f6f735f 73676c69 73740024 00001a1c _os_sglist.$.... 6a9c0 036e7365 67730000 00193402 23000373 .nsegs....4.#..s 6a9d0 675f7365 67730000 0019dc02 23040012 g_segs......#... 6a9e0 1000001a 65037665 6e646f72 00000019 ....e.vendor.... 6a9f0 34022300 03646576 69636500 00001934 4.#..device....4 6aa00 02230403 73756276 656e646f 72000000 .#..subvendor... 6aa10 19340223 08037375 62646576 69636500 .4.#..subdevice. 6aa20 00001934 02230c00 056c6f6e 67206c6f ...4.#...long lo 6aa30 6e672075 6e736967 6e656420 696e7400 ng unsigned int. 6aa40 07080641 5f55494e 54363400 00001a65 ...A_UINT64....e 6aa50 065f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... 6aa60 1a7f0661 5f75696e 7436345f 74000000 ...a_uint64_t... 6aa70 1a8d1404 00001aeb 10414446 5f4f535f .........ADF_OS_ 6aa80 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME 6aa90 4d000010 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU 6aaa0 5243455f 54595045 5f494f00 01000661 RCE_TYPE_IO....a 6aab0 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 6aac0 7970655f 74000000 1aaf1218 00001b35 ype_t..........5 6aad0 03737461 72740000 001a9f02 23000365 .start......#..e 6aae0 6e640000 001a9f02 23080374 79706500 nd......#..type. 6aaf0 00001aeb 02231000 06616466 5f6f735f .....#...adf_os_ 6ab00 7063695f 6465765f 69645f74 0000001a pci_dev_id_t.... 6ab10 1c040000 1b350400 11040000 1b740370 .....5.......t.p 6ab20 63690000 001b4e02 23000372 61770000 ci....N.#..raw.. 6ab30 00023e02 23000011 1000001b 93037063 ..>.#.........pc 6ab40 69000000 1b350223 00037261 77000000 i....5.#..raw... 6ab50 023e0223 00000661 64665f64 72765f68 .>.#...adf_drv_h 6ab60 616e646c 655f7400 0000023e 06616466 andle_t....>.adf 6ab70 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. 6ab80 001b0704 00001ba9 04000661 64665f6f ...........adf_o 6ab90 735f6174 74616368 5f646174 615f7400 s_attach_data_t. 6aba0 00001b74 0400001b c7040004 000017f3 ...t............ 6abb0 0400065f 5f616466 5f6f735f 64657669 ...__adf_os_devi 6abc0 63655f74 0000001b e8066164 665f6f73 ce_t......adf_os 6abd0 5f646576 6963655f 74000000 1bef0a00 _device_t....... 6abe0 001b9301 0400001c 1b040009 01040000 ................ 6abf0 1c280400 06616466 5f6f735f 706d5f74 .(...adf_os_pm_t 6ac00 00000002 3e090104 00001c42 04001404 ....>......B.... 6ac10 00001c82 10414446 5f4f535f 4255535f .....ADF_OS_BUS_ 6ac20 54595045 5f504349 00011041 44465f4f TYPE_PCI...ADF_O 6ac30 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER 6ac40 49430002 00066164 665f6f73 5f627573 IC....adf_os_bus 6ac50 5f747970 655f7400 00001c4b 06616466 _type_t....K.adf 6ac60 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data 6ac70 5f740000 001b5504 0000019c 0400025f _t....U........_ 6ac80 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. 6ac90 1d5f0364 72765f61 74746163 68000000 ._.drv_attach... 6aca0 1c210223 00036472 765f6465 74616368 .!.#..drv_detach 6acb0 0000001c 2a022304 03647276 5f737573 ....*.#..drv_sus 6acc0 70656e64 0000001c 44022308 03647276 pend....D.#..drv 6acd0 5f726573 756d6500 00001c2a 02230c03 _resume....*.#.. 6ace0 6275735f 74797065 0000001c 82022310 bus_type......#. 6acf0 03627573 5f646174 61000000 1c990223 .bus_data......# 6ad00 14036d6f 645f6e61 6d650000 001cb402 ..mod_name...... 6ad10 23180369 666e616d 65000000 1cb40223 #..ifname......# 6ad20 1c000661 64665f6f 735f6861 6e646c65 ...adf_os_handle 6ad30 5f740000 00023e04 0000198a 04000901 _t....>......... 6ad40 0901065f 5f616466 5f6f735f 73697a65 ...__adf_os_size 6ad50 5f740000 0009c114 0400001d ae10415f _t............A_ 6ad60 46414c53 45000010 415f5452 55450001 FALSE...A_TRUE.. 6ad70 0006615f 626f6f6c 5f740000 001d9404 ..a_bool_t...... 6ad80 0000181c 0400065f 5f616466 5f6f735f .......__adf_os_ 6ad90 646d615f 6d61705f 74000000 1dbc0901 dma_map_t....... 6ada0 0f616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy 6adb0 6e630004 00001e46 10414446 5f53594e nc.....F.ADF_SYN 6adc0 435f5052 45524541 44000010 4144465f C_PREREAD...ADF_ 6add0 53594e43 5f505245 57524954 45000210 SYNC_PREWRITE... 6ade0 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA 6adf0 44000110 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS 6ae00 54575249 54450003 00066164 665f6f73 TWRITE....adf_os 6ae10 5f636163 68655f73 796e635f 74000000 _cache_sync_t... 6ae20 1ddd0901 06616466 5f6f735f 73697a65 .....adf_os_size 6ae30 5f740000 001d7f0a 00001e61 01066164 _t.........a..ad 6ae40 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 6ae50 001dc304 00001e7a 04000a00 00023e01 .......z......>. 6ae60 0400001d c304000a 0000023e 0109010a ...........>.... 6ae70 000018af 01090105 73686f72 7420696e ........short in 6ae80 74000502 06415f49 4e543136 0000001e t....A_INT16.... 6ae90 b4065f5f 615f696e 7431365f 74000000 ..__a_int16_t... 6aea0 1ec10661 5f696e74 31365f74 0000001e ...a_int16_t.... 6aeb0 ce057369 676e6564 20636861 72000501 ..signed char... 6aec0 06415f49 4e543800 00001eee 065f5f61 .A_INT8......__a 6aed0 5f696e74 385f7400 00001efd 06615f69 _int8_t......a_i 6aee0 6e74385f 74000000 1f09120c 00001f80 nt8_t........... 6aef0 03737570 706f7274 65640000 00193402 .supported....4. 6af00 23000361 64766572 74697a65 64000000 #..advertized... 6af10 19340223 04037370 65656400 00001edf .4.#..speed..... 6af20 02230803 6475706c 65780000 001f1902 .#..duplex...... 6af30 230a0361 75746f6e 65670000 00199b02 #..autoneg...... 6af40 230b0007 0000199b 0600001f 8d080500 #............... 6af50 02616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr 6af60 00060000 1fb10361 64647200 00001f80 .......addr..... 6af70 02230000 065f5f61 5f75696e 7431365f .#...__a_uint16_ 6af80 74000000 01d70661 5f75696e 7431365f t......a_uint16_ 6af90 74000000 1fb1120e 00002015 03657468 t......... ..eth 6afa0 65725f64 686f7374 0000001f 80022300 er_dhost......#. 6afb0 03657468 65725f73 686f7374 0000001f .ether_shost.... 6afc0 80022306 03657468 65725f74 79706500 ..#..ether_type. 6afd0 00001fc3 02230c00 12140000 20d61569 .....#...... ..i 6afe0 705f7665 7273696f 6e000000 199b0100 p_version....... 6aff0 04022300 1569705f 686c0000 00199b01 ..#..ip_hl...... 6b000 04040223 00036970 5f746f73 00000019 ...#..ip_tos.... 6b010 9b022301 0369705f 6c656e00 00001fc3 ..#..ip_len..... 6b020 02230203 69705f69 64000000 1fc30223 .#..ip_id......# 6b030 04036970 5f667261 675f6f66 66000000 ..ip_frag_off... 6b040 1fc30223 06036970 5f74746c 00000019 ...#..ip_ttl.... 6b050 9b022308 0369705f 70726f74 6f000000 ..#..ip_proto... 6b060 199b0223 09036970 5f636865 636b0000 ...#..ip_check.. 6b070 001fc302 230a0369 705f7361 64647200 ....#..ip_saddr. 6b080 00001934 02230c03 69705f64 61646472 ...4.#..ip_daddr 6b090 00000019 34022310 00026164 665f6e65 ....4.#...adf_ne 6b0a0 745f766c 616e6864 72000400 00212803 t_vlanhdr....!(. 6b0b0 74706964 0000001f c3022300 15707269 tpid......#..pri 6b0c0 6f000000 199b0100 03022302 15636669 o.........#..cfi 6b0d0 00000019 9b010301 02230215 76696400 .........#..vid. 6b0e0 00001fc3 02040c02 23020002 6164665f ........#...adf_ 6b0f0 6e65745f 76696400 02000021 59157265 net_vid....!Y.re 6b100 73000000 199b0100 04022300 1576616c s.........#..val 6b110 0000001f c302040c 02230000 120c0000 .........#...... 6b120 21950372 785f6275 6673697a 65000000 !..rx_bufsize... 6b130 19340223 00037278 5f6e6465 73630000 .4.#..rx_ndesc.. 6b140 00193402 23040374 785f6e64 65736300 ..4.#..tx_ndesc. 6b150 00001934 02230800 12080000 21bb0370 ...4.#......!..p 6b160 6f6c6c65 64000000 1dae0223 0003706f olled......#..po 6b170 6c6c5f77 74000000 19340223 04000700 ll_wt....4.#.... 6b180 00199b40 000021c8 083f0012 46000021 ...@..!..?..F..! 6b190 f0036966 5f6e616d 65000000 21bb0223 ..if_name...!..# 6b1a0 00036465 765f6164 64720000 001f8002 ..dev_addr...... 6b1b0 23400014 04000022 27104144 465f4f53 #@....."'.ADF_OS 6b1c0 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. 6b1d0 00104144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS 6b1e0 4b5f3634 42495400 01000661 64665f6f K_64BIT....adf_o 6b1f0 735f646d 615f6d61 736b5f74 00000021 s_dma_mask_t...! 6b200 f0026164 665f646d 615f696e 666f0008 ..adf_dma_info.. 6b210 00002274 03646d61 5f6d6173 6b000000 .."t.dma_mask... 6b220 22270223 00037367 5f6e7365 67730000 "'.#..sg_nsegs.. 6b230 00193402 23040014 04000022 ca104144 ..4.#......"..AD 6b240 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE 6b250 00001041 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 6b260 5f544350 5f554450 5f495076 34000110 _TCP_UDP_IPv4... 6b270 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC 6b280 505f5544 505f4950 76360002 00066164 P_UDP_IPv6....ad 6b290 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type 6b2a0 5f740000 00227412 08000023 0d037478 _t..."t....#..tx 6b2b0 5f636b73 756d0000 0022ca02 23000372 _cksum..."..#..r 6b2c0 785f636b 73756d00 000022ca 02230400 x_cksum..."..#.. 6b2d0 06616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i 6b2e0 6e666f5f 74000000 22e41404 00002366 nfo_t...".....#f 6b2f0 10414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON 6b300 45000010 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ 6b310 49505634 00011041 44465f4e 45545f54 IPV4...ADF_NET_T 6b320 534f5f41 4c4c0002 00066164 665f6e65 SO_ALL....adf_ne 6b330 745f7473 6f5f7479 70655f74 00000023 t_tso_type_t...# 6b340 27121000 0023ba03 636b7375 6d5f6361 '....#..cksum_ca 6b350 70000000 230d0223 00037473 6f000000 p...#..#..tso... 6b360 23660223 0803766c 616e5f73 7570706f #f.#..vlan_suppo 6b370 72746564 00000019 9b02230c 00122000 rted......#... . 6b380 00245303 74785f70 61636b65 74730000 .$S.tx_packets.. 6b390 00193402 23000372 785f7061 636b6574 ..4.#..rx_packet 6b3a0 73000000 19340223 04037478 5f627974 s....4.#..tx_byt 6b3b0 65730000 00193402 23080372 785f6279 es....4.#..rx_by 6b3c0 74657300 00001934 02230c03 74785f64 tes....4.#..tx_d 6b3d0 726f7070 65640000 00193402 23100372 ropped....4.#..r 6b3e0 785f6472 6f707065 64000000 19340223 x_dropped....4.# 6b3f0 14037278 5f657272 6f727300 00001934 ..rx_errors....4 6b400 02231803 74785f65 72726f72 73000000 .#..tx_errors... 6b410 19340223 1c000661 64665f6e 65745f65 .4.#...adf_net_e 6b420 74686164 64725f74 0000001f 8d160000 thaddr_t........ 6b430 24530300 00002478 087f0017 6164665f $S....$x....adf_ 6b440 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. 6b450 04000024 af036e65 6c656d00 00001934 ...$..nelem....4 6b460 02230003 6d636173 74000000 246a0223 .#..mcast...$j.# 6b470 04000661 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l 6b480 696e6b5f 696e666f 5f740000 001f2706 ink_info_t....'. 6b490 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll 6b4a0 5f696e66 6f5f7400 00002195 06616466 _info_t...!..adf 6b4b0 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i 6b4c0 6e666f5f 74000000 230d0661 64665f6e nfo_t...#..adf_n 6b4d0 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info 6b4e0 5f740000 00215906 6164665f 6e65745f _t...!Y.adf_net_ 6b4f0 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. 6b500 00223e06 6164665f 6e65745f 636d645f .">.adf_net_cmd_ 6b510 7669645f 74000000 1fc30661 64665f6e vid_t......adf_n 6b520 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c 6b530 61705f74 00000023 7e066164 665f6e65 ap_t...#~.adf_ne 6b540 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... 6b550 23ba0661 64665f6e 65745f63 6d645f6d #..adf_net_cmd_m 6b560 63616464 725f7400 00002478 0f616466 caddr_t...$x.adf 6b570 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 6b580 61700004 000025f1 10414446 5f4e4554 ap....%..ADF_NET 6b590 5f4d4341 53545f53 55500000 10414446 _MCAST_SUP...ADF 6b5a0 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU 6b5b0 50000100 06616466 5f6e6574 5f636d64 P....adf_net_cmd 6b5c0 5f6d6361 73745f63 61705f74 00000025 _mcast_cap_t...% 6b5d0 a9180304 000026c3 036c696e 6b5f696e ......&..link_in 6b5e0 666f0000 0024af02 23000370 6f6c6c5f fo...$..#..poll_ 6b5f0 696e666f 00000024 cc022300 03636b73 info...$..#..cks 6b600 756d5f69 6e666f00 000024e9 02230003 um_info...$..#.. 6b610 72696e67 5f696e66 6f000000 25070223 ring_info...%..# 6b620 0003646d 615f696e 666f0000 00252402 ..dma_info...%$. 6b630 23000376 69640000 00254002 2300036f #..vid...%@.#..o 6b640 66666c6f 61645f63 61700000 00255702 ffload_cap...%W. 6b650 23000373 74617473 00000025 76022300 #..stats...%v.#. 6b660 036d6361 73745f69 6e666f00 0000258f .mcast_info...%. 6b670 02230003 6d636173 745f6361 70000000 .#..mcast_cap... 6b680 25f10223 00001404 0000271a 10414446 %..#......'..ADF 6b690 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N 6b6a0 4f4e4500 00104144 465f4e42 55465f52 ONE...ADF_NBUF_R 6b6b0 585f434b 53554d5f 48570001 10414446 X_CKSUM_HW...ADF 6b6c0 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U 6b6d0 4e4e4543 45535341 52590002 00066164 NNECESSARY....ad 6b6e0 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 6b6f0 74797065 5f740000 0026c312 08000027 type_t...&.....' 6b700 5a037265 73756c74 00000027 1a022300 Z.result...'..#. 6b710 0376616c 00000019 34022304 00120800 .val....4.#..... 6b720 00278a03 74797065 00000023 66022300 .'..type...#f.#. 6b730 036d7373 0000001f c3022304 03686472 .mss......#..hdr 6b740 5f6f6666 00000019 9b022306 00025f5f _off......#...__ 6b750 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. 6b760 000027c9 03686561 64000000 03350223 ..'..head....5.# 6b770 00037461 696c0000 00033502 23040371 ..tail....5.#..q 6b780 6c656e00 00001934 02230800 065f5f61 len....4.#...__a 6b790 64665f6e 6275665f 74000000 03350400 df_nbuf_t....5.. 6b7a0 0019aa04 00040000 19340400 09010a00 .........4...... 6b7b0 00021401 0a000019 34010a00 0019aa01 ........4....... 6b7c0 0a000019 aa010400 0001e504 00065f5f ..............__ 6b7d0 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t 6b7e0 00000027 8a065f5f 6164665f 6e627566 ...'..__adf_nbuf 6b7f0 5f717565 75655f74 00000028 0a040000 _queue_t...(.... 6b800 28220400 0a000027 c9010a00 0027c901 (".....'.....'.. 6b810 14040000 29421041 5f535441 5455535f ....)B.A_STATUS_ 6b820 4f4b0000 10415f53 54415455 535f4641 OK...A_STATUS_FA 6b830 494c4544 00011041 5f535441 5455535f ILED...A_STATUS_ 6b840 454e4f45 4e540002 10415f53 54415455 ENOENT...A_STATU 6b850 535f454e 4f4d454d 00031041 5f535441 S_ENOMEM...A_STA 6b860 5455535f 45494e56 414c0004 10415f53 TUS_EINVAL...A_S 6b870 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES 6b880 53000510 415f5354 41545553 5f454e4f S...A_STATUS_ENO 6b890 54535550 50000610 415f5354 41545553 TSUPP...A_STATUS 6b8a0 5f454255 53590007 10415f53 54415455 _EBUSY...A_STATU 6b8b0 535f4532 42494700 0810415f 53544154 S_E2BIG...A_STAT 6b8c0 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL 6b8d0 00091041 5f535441 5455535f 454e5849 ...A_STATUS_ENXI 6b8e0 4f000a10 415f5354 41545553 5f454641 O...A_STATUS_EFA 6b8f0 554c5400 0b10415f 53544154 55535f45 ULT...A_STATUS_E 6b900 494f000c 0006615f 73746174 75735f74 IO....a_status_t 6b910 00000028 4d0a0000 2942010a 000001f9 ...(M...)B...... 6b920 01090106 6164665f 6e627566 5f740000 ....adf_nbuf_t.. 6b930 0027c914 04000029 a7104144 465f4f53 .'.....)..ADF_OS 6b940 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. 6b950 10414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM 6b960 5f444556 49434500 01000661 64665f6f _DEVICE....adf_o 6b970 735f646d 615f6469 725f7400 00002970 s_dma_dir_t...)p 6b980 0a000029 42010901 06616466 5f6f735f ...)B....adf_os_ 6b990 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... 6b9a0 19510400 0029c504 00090109 010a0000 .Q...).......... 6b9b0 2960010a 000027c9 01090109 010a0000 )`....'......... 6b9c0 2960010a 000027c9 010a0000 2960010a )`....'.....)`.. 6b9d0 000027c9 010a0000 29600109 0109010a ..'.....)`...... 6b9e0 00001934 010a0000 19aa0109 0109010a ...4............ 6b9f0 00001e61 010a0000 1dae010a 00001dae ...a............ 6ba00 01066164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ 6ba10 74000000 19e90400 002a3e04 00090109 t........*>..... 6ba20 0109010a 000019aa 01066164 665f6e62 ..........adf_nb 6ba30 75665f71 75657565 5f740000 00282204 uf_queue_t...(". 6ba40 00002a66 04000901 04000028 0a040009 ..*f.......(.... 6ba50 01090109 010a0000 2960010a 000027c9 ........)`....'. 6ba60 010a0000 1934010a 00001934 010a0000 .....4.....4.... 6ba70 1dae010a 00001dae 010a0000 22ca010a ............"... 6ba80 00001934 01066164 665f6e62 75665f72 ...4..adf_nbuf_r 6ba90 785f636b 73756d5f 74000000 27380400 x_cksum_t...'8.. 6baa0 002ac204 00090109 01066164 665f6e62 .*........adf_nb 6bab0 75665f74 736f5f74 00000027 5a040000 uf_tso_t...'Z... 6bac0 2ae60400 09010901 06616466 5f6e6574 *........adf_net 6bad0 5f68616e 646c655f 74000000 023e0661 _handle_t....>.a 6bae0 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t 6baf0 00000020 d6040000 2b1b0400 0a000029 ... ....+......) 6bb00 42010a00 00294201 09010901 025f4849 B....)B......_HI 6bb10 465f434f 4e464947 00040000 2b6a0364 F_CONFIG....+j.d 6bb20 756d6d79 00000001 f9022300 00090104 ummy......#..... 6bb30 00002b6a 04000901 0400002b 73040002 ..+j.......+s... 6bb40 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... 6bb50 002bc803 73656e64 5f627566 5f646f6e .+..send_buf_don 6bb60 65000000 2b6c0223 00037265 63765f62 e...+l.#..recv_b 6bb70 75660000 002b7502 23040363 6f6e7465 uf...+u.#..conte 6bb80 78740000 00023e02 23080006 6869665f xt....>.#...hif_ 6bb90 68616e64 6c655f74 00000002 3e064849 handle_t....>.HI 6bba0 465f434f 4e464947 0000002b 49040000 F_CONFIG...+I... 6bbb0 2bda0400 0a00002b c8010400 002bf104 +......+.....+.. 6bbc0 00090104 00002bfe 04000648 49465f43 ......+....HIF_C 6bbd0 414c4c42 41434b00 00002b7c 0400002c ALLBACK...+|..., 6bbe0 07040009 01040000 2c200400 0a000001 ........, ...... 6bbf0 f9010400 002c2904 00090104 00002c36 .....,).......,6 6bc00 04000a00 0001f901 0400002c 3f040009 ...........,?... 6bc10 01040000 2c4c0400 0a000001 f9010400 ....,L.......... 6bc20 002c5504 00090104 00002c62 04000268 .,U.......,b...h 6bc30 69665f61 70690038 00002dbb 035f696e if_api.8..-.._in 6bc40 69740000 002bf702 2300035f 73687574 it...+..#.._shut 6bc50 646f776e 0000002c 00022304 035f7265 down...,..#.._re 6bc60 67697374 65725f63 616c6c62 61636b00 gister_callback. 6bc70 00002c22 02230803 5f676574 5f746f74 ..,".#.._get_tot 6bc80 616c5f63 72656469 745f636f 756e7400 al_credit_count. 6bc90 00002c2f 02230c03 5f737461 72740000 ..,/.#.._start.. 6bca0 002c0002 2310035f 636f6e66 69675f70 .,..#.._config_p 6bcb0 69706500 00002c38 02231403 5f73656e ipe...,8.#.._sen 6bcc0 645f6275 66666572 0000002c 45022318 d_buffer...,E.#. 6bcd0 035f7265 7475726e 5f726563 765f6275 ._return_recv_bu 6bce0 66000000 2c4e0223 1c035f69 735f7069 f...,N.#.._is_pi 6bcf0 70655f73 7570706f 72746564 0000002c pe_supported..., 6bd00 5b022320 035f6765 745f6d61 785f6d73 [.# ._get_max_ms 6bd10 675f6c65 6e000000 2c5b0223 24035f67 g_len...,[.#$._g 6bd20 65745f72 65736572 7665645f 68656164 et_reserved_head 6bd30 726f6f6d 0000002c 2f022328 035f6973 room...,/.#(._is 6bd40 725f6861 6e646c65 72000000 2c000223 r_handler...,..# 6bd50 2c035f67 65745f64 65666175 6c745f70 ,._get_default_p 6bd60 69706500 00002c64 02233003 70526573 ipe...,d.#0.pRes 6bd70 65727665 64000000 023e0223 34000f64 erved....>.#4..d 6bd80 6d615f65 6e67696e 65000400 002e4410 ma_engine.....D. 6bd90 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. 6bda0 10444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. 6bdb0 0110444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 6bdc0 00021044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 6bdd0 33000310 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T 6bde0 58300004 10444d41 5f454e47 494e455f X0...DMA_ENGINE_ 6bdf0 54583100 0510444d 415f454e 47494e45 TX1...DMA_ENGINE 6be00 5f4d4158 00060006 646d615f 656e6769 _MAX....dma_engi 6be10 6e655f74 0000002d bb0f646d 615f6966 ne_t...-..dma_if 6be20 74797065 00040000 2e911044 4d415f49 type.......DMA_I 6be30 465f474d 41430000 10444d41 5f49465f F_GMAC...DMA_IF_ 6be40 50434900 0110444d 415f4946 5f504349 PCI...DMA_IF_PCI 6be50 45000200 06646d61 5f696674 7970655f E....dma_iftype_ 6be60 74000000 2e560a00 0001d701 0400002e t....V.......... 6be70 a3040009 01040000 2eb00400 09010400 ................ 6be80 002eb904 000a0000 0ed50104 00002ec2 ................ 6be90 04000a00 0001d701 0400002e cf04000a ................ 6bea0 000001d7 01040000 2edc0400 0a000003 ................ 6beb0 35010400 002ee904 00090104 00002ef6 5............... 6bec0 04000264 6d615f6c 69625f61 70690034 ...dma_lib_api.4 6bed0 00002ffd 0374785f 696e6974 0000002e ../..tx_init.... 6bee0 a9022300 0374785f 73746172 74000000 ..#..tx_start... 6bef0 2eb20223 04037278 5f696e69 74000000 ...#..rx_init... 6bf00 2ea90223 08037278 5f636f6e 66696700 ...#..rx_config. 6bf10 00002ebb 02230c03 72785f73 74617274 .....#..rx_start 6bf20 0000002e b2022310 03696e74 725f7374 ......#..intr_st 6bf30 61747573 0000002e c8022314 03686172 atus......#..har 6bf40 645f786d 69740000 002ed502 23180366 d_xmit......#..f 6bf50 6c757368 5f786d69 74000000 2eb20223 lush_xmit......# 6bf60 1c03786d 69745f64 6f6e6500 00002ee2 ..xmit_done..... 6bf70 02232003 72656170 5f786d69 74746564 .# .reap_xmitted 6bf80 0000002e ef022324 03726561 705f7265 ......#$.reap_re 6bf90 63760000 002eef02 23280372 65747572 cv......#(.retur 6bfa0 6e5f7265 63760000 002ef802 232c0372 n_recv......#,.r 6bfb0 6563765f 706b7400 00002ee2 02233000 ecv_pkt......#0. 6bfc0 025f5f70 63695f73 6f667463 000c0000 .__pci_softc.... 6bfd0 301b0373 77000000 2c070223 0000065f 0..sw...,..#..._ 6bfe0 5f706369 5f736f66 74635f74 0000002f _pci_softc_t.../ 6bff0 fd040000 301b0400 09010400 00303504 ....0........05. 6c000 000a0000 01ad0104 0000303e 04000f68 ..........0>...h 6c010 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. 6c020 0000309e 10484946 5f504349 5f504950 ..0..HIF_PCI_PIP 6c030 455f5458 30000010 4849465f 5043495f E_TX0...HIF_PCI_ 6c040 50495045 5f545831 00011048 49465f50 PIPE_TX1...HIF_P 6c050 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. 6c060 00066869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t 6c070 785f7400 0000304b 0a00002e 44010400 x_t...0K....D... 6c080 0030b504 000f6869 665f7063 695f7069 .0....hif_pci_pi 6c090 70655f72 78000400 00313b10 4849465f pe_rx....1;.HIF_ 6c0a0 5043495f 50495045 5f525830 00001048 PCI_PIPE_RX0...H 6c0b0 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. 6c0c0 01104849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 6c0d0 58320002 10484946 5f504349 5f504950 X2...HIF_PCI_PIP 6c0e0 455f5258 33000310 4849465f 5043495f E_RX3...HIF_PCI_ 6c0f0 50495045 5f52585f 4d415800 04000668 PIPE_RX_MAX....h 6c100 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t 6c110 00000030 c20a0000 2e440104 00003152 ...0.....D....1R 6c120 04000268 69665f70 63695f61 70690024 ...hif_pci_api.$ 6c130 00003230 03706369 5f626f6f 745f696e ..20.pci_boot_in 6c140 69740000 00070802 23000370 63695f69 it......#..pci_i 6c150 6e697400 00002bf7 02230403 7063695f nit...+..#..pci_ 6c160 72657365 74000000 07080223 08037063 reset......#..pc 6c170 695f656e 61626c65 00000007 0802230c i_enable......#. 6c180 03706369 5f726561 705f786d 69747465 .pci_reap_xmitte 6c190 64000000 30370223 10037063 695f7265 d...07.#..pci_re 6c1a0 61705f72 65637600 00003037 02231403 ap_recv...07.#.. 6c1b0 7063695f 6765745f 70697065 00000030 pci_get_pipe...0 6c1c0 44022318 03706369 5f676574 5f74785f D.#..pci_get_tx_ 6c1d0 656e6700 000030bb 02231c03 7063695f eng...0..#..pci_ 6c1e0 6765745f 72785f65 6e670000 00315802 get_rx_eng...1X. 6c1f0 23200002 676d6163 5f617069 00040000 # ..gmac_api.... 6c200 32570367 6d61635f 626f6f74 5f696e69 2W.gmac_boot_ini 6c210 74000000 07080223 00000700 00019c06 t......#........ 6c220 00003264 08050002 5f5f6574 68686472 ..2d....__ethhdr 6c230 000e0000 329a0364 73740000 00325702 ....2..dst...2W. 6c240 23000373 72630000 00325702 23060365 #..src...2W.#..e 6c250 74797065 00000001 d702230c 00025f5f type......#...__ 6c260 61746868 64720004 000032e8 15726573 athhdr....2..res 6c270 00000001 ad010002 02230015 70726f74 .........#..prot 6c280 6f000000 01ad0102 06022300 03726573 o.........#..res 6c290 5f6c6f00 000001ad 02230103 7265735f _lo......#..res_ 6c2a0 68690000 0001d702 23020002 5f5f676d hi......#...__gm 6c2b0 61635f68 64720014 00003324 03657468 ac_hdr....3$.eth 6c2c0 00000032 64022300 03617468 00000032 ...2d.#..ath...2 6c2d0 9a02230e 03616c69 676e5f70 61640000 ..#..align_pad.. 6c2e0 0001d702 23120006 5f5f676d 61635f68 ....#...__gmac_h 6c2f0 64725f74 00000032 e8025f5f 676d6163 dr_t...2..__gmac 6c300 5f736f66 74630024 0000336e 03686472 _softc.$..3n.hdr 6c310 00000033 24022300 03677261 6e000000 ...3$.#..gran... 6c320 01d70223 14037377 0000002c 07022318 ...#..sw...,..#. 6c330 000e5f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ 6c340 63686563 6b000001 04000033 6e04000a check......3n... 6c350 000001f9 01040000 338c0400 04000009 ........3....... 6c360 c1040017 5f415f63 6d6e6f73 5f696e64 ...._A_cmnos_ind 6c370 69726563 74696f6e 5f746162 6c650001 irection_table.. 6c380 b8000034 dc036861 6c5f6c69 6e6b6167 ...4..hal_linkag 6c390 655f6368 65636b00 00003392 02230003 e_check...3..#.. 6c3a0 73746172 745f6273 73000000 33990223 start_bss...3..# 6c3b0 04036170 705f7374 61727400 00000708 ..app_start..... 6c3c0 02230803 6d656d00 00000a01 02230c03 .#..mem......#.. 6c3d0 6d697363 0000000b 20022320 03707269 misc.... .# .pri 6c3e0 6e746600 00000735 02234403 75617274 ntf....5.#D.uart 6c3f0 00000007 d302234c 03676d61 63000000 ......#L.gmac... 6c400 32300223 6c037573 62000000 15460223 20.#l.usb....F.# 6c410 7003636c 6f636b00 0000108f 0323e001 p.clock......#.. 6c420 0374696d 65720000 000d3803 23840203 .timer....8.#... 6c430 696e7472 00000011 df032398 0203616c intr......#...al 6c440 6c6f6372 616d0000 000ef003 23c40203 locram......#... 6c450 726f6d70 0000000d e20323d0 02037764 romp......#...wd 6c460 745f7469 6d657200 000013bc 0323e002 t_timer......#.. 6c470 03656570 00000014 ea0323fc 02037374 .eep......#...st 6c480 72696e67 0000000c 4403238c 03037461 ring....D.#...ta 6c490 736b6c65 74000000 0fed0323 a4030002 sklet......#.... 6c4a0 5f555342 5f464946 4f5f434f 4e464947 _USB_FIFO_CONFIG 6c4b0 00100000 354f0367 65745f63 6f6d6d61 ....5O.get_comma 6c4c0 6e645f62 75660000 00034202 23000372 nd_buf....B.#..r 6c4d0 6563765f 636f6d6d 616e6400 00000358 ecv_command....X 6c4e0 02230403 6765745f 6576656e 745f6275 .#..get_event_bu 6c4f0 66000000 03420223 08037365 6e645f65 f....B.#..send_e 6c500 76656e74 5f646f6e 65000000 03580223 vent_done....X.# 6c510 0c000655 53425f46 49464f5f 434f4e46 ...USB_FIFO_CONF 6c520 49470000 0034dc04 0000354f 04000901 IG...4....5O.... 6c530 04000035 6b040002 75736266 69666f5f ...5k...usbfifo_ 6c540 61706900 0c000035 c1035f69 6e697400 api....5.._init. 6c550 0000356d 02230003 5f656e61 626c655f ..5m.#.._enable_ 6c560 6576656e 745f6973 72000000 07080223 event_isr......# 6c570 04037052 65736572 76656400 0000023e ..pReserved....> 6c580 02230800 07000019 9b020000 35ce0801 .#..........5... 6c590 00025f48 54435f46 52414d45 5f484452 .._HTC_FRAME_HDR 6c5a0 00080000 36400345 6e64706f 696e7449 ....6@.EndpointI 6c5b0 44000000 199b0223 0003466c 61677300 D......#..Flags. 6c5c0 0000199b 02230103 5061796c 6f61644c .....#..PayloadL 6c5d0 656e0000 001fc302 23020343 6f6e7472 en......#..Contr 6c5e0 6f6c4279 74657300 000035c1 02230403 olBytes...5..#.. 6c5f0 486f7374 5365714e 756d0000 001fc302 HostSeqNum...... 6c600 23060012 02000036 59034d65 73736167 #......6Y.Messag 6c610 65494400 00001fc3 02230000 12080000 eID......#...... 6c620 36bc034d 65737361 67654944 0000001f 6..MessageID.... 6c630 c3022300 03437265 64697443 6f756e74 ..#..CreditCount 6c640 0000001f c3022302 03437265 64697453 ......#..CreditS 6c650 697a6500 00001fc3 02230403 4d617845 ize......#..MaxE 6c660 6e64706f 696e7473 00000019 9b022306 ndpoints......#. 6c670 035f5061 64310000 00199b02 23070012 ._Pad1......#... 6c680 0a000037 53034d65 73736167 65494400 ...7S.MessageID. 6c690 00001fc3 02230003 53657276 69636549 .....#..ServiceI 6c6a0 44000000 1fc30223 0203436f 6e6e6563 D......#..Connec 6c6b0 74696f6e 466c6167 73000000 1fc30223 tionFlags......# 6c6c0 0403446f 776e4c69 6e6b5069 70654944 ..DownLinkPipeID 6c6d0 00000019 9b022306 0355704c 696e6b50 ......#..UpLinkP 6c6e0 69706549 44000000 199b0223 07035365 ipeID......#..Se 6c6f0 72766963 654d6574 614c656e 67746800 rviceMetaLength. 6c700 0000199b 02230803 5f506164 31000000 .....#.._Pad1... 6c710 199b0223 0900120a 000037db 034d6573 ...#......7..Mes 6c720 73616765 49440000 001fc302 23000353 sageID......#..S 6c730 65727669 63654944 0000001f c3022302 erviceID......#. 6c740 03537461 74757300 0000199b 02230403 .Status......#.. 6c750 456e6470 6f696e74 49440000 00199b02 EndpointID...... 6c760 2305034d 61784d73 6753697a 65000000 #..MaxMsgSize... 6c770 1fc30223 06035365 72766963 654d6574 ...#..ServiceMet 6c780 614c656e 67746800 0000199b 02230803 aLength......#.. 6c790 5f506164 31000000 199b0223 09001202 _Pad1......#.... 6c7a0 000037f4 034d6573 73616765 49440000 ..7..MessageID.. 6c7b0 001fc302 23000012 04000038 30034d65 ....#......80.Me 6c7c0 73736167 65494400 00001fc3 02230003 ssageID......#.. 6c7d0 50697065 49440000 00199b02 23020343 PipeID......#..C 6c7e0 72656469 74436f75 6e740000 00199b02 reditCount...... 6c7f0 23030012 04000038 67034d65 73736167 #......8g.Messag 6c800 65494400 00001fc3 02230003 50697065 eID......#..Pipe 6c810 49440000 00199b02 23020353 74617475 ID......#..Statu 6c820 73000000 199b0223 03001202 0000388e s......#......8. 6c830 03526563 6f726449 44000000 199b0223 .RecordID......# 6c840 00034c65 6e677468 00000019 9b022301 ..Length......#. 6c850 00120200 0038b803 456e6470 6f696e74 .....8..Endpoint 6c860 49440000 00199b02 23000343 72656469 ID......#..Credi 6c870 74730000 00199b02 23010012 04000038 ts......#......8 6c880 f903456e 64706f69 6e744944 00000019 ..EndpointID.... 6c890 9b022300 03437265 64697473 00000019 ..#..Credits.... 6c8a0 9b022301 03546774 43726564 69745365 ..#..TgtCreditSe 6c8b0 714e6f00 00001fc3 02230200 07000019 qNo......#...... 6c8c0 9b040000 39060803 00120600 00394203 ....9........9B. 6c8d0 50726556 616c6964 00000019 9b022300 PreValid......#. 6c8e0 034c6f6f 6b416865 61640000 0038f902 .LookAhead...8.. 6c8f0 23010350 6f737456 616c6964 00000019 #..PostValid.... 6c900 9b022305 0006706f 6f6c5f68 616e646c ..#...pool_handl 6c910 655f7400 0000023e 0a000039 42010400 e_t....>...9B... 6c920 00395504 00090104 00003962 04001404 .9U.......9b.... 6c930 000039e0 10504f4f 4c5f4944 5f485443 ..9..POOL_ID_HTC 6c940 5f434f4e 54524f4c 00001050 4f4f4c5f _CONTROL...POOL_ 6c950 49445f57 4d495f53 56435f43 4d445f52 ID_WMI_SVC_CMD_R 6c960 45504c59 00011050 4f4f4c5f 49445f57 EPLY...POOL_ID_W 6c970 4d495f53 56435f45 56454e54 00021050 MI_SVC_EVENT...P 6c980 4f4f4c5f 49445f57 4c414e5f 52585f42 OOL_ID_WLAN_RX_B 6c990 55460003 10504f4f 4c5f4944 5f4d4158 UF...POOL_ID_MAX 6c9a0 000a0006 4255465f 504f4f4c 5f494400 ....BUF_POOL_ID. 6c9b0 0000396b 09010400 0039f104 000a0000 ..9k.....9...... 6c9c0 29600104 000039fa 04000a00 00296001 )`....9......)`. 6c9d0 0400003a 07040009 01040000 3a140400 ...:........:... 6c9e0 02627566 5f706f6f 6c5f6170 69001c00 .buf_pool_api... 6c9f0 003ab603 5f696e69 74000000 395b0223 .:.._init...9[.# 6ca00 00035f73 68757464 6f776e00 00003964 .._shutdown...9d 6ca10 02230403 5f637265 6174655f 706f6f6c .#.._create_pool 6ca20 00000039 f3022308 035f616c 6c6f635f ...9..#.._alloc_ 6ca30 62756600 00003a00 02230c03 5f616c6c buf...:..#.._all 6ca40 6f635f62 75665f61 6c69676e 0000003a oc_buf_align...: 6ca50 0d022310 035f6672 65655f62 75660000 ..#.._free_buf.. 6ca60 003a1602 23140370 52657365 72766564 .:..#..pReserved 6ca70 00000002 3e022318 00025f48 54435f53 ....>.#..._HTC_S 6ca80 45525649 4345001c 00003b95 03704e65 ERVICE....;..pNe 6ca90 78740000 003b9502 23000350 726f6365 xt...;..#..Proce 6caa0 73735265 63764d73 67000000 3c4a0223 ssRecvMsg....#.....:.... 6cb60 0400003c 3319454e 44504f49 4e545f55 ...<3.ENDPOINT_U 6cb70 4e555345 4400ffff ffff1045 4e44504f NUSED......ENDPO 6cb80 494e5430 00001045 4e44504f 494e5431 INT0...ENDPOINT1 6cb90 00011045 4e44504f 494e5432 00021045 ...ENDPOINT2...E 6cba0 4e44504f 494e5433 00031045 4e44504f NDPOINT3...ENDPO 6cbb0 494e5434 00041045 4e44504f 494e5435 INT4...ENDPOINT5 6cbc0 00051045 4e44504f 494e5436 00061045 ...ENDPOINT6...E 6cbd0 4e44504f 494e5437 00071045 4e44504f NDPOINT7...ENDPO 6cbe0 494e5438 00081045 4e44504f 494e545f INT8...ENDPOINT_ 6cbf0 4d415800 16000648 54435f45 4e44504f MAX....HTC_ENDPO 6cc00 494e545f 49440000 003b9c09 01040000 INT_ID...;...... 6cc10 3c480400 09010400 003c5104 00040000 .#0.. 6cef0 686f7374 5f617070 5f617265 615f7300 host_app_area_s. 6cf00 0400003f 5c03776d 695f7072 6f746f63 ...?\.wmi_protoc 6cf10 6f6c5f76 65720000 00193402 23000012 ol_ver....4.#... 6cf20 0e00003f 93036473 744d6163 0000001f ...?..dstMac.... 6cf30 80022300 03737263 4d616300 00001f80 ..#..srcMac..... 6cf40 02230603 74797065 4f724c65 6e000000 .#..typeOrLen... 6cf50 1fc30223 0c000700 00199b03 00003fa0 ...#..........?. 6cf60 08020012 0800003f f0036473 61700000 .......?..dsap.. 6cf70 00199b02 23000373 73617000 0000199b ....#..ssap..... 6cf80 02230103 636e746c 00000019 9b022302 .#..cntl......#. 6cf90 036f7267 436f6465 0000003f 93022303 .orgCode...?..#. 6cfa0 03657468 65725479 70650000 001fc302 .etherType...... 6cfb0 23060012 02000040 11037273 73690000 #......@..rssi.. 6cfc0 001f1902 23000369 6e666f00 0000199b ....#..info..... 6cfd0 02230100 12040000 40380363 6f6d6d61 .#......@8.comma 6cfe0 6e644964 0000001f c3022300 03736571 ndId......#..seq 6cff0 4e6f0000 001fc302 23020007 0000199b No......#....... 6d000 01000040 45080000 12020000 406c036d ...@E.......@l.m 6d010 73675369 7a650000 00199b02 2300036d sgSize......#..m 6d020 73674461 74610000 00403802 23010012 sgData...@8.#... 6d030 08000040 b3036164 64726573 734c0000 ...@..addressL.. 6d040 001fc302 23000361 64647265 73734800 ....#..addressH. 6d050 00001fc3 02230203 76616c75 654c0000 .....#..valueL.. 6d060 001fc302 23040376 616c7565 48000000 ....#..valueH... 6d070 1fc30223 06000657 4d495f41 56540000 ...#...WMI_AVT.. 6d080 00406c07 000040b3 08000040 cd080000 .@l...@....@.... 6d090 120c0000 41040374 75706c65 4e756d4c ....A..tupleNumL 6d0a0 0000001f c3022300 03747570 6c654e75 ......#..tupleNu 6d0b0 6d480000 001fc302 23020361 76740000 mH......#..avt.. 6d0c0 0040c002 23040012 01000041 26036265 .@..#......A&.be 6d0d0 61636f6e 50656e64 696e6743 6f756e74 aconPendingCount 6d0e0 00000019 9b022300 00025f57 4d495f53 ......#..._WMI_S 6d0f0 56435f43 4f4e4649 47001000 00418f03 VC_CONFIG....A.. 6d100 48746348 616e646c 65000000 3d220223 HtcHandle...=".# 6d110 0003506f 6f6c4861 6e646c65 00000039 ..PoolHandle...9 6d120 42022304 034d6178 436d6452 65706c79 B.#..MaxCmdReply 6d130 45767473 00000001 f9022308 034d6178 Evts......#..Max 6d140 4576656e 74457674 73000000 01f90223 EventEvts......# 6d150 0c000901 04000041 8f040006 574d495f .......A....WMI_ 6d160 434d445f 48414e44 4c455200 00004191 CMD_HANDLER...A. 6d170 025f574d 495f4449 53504154 43485f45 ._WMI_DISPATCH_E 6d180 4e545259 00080000 41f80370 436d6448 NTRY....A..pCmdH 6d190 616e646c 65720000 00419802 23000343 andler...A..#..C 6d1a0 6d644944 00000001 d7022304 03466c61 mdID......#..Fla 6d1b0 67730000 0001d702 23060002 5f574d49 gs......#..._WMI 6d1c0 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 6d1d0 10000042 5903704e 65787400 00004259 ...BY.pNext...BY 6d1e0 02230003 70436f6e 74657874 00000002 .#..pContext.... 6d1f0 3e022304 034e756d 6265724f 66456e74 >.#..NumberOfEnt 6d200 72696573 00000001 f9022308 03705461 ries......#..pTa 6d210 626c6500 00004278 02230c00 04000041 ble...Bx.#.....A 6d220 f8040006 574d495f 44495350 41544348 ....WMI_DISPATCH 6d230 5f454e54 52590000 0041ad04 00004260 _ENTRY...A....B` 6d240 04000400 0041f804 00064854 435f4255 .....A....HTC_BU 6d250 465f434f 4e544558 54000000 3ce60f57 F_CONTEXT...<..W 6d260 4d495f45 56545f43 4c415353 00040000 MI_EVT_CLASS.... 6d270 43101957 4d495f45 56545f43 4c415353 C..WMI_EVT_CLASS 6d280 5f4e4f4e 4500ffff ffff1057 4d495f45 _NONE......WMI_E 6d290 56545f43 4c415353 5f434d44 5f455645 VT_CLASS_CMD_EVE 6d2a0 4e540000 10574d49 5f455654 5f434c41 NT...WMI_EVT_CLA 6d2b0 53535f43 4d445f52 45504c59 00011057 SS_CMD_REPLY...W 6d2c0 4d495f45 56545f43 4c415353 5f4d4158 MI_EVT_CLASS_MAX 6d2d0 00020006 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS 6d2e0 53000000 429b025f 574d495f 4255465f S...B.._WMI_BUF_ 6d2f0 434f4e54 45585400 0c000043 6e034874 CONTEXT....Cn.Ht 6d300 63427566 43747800 00004286 02230003 cBufCtx...B..#.. 6d310 4576656e 74436c61 73730000 00431002 EventClass...C.. 6d320 23040346 6c616773 00000001 d7022308 #..Flags......#. 6d330 0006776d 695f6861 6e646c65 5f740000 ..wmi_handle_t.. 6d340 00023e06 574d495f 5356435f 434f4e46 ..>.WMI_SVC_CONF 6d350 49470000 00412604 00004380 04000a00 IG...A&...C..... 6d360 00436e01 04000043 9b040006 574d495f .Cn....C....WMI_ 6d370 44495350 41544348 5f544142 4c450000 DISPATCH_TABLE.. 6d380 0041f804 000043a8 04000901 04000043 .A....C........C 6d390 c704000a 00002960 01040000 43d00400 ......)`....C... 6d3a0 09010400 0043dd04 000a0000 01f90104 .....C.......... 6d3b0 000043e6 04000901 04000043 f304000a ..C........C.... 6d3c0 000001ad 01040000 43fc0400 025f776d ........C...._wm 6d3d0 695f7376 635f6170 6973002c 00004544 i_svc_apis.,..ED 6d3e0 035f574d 495f496e 69740000 0043a102 ._WMI_Init...C.. 6d3f0 2300035f 574d495f 52656769 73746572 #.._WMI_Register 6d400 44697370 61746368 5461626c 65000000 DispatchTable... 6d410 43c90223 04035f57 4d495f41 6c6c6f63 C..#.._WMI_Alloc 6d420 4576656e 74000000 43d60223 08035f57 Event...C..#.._W 6d430 4d495f53 656e6445 76656e74 00000043 MI_SendEvent...C 6d440 df02230c 035f574d 495f4765 7450656e ..#.._WMI_GetPen 6d450 64696e67 4576656e 7473436f 756e7400 dingEventsCount. 6d460 000043ec 02231003 5f574d49 5f53656e ..C..#.._WMI_Sen 6d470 64436f6d 706c6574 6548616e 646c6572 dCompleteHandler 6d480 0000003c 53022314 035f574d 495f4765 ....#(.._A_cmnos 6d510 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 6d520 6c655f74 00000033 a006574d 495f5356 le_t...3..WMI_SV 6d530 435f4150 49530000 00440917 5f415f6d C_APIS...D.._A_m 6d540 61677069 655f696e 64697265 6374696f agpie_indirectio 6d550 6e5f7461 626c6500 034c0000 46720363 n_table..L..Fr.c 6d560 6d6e6f73 00000045 44022300 03646267 mnos...ED.#..dbg 6d570 00000009 8e0323b8 03036869 66000000 ......#...hif... 6d580 2c6b0323 c0030368 74630000 003dbc03 ,k.#...htc...=.. 6d590 23f80303 776d695f 7376635f 61706900 #...wmi_svc_api. 6d5a0 00004566 0323ac04 03757362 6669666f ..Ef.#...usbfifo 6d5b0 5f617069 00000035 740323d8 04036275 _api...5t.#...bu 6d5c0 665f706f 6f6c0000 003a1d03 23e40403 f_pool...:..#... 6d5d0 76627566 00000003 5f032380 05037664 vbuf...._.#...vd 6d5e0 65736300 00000241 03239405 03616c6c esc....A.#...all 6d5f0 6f637261 6d000000 0ef00323 a8050364 ocram......#...d 6d600 6d615f65 6e67696e 65000000 05990323 ma_engine......# 6d610 b4050364 6d615f6c 69620000 002eff03 ...dma_lib...... 6d620 23f40503 6869665f 70636900 0000315f #...hif_pci...1_ 6d630 0323a806 000a0000 023e0106 5f415f6d .#.......>.._A_m 6d640 61677069 655f696e 64697265 6374696f agpie_indirectio 6d650 6e5f7461 626c655f 74000000 45780901 n_table_t...Ex.. 6d660 09010901 0a000001 f9010901 09010700 ................ 6d670 00070f0b 000046b8 080a0004 000046ab ......F.......F. 6d680 04000700 00070f0d 000046cc 080c0004 ..........F..... 6d690 000046bf 04000700 00070f06 000046e0 ..F...........F. 6d6a0 08050004 000046d3 04000700 00070f03 ......F......... 6d6b0 000046f4 08020004 000046e7 04000400 ..F.......F..... 6d6c0 00059904 00090104 0000050f 04001a01 ................ 6d6d0 325f444d 41656e67 696e655f 696e6974 2_DMAengine_init 6d6e0 00010392 01200290 00008e59 1c008e59 ..... .....Y...Y 6d6f0 211b0137 5f444d41 656e6769 6e655f69 !..7_DMAengine_i 6d700 6e69745f 72785f71 75657565 00010101 nit_rx_queue.... 6d710 03920120 02900000 8e592400 8e596400 ... .....Y$..Yd. 6d720 0047831c 01377100 00000518 01521d64 .G...7q......R.d 6d730 65736300 00000214 1d757362 44657363 esc......usbDesc 6d740 00000004 72001e01 455f444d 41656e67 ....r...E_DMAeng 6d750 696e655f 696e6974 5f74785f 71756575 ine_init_tx_queu 6d760 65000101 03920120 02900000 8e596400 e...... .....Yd. 6d770 8e597400 0047c01c 01457100 00000528 .Yt..G...Eq....( 6d780 0152001f 014e7377 61704461 74610001 .R...NswapData.. 6d790 03920120 02900000 8e597400 8e59bf00 ... .....Yt..Y.. 6d7a0 00481a1c 014e7573 62446573 63000000 .H...NusbDesc... 6d7b0 04720152 1d6c656e 00000001 f91d6461 .r.R.len......da 6d7c0 74614164 64720000 0018151d 69000000 taAddr......i... 6d7d0 01f91d64 61746100 00000ed5 001e0162 ...data........b 6d7e0 5f444d41 656e6769 6e655f72 65747572 _DMAengine_retur 6d7f0 6e5f7265 63765f62 75660001 01039201 n_recv_buf...... 6d800 20029000 008e59c0 008e59d7 00004866 .....Y...Y...Hf 6d810 1c016271 00000005 1801521c 01626275 ..bq......R..bbu 6d820 66000000 03350153 0020016b 636f6e66 f....5.S. .kconf 6d830 69675f71 75657565 00010103 92012002 ig_queue...... . 6d840 9000008e 59d8008e 5a500000 48e61c01 ....Y...ZP..H... 6d850 6b710000 00051801 521c016b 64657363 kq......R..kdesc 6d860 5f6c6973 74000000 02140153 1d707265 _list......S.pre 6d870 76557362 44657363 00000004 721d7468 vUsbDesc....r.th 6d880 65446573 63000000 02141d75 73624465 eDesc......usbDe 6d890 73630000 0004721d 68656164 55736244 sc....r.headUsbD 6d8a0 65736300 00000472 001b018f 5f444d41 esc....r...._DMA 6d8b0 656e6769 6e655f63 6f6e6669 675f7278 engine_config_rx 6d8c0 5f717565 75650001 01010392 01300290 _queue.......0.. 6d8d0 00008e5a 50008e5a a7000049 651c018f ...ZP..Z...Ie... 6d8e0 71000000 05180152 1c018f6e 756d5f64 q......R...num_d 6d8f0 65736300 000001f9 01531c01 8f627566 esc......S...buf 6d900 5f73697a 65000000 01f90154 1d686561 _size......T.hea 6d910 64000000 02141d69 00000001 f91d6465 d......i......de 6d920 73630000 00021400 1b01b05f 444d4165 sc........._DMAe 6d930 6e67696e 655f786d 69745f62 75660001 ngine_xmit_buf.. 6d940 01010392 01200290 00008e5a a8008e5b ..... .....Z...[ 6d950 66000049 e91c01b0 71000000 05280152 f..I....q....(.R 6d960 1c01b062 75660000 00033501 531d7072 ...buf....5.S.pr 6d970 65765573 62446573 63000000 04721d63 evUsbDesc....r.c 6d980 75727256 64657363 00000002 141d7573 urrVdesc......us 6d990 62446573 63000000 04721d68 65616455 bDesc....r.headU 6d9a0 73624465 73630000 00047200 1e01ea5f sbDesc....r...._ 6d9b0 444d4165 6e67696e 655f666c 7573685f DMAengine_flush_ 6d9c0 786d6974 00010103 92012002 9000008e xmit...... ..... 6d9d0 5b68008e 5b6d0000 4a231c01 ea710000 [h..[m..J#...q.. 6d9e0 00051801 52002101 ee5f444d 41656e67 ....R.!.._DMAeng 6d9f0 696e655f 6861735f 636f6d70 6c5f7061 ine_has_compl_pa 6da00 636b6574 73000000 01f90101 03920120 ckets.......... 6da10 02900000 8e5b7000 8e5b8e00 004a7c1c .....[p..[...J|. 6da20 01ee7100 00000518 01521d68 61735f63 ..q......R.has_c 6da30 6f6d706c 5f706b74 73000000 01f90021 ompl_pkts......! 6da40 01fa5f44 4d41656e 67696e65 5f726561 .._DMAengine_rea 6da50 705f7265 63765f62 75660000 00033501 p_recv_buf....5. 6da60 01039201 20029000 008e5b90 008e5bbf .... .....[...[. 6da70 00004ad0 1c01fa71 00000005 1801521d ..J....q......R. 6da80 64657363 00000004 721d6275 66000000 desc....r.buf... 6da90 03350022 0101115f 444d4165 6e67696e .5."..._DMAengin 6daa0 655f7265 61705f78 6d697465 645f6275 e_reap_xmited_bu 6dab0 66000000 03350101 03920120 02900000 f....5..... .... 6dac0 8e5bc000 8e5bf600 004b2c23 01011171 .[...[...K,#...q 6dad0 00000005 2801521d 64657363 00000004 ....(.R.desc.... 6dae0 721d7365 6e744275 66000000 03350024 r.sentBuf....5.$ 6daf0 01012a5f 444d4165 6e67696e 655f6465 ..*_DMAengine_de 6db00 73635f64 756d7000 01010392 01200290 sc_dump...... .. 6db10 00008e5b f8008e5c 5600004b 7b230101 ...[...\V..K{#.. 6db20 2a710000 00051801 521d6900 0000045d *q......R.i....] 6db30 1d746d70 44657363 00000004 72002401 .tmpDesc....r.$. 6db40 014e646d 615f656e 67696e65 5f6d6f64 .Ndma_engine_mod 6db50 756c655f 696e7374 616c6c00 01010392 ule_install..... 6db60 01200290 00008e5c 58008e5c a800004b . .....\X..\...K 6db70 be230101 4e617069 73000000 46fb0152 .#..Napis...F..R 6db80 00250101 6572656c 696e6b55 53424465 .%..erelinkUSBDe 6db90 7363546f 56646573 63000103 92012002 scToVdesc..... . 6dba0 9000008e 5ca8008e 5cef2301 01656275 ....\...\.#..ebu 6dbb0 66000000 03350152 23010165 64657363 f....5.R#..edesc 6dbc0 00000004 7201531d 70726576 56646573 ....r.S.prevVdes 6dbd0 63000000 02141d63 75727244 65736300 c......currDesc. 6dbe0 00000472 1d766465 73630000 00021400 ...r.vdesc...... 6dbf0 00000000 50a40002 0000281b 04012f72 ....P.....(.../r 6dc00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 6dc10 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 6dc20 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 6dc30 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 6dc40 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 6dc50 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 6dc60 656e6769 6e652f73 72632f64 6573632e engine/src/desc. 6dc70 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 6dc80 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 6dc90 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 6dca0 2f726f6d 2f646d61 5f656e67 696e6500 /rom/dma_engine. 6dcb0 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 6dcc0 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst 6dcd0 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 6dce0 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. 6dcf0 0000018a f602756e 7369676e 65642069 ......unsigned i 6dd00 6e740007 04037769 6e745f74 00000001 nt....wint_t.... 6dd10 0302756e 7369676e 65642063 68617200 ..unsigned char. 6dd20 07010400 00011f04 0000013d 05030006 ...........=.... 6dd30 04000001 61075f5f 77636800 00000113 ....a.__wch..... 6dd40 02230007 5f5f7763 68620000 00013002 .#..__wchb....0. 6dd50 23000002 696e7400 05040808 0000018f #...int......... 6dd60 075f5f63 6f756e74 00000001 61022300 .__count....a.#. 6dd70 075f5f76 616c7565 00000001 3d022304 .__value....=.#. 6dd80 00095f42 6967696e 74001800 0001ed07 .._Bigint....... 6dd90 5f6e6578 74000000 01ed0223 00075f6b _next......#.._k 6dda0 00000001 61022304 075f6d61 78776473 ....a.#.._maxwds 6ddb0 00000001 61022308 075f7369 676e0000 ....a.#.._sign.. 6ddc0 00016102 230c075f 77647300 00000161 ..a.#.._wds....a 6ddd0 02231007 5f780000 00021602 2314000a .#.._x......#... 6dde0 0000018f 0400026c 6f6e6720 756e7369 .......long unsi 6ddf0 676e6564 20696e74 00070403 5f5f554c gned int....__UL 6de00 6f6e6700 000001f4 04000002 09040000 ong............. 6de10 02230500 000a0000 018f0400 095f5f74 .#...........__t 6de20 6d002400 0002d607 5f5f746d 5f736563 m.$.....__tm_sec 6de30 00000001 61022300 075f5f74 6d5f6d69 ....a.#..__tm_mi 6de40 6e000000 01610223 04075f5f 746d5f68 n....a.#..__tm_h 6de50 6f757200 00000161 02230807 5f5f746d our....a.#..__tm 6de60 5f6d6461 79000000 01610223 0c075f5f _mday....a.#..__ 6de70 746d5f6d 6f6e0000 00016102 2310075f tm_mon....a.#.._ 6de80 5f746d5f 79656172 00000001 61022314 _tm_year....a.#. 6de90 075f5f74 6d5f7764 61790000 00016102 .__tm_wday....a. 6dea0 2318075f 5f746d5f 79646179 00000001 #..__tm_yday.... 6deb0 6102231c 075f5f74 6d5f6973 64737400 a.#..__tm_isdst. 6dec0 00000161 02232000 0b040004 000002d6 ...a.# ......... 6ded0 80000002 e6051f00 0c5f6f6e 5f657869 ........._on_exi 6dee0 745f6172 67730001 08000003 44075f66 t_args......D._f 6def0 6e617267 73000000 02d90223 00075f64 nargs......#.._d 6df00 736f5f68 616e646c 65000000 02d90323 so_handle......# 6df10 8001075f 666e7479 70657300 00000209 ..._fntypes..... 6df20 03238002 075f6973 5f637861 00000002 .#..._is_cxa.... 6df30 09032384 02000c5f 61746578 69740001 ..#...._atexit.. 6df40 90000003 93075f6e 65787400 00000393 ......_next..... 6df50 02230007 5f696e64 00000001 61022304 .#.._ind....a.#. 6df60 075f666e 73000000 03a30223 08075f6f ._fns......#.._o 6df70 6e5f6578 69745f61 72677300 000002e6 n_exit_args..... 6df80 03238801 000a0000 03440400 0d010a00 .#.......D...... 6df90 00039a04 00040000 039c8000 0003b005 ................ 6dfa0 1f000a00 00034404 000a0000 011f0400 ......D......... 6dfb0 095f5f73 62756600 08000003 e8075f62 .__sbuf......._b 6dfc0 61736500 000003b7 02230007 5f73697a ase......#.._siz 6dfd0 65000000 01610223 04000273 686f7274 e....a.#...short 6dfe0 20696e74 00050202 63686172 0007010a int....char.... 6dff0 000003f5 04000e00 00016101 0a000004 ..........a..... 6e000 0404000f 000003f5 0f000003 f50a0000 ................ 6e010 04160400 0e000001 61010a00 00042204 ........a.....". 6e020 00026c6f 6e672069 6e740005 04035f66 ..long int...._f 6e030 706f735f 74000000 042f0e00 00043b01 pos_t..../....;. 6e040 0a000004 4804000e 00000161 010a0000 ....H......a.... 6e050 04550400 04000001 1f030000 046f0502 .U...........o.. 6e060 00040000 011f0100 00047c05 00000c5f ..........|...._ 6e070 7265656e 74000400 00000603 075f6572 reent........_er 6e080 726e6f00 00000161 02230007 5f737464 rno....a.#.._std 6e090 696e0000 0007b702 2304075f 7374646f in......#.._stdo 6e0a0 75740000 0007b702 2308075f 73746465 ut......#.._stde 6e0b0 72720000 0007b702 230c075f 696e6300 rr......#.._inc. 6e0c0 00000161 02231007 5f656d65 7267656e ...a.#.._emergen 6e0d0 63790000 000a6602 2314075f 63757272 cy....f.#.._curr 6e0e0 656e745f 63617465 676f7279 00000001 ent_category.... 6e0f0 61022330 075f6375 7272656e 745f6c6f a.#0._current_lo 6e100 63616c65 00000004 1b022334 075f5f73 cale......#4.__s 6e110 64696469 6e697400 00000161 02233807 didinit....a.#8. 6e120 5f5f636c 65616e75 70000000 0a750223 __cleanup....u.# 6e130 3c075f72 6573756c 74000000 02230223 <._result....#.# 6e140 40075f72 6573756c 745f6b00 00000161 @._result_k....a 6e150 02234407 5f703573 00000002 23022348 .#D._p5s....#.#H 6e160 075f6672 65656c69 73740000 000a7c02 ._freelist....|. 6e170 234c075f 6376746c 656e0000 00016102 #L._cvtlen....a. 6e180 2350075f 63767462 75660000 0003fd02 #P._cvtbuf...... 6e190 2354075f 6e657700 00000a40 02235807 #T._new....@.#X. 6e1a0 5f617465 78697400 000003b0 0323c802 _atexit......#.. 6e1b0 075f6174 65786974 30000000 03440323 ._atexit0....D.# 6e1c0 cc02075f 7369675f 66756e63 0000000a ..._sig_func.... 6e1d0 8c0323dc 05075f5f 73676c75 65000000 ..#...__sglue... 6e1e0 076c0323 e005075f 5f736600 00000a93 .l.#...__sf..... 6e1f0 0323ec05 000a0000 047c0400 035f4c4f .#.......|..._LO 6e200 434b5f52 45435552 53495645 5f540000 CK_RECURSIVE_T.. 6e210 00016103 5f666c6f 636b5f74 00000006 ..a._flock_t.... 6e220 0a095f5f 7346494c 45005c00 00076c07 ..__sFILE.\...l. 6e230 5f700000 0003b702 2300075f 72000000 _p......#.._r... 6e240 01610223 04075f77 00000001 61022308 .a.#.._w....a.#. 6e250 075f666c 61677300 000003e8 02230c07 ._flags......#.. 6e260 5f66696c 65000000 03e80223 0e075f62 _file......#.._b 6e270 66000000 03be0223 10075f6c 62667369 f......#.._lbfsi 6e280 7a650000 00016102 2318075f 636f6f6b ze....a.#.._cook 6e290 69650000 0002d602 231c075f 72656164 ie......#.._read 6e2a0 00000004 0a022320 075f7772 69746500 ......# ._write. 6e2b0 00000428 02232407 5f736565 6b000000 ...(.#$._seek... 6e2c0 044e0223 28075f63 6c6f7365 00000004 .N.#(._close.... 6e2d0 5b02232c 075f7562 00000003 be022330 [.#,._ub......#0 6e2e0 075f7570 00000003 b7022338 075f7572 ._up......#8._ur 6e2f0 00000001 6102233c 075f7562 75660000 ....a.#<._ubuf.. 6e300 00046202 2340075f 6e627566 00000004 ..b.#@._nbuf.... 6e310 6f022343 075f6c62 00000003 be022344 o.#C._lb......#D 6e320 075f626c 6b73697a 65000000 01610223 ._blksize....a.# 6e330 4c075f6f 66667365 74000000 01610223 L._offset....a.# 6e340 50075f64 61746100 00000603 02235407 P._data......#T. 6e350 5f6c6f63 6b000000 06210223 5800095f _lock....!.#X.._ 6e360 676c7565 000c0000 07a4075f 6e657874 glue......._next 6e370 00000007 a4022300 075f6e69 6f627300 ......#.._niobs. 6e380 00000161 02230407 5f696f62 73000000 ...a.#.._iobs... 6e390 07b70223 08000a00 00076c04 00035f5f ...#......l...__ 6e3a0 46494c45 00000006 2f0a0000 07ab0400 FILE..../....... 6e3b0 0a000007 6c040002 73686f72 7420756e ....l...short un 6e3c0 7369676e 65642069 6e740007 02040000 signed int...... 6e3d0 07c50600 0007e805 0200095f 72616e64 ..........._rand 6e3e0 3438000e 00000820 075f7365 65640000 48..... ._seed.. 6e3f0 0007db02 2300075f 6d756c74 00000007 ....#.._mult.... 6e400 db022306 075f6164 64000000 07c50223 ..#.._add......# 6e410 0c000400 0003f51a 0000082d 05190002 ...........-.... 6e420 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign 6e430 65642069 6e740007 08035f6d 62737461 ed int...._mbsta 6e440 74655f74 00000001 68040000 03f50800 te_t....h....... 6e450 00086405 07000400 0003f518 00000871 ..d............q 6e460 05170008 d0000009 ff075f75 6e757365 .........._unuse 6e470 645f7261 6e640000 00010302 2300075f d_rand......#.._ 6e480 73747274 6f6b5f6c 61737400 000003fd strtok_last..... 6e490 02230407 5f617363 74696d65 5f627566 .#.._asctime_buf 6e4a0 00000008 20022308 075f6c6f 63616c74 .... .#.._localt 6e4b0 696d655f 62756600 0000022a 02232407 ime_buf....*.#$. 6e4c0 5f67616d 6d615f73 69676e67 616d0000 _gamma_signgam.. 6e4d0 00016102 2348075f 72616e64 5f6e6578 ..a.#H._rand_nex 6e4e0 74000000 082d0223 50075f72 34380000 t....-.#P._r48.. 6e4f0 0007e802 2358075f 6d626c65 6e5f7374 ....#X._mblen_st 6e500 61746500 00000847 02236807 5f6d6274 ate....G.#h._mbt 6e510 6f77635f 73746174 65000000 08470223 owc_state....G.# 6e520 70075f77 63746f6d 625f7374 61746500 p._wctomb_state. 6e530 00000847 02237807 5f6c3634 615f6275 ...G.#x._l64a_bu 6e540 66000000 08570323 8001075f 7369676e f....W.#..._sign 6e550 616c5f62 75660000 00086403 23880107 al_buf....d.#... 6e560 5f676574 64617465 5f657272 00000001 _getdate_err.... 6e570 610323a0 01075f6d 62726c65 6e5f7374 a.#..._mbrlen_st 6e580 61746500 00000847 0323a401 075f6d62 ate....G.#..._mb 6e590 72746f77 635f7374 61746500 00000847 rtowc_state....G 6e5a0 0323ac01 075f6d62 7372746f 7763735f .#..._mbsrtowcs_ 6e5b0 73746174 65000000 08470323 b401075f state....G.#..._ 6e5c0 77637274 6f6d625f 73746174 65000000 wcrtomb_state... 6e5d0 08470323 bc01075f 77637372 746f6d62 .G.#..._wcsrtomb 6e5e0 735f7374 61746500 00000847 0323c401 s_state....G.#.. 6e5f0 00040000 03b77800 000a0c05 1d000400 ......x......... 6e600 00010378 00000a19 051d0008 f000000a ...x............ 6e610 40075f6e 65787466 00000009 ff022300 @._nextf......#. 6e620 075f6e6d 616c6c6f 63000000 0a0c0223 ._nmalloc......# 6e630 780006f0 00000a66 075f7265 656e7400 x......f._reent. 6e640 00000871 02230007 5f756e75 73656400 ...q.#.._unused. 6e650 00000a19 02230000 04000003 f5190000 .....#.......... 6e660 0a730518 000d010a 00000a73 04000a00 .s.........s.... 6e670 00022304 000d010a 00000a83 04000a00 ..#............. 6e680 000a8504 00100000 07ab0114 00000aa1 ................ 6e690 0502000e 00000161 010a0000 0aa10400 .......a........ 6e6a0 09707269 6e74665f 61706900 0800000a .printf_api..... 6e6b0 e5075f70 72696e74 665f696e 69740000 .._printf_init.. 6e6c0 00039c02 2300075f 7072696e 74660000 ....#.._printf.. 6e6d0 000aa702 23040003 75696e74 31365f74 ....#...uint16_t 6e6e0 00000007 c5037569 6e743332 5f740000 ......uint32_t.. 6e6f0 0001f409 75617274 5f666966 6f000800 ....uart_fifo... 6e700 000b4c07 73746172 745f696e 64657800 ..L.start_index. 6e710 00000ae5 02230007 656e645f 696e6465 .....#..end_inde 6e720 78000000 0ae50223 02076f76 65727275 x......#..overru 6e730 6e5f6572 72000000 0af30223 04000975 n_err......#...u 6e740 6172745f 61706900 2000000c 05075f75 art_api. ....._u 6e750 6172745f 696e6974 0000000c 5c022300 art_init....\.#. 6e760 075f7561 72745f63 6861725f 70757400 ._uart_char_put. 6e770 00000c72 02230407 5f756172 745f6368 ...r.#.._uart_ch 6e780 61725f67 65740000 000c8602 2308075f ar_get......#.._ 6e790 75617274 5f737472 5f6f7574 0000000c uart_str_out.... 6e7a0 8f02230c 075f7561 72745f74 61736b00 ..#.._uart_task. 6e7b0 0000039c 02231007 5f756172 745f7374 .....#.._uart_st 6e7c0 61747573 0000000c 5c022314 075f7561 atus....\.#.._ua 6e7d0 72745f63 6f6e6669 67000000 0c980223 rt_config......# 6e7e0 18075f75 6172745f 6877696e 69740000 .._uart_hwinit.. 6e7f0 000ca102 231c000a 00000b4c 04000975 ....#......L...u 6e800 6172745f 626c6b00 1000000c 56076465 art_blk.....V.de 6e810 6275675f 6d6f6465 0000000a e5022300 bug_mode......#. 6e820 07626175 64000000 0ae50223 02075f75 .baud......#.._u 6e830 61727400 00000c05 02230407 5f747800 art......#.._tx. 6e840 00000b01 02230800 0e00000a f3010a00 .....#.......... 6e850 000c5604 00037569 6e74385f 74000000 ..V...uint8_t... 6e860 011f0d01 0a00000c 7004000a 00000c63 ........p......c 6e870 04000e00 000ae501 0a00000c 8004000d ................ 6e880 010a0000 0c8d0400 0d010a00 000c9604 ................ 6e890 000d010a 00000c9f 04000e00 00016101 ..............a. 6e8a0 0a00000c a8040009 44425f43 4f4d4d41 ........DB_COMMA 6e8b0 4e445f53 54525543 54000c00 000d0007 ND_STRUCT....... 6e8c0 636d645f 73747200 000003fd 02230007 cmd_str......#.. 6e8d0 68656c70 5f737472 00000003 fd022304 help_str......#. 6e8e0 07636d64 5f66756e 63000000 0cae0223 .cmd_func......# 6e8f0 08000964 62675f61 70690008 00000d33 ...dbg_api.....3 6e900 075f6462 675f696e 69740000 00039c02 ._dbg_init...... 6e910 2300075f 6462675f 7461736b 00000003 #.._dbg_task.... 6e920 9c022304 000e0000 02d6010a 00000d33 ..#............3 6e930 04001111 0a00000d 4104000e 000002d6 ........A....... 6e940 010a0000 0d490400 0e000001 61010a00 .....I......a... 6e950 000d5604 00096d65 6d5f6170 69001400 ..V...mem_api... 6e960 000dc507 5f6d656d 5f696e69 74000000 ...._mem_init... 6e970 039c0223 00075f6d 656d7365 74000000 ...#.._memset... 6e980 0d390223 04075f6d 656d6370 79000000 .9.#.._memcpy... 6e990 0d4f0223 08075f6d 656d6d6f 76650000 .O.#.._memmove.. 6e9a0 000d4f02 230c075f 6d656d63 6d700000 ..O.#.._memcmp.. 6e9b0 000d5c02 23100012 72656769 73746572 ..\.#...register 6e9c0 5f64756d 705f7300 00010a00 000dc504 _dump_s......... 6e9d0 000d010a 00000ddf 04000d01 0a00000d ................ 6e9e0 e804000e 00000161 010a0000 0df10400 .......a........ 6e9f0 13686f73 7469665f 73000400 000e4d14 .hostif_s.....M. 6ea00 4849465f 55534200 00144849 465f5043 HIF_USB...HIF_PC 6ea10 49450001 14484946 5f474d41 43000214 IE...HIF_GMAC... 6ea20 4849465f 50434900 03144849 465f4e55 HIF_PCI...HIF_NU 6ea30 4d000414 4849465f 4e4f4e45 00050003 M...HIF_NONE.... 6ea40 415f484f 53544946 0000000d fe0e0000 A_HOSTIF........ 6ea50 0e4d010a 00000e5b 04000e00 000c6301 .M.....[......c. 6ea60 0a00000e 6804000e 00000ae5 010a0000 ....h........... 6ea70 0e750400 096d6973 635f6170 69002400 .u...misc_api.$. 6ea80 000f6507 5f737973 74656d5f 72657365 ..e._system_rese 6ea90 74000000 039c0223 00075f6d 61635f72 t......#.._mac_r 6eaa0 65736574 00000003 9c022304 075f6173 eset......#.._as 6eab0 73666169 6c000000 0de10223 08075f6d sfail......#.._m 6eac0 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h 6ead0 616e646c 65720000 000de102 230c075f andler......#.._ 6eae0 7265706f 72745f66 61696c75 72655f74 report_failure_t 6eaf0 6f5f686f 73740000 000dea02 2310075f o_host......#.._ 6eb00 74617267 65745f69 645f6765 74000000 target_id_get... 6eb10 0df70223 14075f69 735f686f 73745f70 ...#.._is_host_p 6eb20 72657365 6e740000 000e6102 2318075f resent....a.#.._ 6eb30 6b626869 74000000 0e6e0223 1c075f72 kbhit....n.#.._r 6eb40 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. 6eb50 000e7b02 2320000e 000003fd 010a0000 ..{.# .......... 6eb60 0f650400 0e000003 fd010a00 000f7204 .e............r. 6eb70 000e0000 0161010a 00000f7f 04000e00 .....a.......... 6eb80 00016101 0a00000f 8c04000e 00000161 ..a............a 6eb90 010a0000 0f990400 09737472 696e675f .........string_ 6eba0 61706900 18000010 1f075f73 7472696e api......._strin 6ebb0 675f696e 69740000 00039c02 2300075f g_init......#.._ 6ebc0 73747263 70790000 000f6b02 2304075f strcpy....k.#.._ 6ebd0 7374726e 63707900 00000f78 02230807 strncpy....x.#.. 6ebe0 5f737472 6c656e00 00000f85 02230c07 _strlen......#.. 6ebf0 5f737472 636d7000 00000f92 02231007 _strcmp......#.. 6ec00 5f737472 6e636d70 0000000f 9f022314 _strncmp......#. 6ec10 00040000 01031400 00102c05 0400035f ..........,...._ 6ec20 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... 6ec30 101f0341 5f74696d 65725f74 00000010 ...A_timer_t.... 6ec40 2c0a0000 10400400 0d010a00 00105604 ,....@........V. 6ec50 000d010a 0000105f 04000341 5f48414e ......._...A_HAN 6ec60 444c4500 00000103 0d010341 5f54494d DLE........A_TIM 6ec70 45525f46 554e4300 00001076 0a000010 ER_FUNC....v.... 6ec80 7804000d 010a0000 10910400 0974696d x............tim 6ec90 65725f61 70690014 00001110 075f7469 er_api......._ti 6eca0 6d65725f 696e6974 00000003 9c022300 mer_init......#. 6ecb0 075f7469 6d65725f 61726d00 00001058 ._timer_arm....X 6ecc0 02230407 5f74696d 65725f64 69736172 .#.._timer_disar 6ecd0 6d000000 10610223 08075f74 696d6572 m....a.#.._timer 6ece0 5f736574 666e0000 00109302 230c075f _setfn......#.._ 6ecf0 74696d65 725f7275 6e000000 039c0223 timer_run......# 6ed00 10000342 4f4f4c45 414e0000 000ae50e ...BOOLEAN...... 6ed10 00001110 010a0000 111d0400 0e000011 ................ 6ed20 10010a00 00112a04 000e0000 1110010a ......*......... 6ed30 00001137 04000972 6f6d705f 61706900 ...7...romp_api. 6ed40 10000011 a9075f72 6f6d705f 696e6974 ......_romp_init 6ed50 00000003 9c022300 075f726f 6d705f64 ......#.._romp_d 6ed60 6f776e6c 6f616400 00001123 02230407 ownload....#.#.. 6ed70 5f726f6d 705f696e 7374616c 6c000000 _romp_install... 6ed80 11300223 08075f72 6f6d705f 6465636f .0.#.._romp_deco 6ed90 64650000 00113d02 230c0009 726f6d5f de....=.#...rom_ 6eda0 70617463 685f7374 00100000 12050763 patch_st.......c 6edb0 72633136 0000000a e5022300 076c656e rc16......#..len 6edc0 0000000a e5022302 076c645f 61646472 ......#..ld_addr 6edd0 0000000a f3022304 0766756e 5f616464 ......#..fun_add 6ede0 72000000 0af30223 08077066 756e0000 r......#..pfun.. 6edf0 000c7902 230c0009 6565705f 72656469 ..y.#...eep_redi 6ee00 725f6164 64720004 00001237 076f6666 r_addr.....7.off 6ee10 73657400 00000ae5 02230007 73697a65 set......#..size 6ee20 0000000a e5022302 0003415f 55494e54 ......#...A_UINT 6ee30 33320000 0001030e 000002d6 010a0000 32.............. 6ee40 12450400 09616c6c 6f637261 6d5f6170 .E...allocram_ap 6ee50 69000c00 0012b607 636d6e6f 735f616c i.......cmnos_al 6ee60 6c6f6372 616d5f69 6e697400 0000124b locram_init....K 6ee70 02230007 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr 6ee80 616d0000 00124b02 23040763 6d6e6f73 am....K.#..cmnos 6ee90 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. 6eea0 0000039c 02230800 0d010a00 0012b604 .....#.......... 6eeb0 0003415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC 6eec0 00000012 b8095f74 61736b6c 65740010 ......_tasklet.. 6eed0 00001317 0766756e 63000000 12bf0223 .....func......# 6eee0 00076172 67000000 02d60223 04077374 ..arg......#..st 6eef0 61746500 00000161 02230807 6e657874 ate....a.#..next 6ef00 00000013 1702230c 000a0000 12d30400 ......#......... 6ef10 0a000012 d3040003 415f7461 736b6c65 ........A_taskle 6ef20 745f7400 000012d3 0a000013 2504000d t_t.........%... 6ef30 010a0000 133d0400 0d010a00 00134604 .....=........F. 6ef40 00097461 736b6c65 745f6170 69001400 ..tasklet_api... 6ef50 0013db07 5f746173 6b6c6574 5f696e69 ...._tasklet_ini 6ef60 74000000 039c0223 00075f74 61736b6c t......#.._taskl 6ef70 65745f69 6e69745f 7461736b 00000013 et_init_task.... 6ef80 3f022304 075f7461 736b6c65 745f6469 ?.#.._tasklet_di 6ef90 7361626c 65000000 13480223 08075f74 sable....H.#.._t 6efa0 61736b6c 65745f73 63686564 756c6500 asklet_schedule. 6efb0 00001348 02230c07 5f746173 6b6c6574 ...H.#.._tasklet 6efc0 5f72756e 00000003 9c022310 000d010a _run......#..... 6efd0 000013db 04000e00 00123701 0a000013 ..........7..... 6efe0 e4040009 636c6f63 6b5f6170 69002400 ....clock_api.$. 6eff0 0014ca07 5f636c6f 636b5f69 6e697400 ...._clock_init. 6f000 000013dd 02230007 5f636c6f 636b7265 .....#.._clockre 6f010 67735f69 6e697400 0000039c 02230407 gs_init......#.. 6f020 5f756172 745f6672 65717565 6e637900 _uart_frequency. 6f030 000013ea 02230807 5f64656c 61795f75 .....#.._delay_u 6f040 73000000 0a850223 0c075f77 6c616e5f s......#.._wlan_ 6f050 62616e64 5f736574 0000000a 85022310 band_set......#. 6f060 075f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g 6f070 65740000 0013ea02 2314075f 6d696c6c et......#.._mill 6f080 69736563 6f6e6473 00000013 ea022318 iseconds......#. 6f090 075f7379 73636c6b 5f636861 6e676500 ._sysclk_change. 6f0a0 0000039c 02231c07 5f636c6f 636b5f74 .....#.._clock_t 6f0b0 69636b00 0000039c 02232000 0e00000a ick......# ..... 6f0c0 f3010a00 0014ca04 0003415f 6f6c645f ..........A_old_ 6f0d0 696e7472 5f740000 000af30e 000014d7 intr_t.......... 6f0e0 010a0000 14e90400 0d010a00 0014f604 ................ 6f0f0 000d010a 000014ff 04000e00 000af301 ................ 6f100 0a000015 08040003 415f6973 725f7400 ........A_isr_t. 6f110 0000150e 0d010a00 00152204 000e0000 .........."..... 6f120 0103010a 0000152b 04000d01 0a000015 .......+........ 6f130 38040009 696e7472 5f617069 002c0000 8...intr_api.,.. 6f140 165a075f 696e7472 5f696e69 74000000 .Z._intr_init... 6f150 039c0223 00075f69 6e74725f 696e766f ...#.._intr_invo 6f160 6b655f69 73720000 0014d002 2304075f ke_isr......#.._ 6f170 696e7472 5f646973 61626c65 00000014 intr_disable.... 6f180 ef022308 075f696e 74725f72 6573746f ..#.._intr_resto 6f190 72650000 0014f802 230c075f 696e7472 re......#.._intr 6f1a0 5f6d6173 6b5f696e 756d0000 00150102 _mask_inum...... 6f1b0 2310075f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ 6f1c0 696e756d 00000015 01022314 075f696e inum......#.._in 6f1d0 74725f61 74746163 685f6973 72000000 tr_attach_isr... 6f1e0 15240223 18075f67 65745f69 6e747265 .$.#.._get_intre 6f1f0 6e61626c 65000000 15310223 1c075f73 nable....1.#.._s 6f200 65745f69 6e747265 6e61626c 65000000 et_intrenable... 6f210 153a0223 20075f67 65745f69 6e747270 .:.# ._get_intrp 6f220 656e6469 6e670000 00153102 2324075f ending....1.#$._ 6f230 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr 6f240 6c766c00 0000039c 02232800 06040000 lvl......#(..... 6f250 16800774 696d656f 75740000 000af302 ...timeout...... 6f260 23000761 6374696f 6e000000 0af30223 #..action......# 6f270 00000808 0000169b 07636d64 0000000a .........cmd.... 6f280 f3022300 15000016 5a022304 0003545f ..#.....Z.#...T_ 6f290 5744545f 434d4400 00001680 0d010a00 WDT_CMD......... 6f2a0 0016aa04 00160400 00170014 454e554d ............ENUM 6f2b0 5f574454 5f424f4f 54000114 454e554d _WDT_BOOT...ENUM 6f2c0 5f434f4c 445f424f 4f540002 14454e55 _COLD_BOOT...ENU 6f2d0 4d5f5355 53505f42 4f4f5400 0314454e M_SUSP_BOOT...EN 6f2e0 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. 6f2f0 04000354 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. 6f300 0016b30e 00001700 010a0000 17110400 ................ 6f310 09776474 5f617069 001c0000 17b5075f .wdt_api......._ 6f320 7764745f 696e6974 00000003 9c022300 wdt_init......#. 6f330 075f7764 745f656e 61626c65 00000003 ._wdt_enable.... 6f340 9c022304 075f7764 745f6469 7361626c ..#.._wdt_disabl 6f350 65000000 039c0223 08075f77 64745f73 e......#.._wdt_s 6f360 65740000 0016ac02 230c075f 7764745f et......#.._wdt_ 6f370 7461736b 00000003 9c022310 075f7764 task......#.._wd 6f380 745f7265 73657400 0000039c 02231407 t_reset......#.. 6f390 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. 6f3a0 00171702 23180016 04000018 1c145245 ....#.........RE 6f3b0 545f5355 43434553 53000014 5245545f T_SUCCESS...RET_ 6f3c0 4e4f545f 494e4954 00011452 45545f4e NOT_INIT...RET_N 6f3d0 4f545f45 58495354 00021452 45545f45 OT_EXIST...RET_E 6f3e0 45505f43 4f525255 50540003 14524554 EP_CORRUPT...RET 6f3f0 5f454550 5f4f5645 52464c4f 57000414 _EEP_OVERFLOW... 6f400 5245545f 554e4b4e 4f574e00 05000354 RET_UNKNOWN....T 6f410 5f454550 5f524554 00000017 b50a0000 _EEP_RET........ 6f420 0ae50400 0e000018 1c010a00 00183204 ..............2. 6f430 000e0000 181c010a 0000183f 04000965 ...........?...e 6f440 65705f61 70690010 000018a8 075f6565 ep_api......._ee 6f450 705f696e 69740000 00039c02 2300075f p_init......#.._ 6f460 6565705f 72656164 00000018 38022304 eep_read....8.#. 6f470 075f6565 705f7772 69746500 00001838 ._eep_write....8 6f480 02230807 5f656570 5f69735f 65786973 .#.._eep_is_exis 6f490 74000000 18450223 0c000975 73625f61 t....E.#...usb_a 6f4a0 70690070 00001b55 075f7573 625f696e pi.p...U._usb_in 6f4b0 69740000 00039c02 2300075f 7573625f it......#.._usb_ 6f4c0 726f6d5f 7461736b 00000003 9c022304 rom_task......#. 6f4d0 075f7573 625f6677 5f746173 6b000000 ._usb_fw_task... 6f4e0 039c0223 08075f75 73625f69 6e69745f ...#.._usb_init_ 6f4f0 70687900 0000039c 02230c07 5f757362 phy......#.._usb 6f500 5f657030 5f736574 75700000 00039c02 _ep0_setup...... 6f510 2310075f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. 6f520 00039c02 2314075f 7573625f 6570305f ....#.._usb_ep0_ 6f530 72780000 00039c02 2318075f 7573625f rx......#.._usb_ 6f540 6765745f 696e7465 72666163 65000000 get_interface... 6f550 11300223 1c075f75 73625f73 65745f69 .0.#.._usb_set_i 6f560 6e746572 66616365 00000011 30022320 nterface....0.# 6f570 075f7573 625f6765 745f636f 6e666967 ._usb_get_config 6f580 75726174 696f6e00 00001130 02232407 uration....0.#$. 6f590 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu 6f5a0 72617469 6f6e0000 00113002 2328075f ration....0.#(._ 6f5b0 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd 6f5c0 00000011 3002232c 075f7573 625f7665 ....0.#,._usb_ve 6f5d0 6e646f72 5f636d64 00000003 9c022330 ndor_cmd......#0 6f5e0 075f7573 625f706f 7765725f 6f666600 ._usb_power_off. 6f5f0 0000039c 02233407 5f757362 5f726573 .....#4._usb_res 6f600 65745f66 69666f00 0000039c 02233807 et_fifo......#8. 6f610 5f757362 5f67656e 5f776474 00000003 _usb_gen_wdt.... 6f620 9c02233c 075f7573 625f6a75 6d705f62 ..#<._usb_jump_b 6f630 6f6f7400 0000039c 02234007 5f757362 oot......#@._usb 6f640 5f636c72 5f666561 74757265 00000011 _clr_feature.... 6f650 30022344 075f7573 625f7365 745f6665 0.#D._usb_set_fe 6f660 61747572 65000000 11300223 48075f75 ature....0.#H._u 6f670 73625f73 65745f61 64647265 73730000 sb_set_address.. 6f680 00113002 234c075f 7573625f 6765745f ..0.#L._usb_get_ 6f690 64657363 72697074 6f720000 00113002 descriptor....0. 6f6a0 2350075f 7573625f 6765745f 73746174 #P._usb_get_stat 6f6b0 75730000 00113002 2354075f 7573625f us....0.#T._usb_ 6f6c0 73657475 705f6465 73630000 00039c02 setup_desc...... 6f6d0 2358075f 7573625f 7265675f 6f757400 #X._usb_reg_out. 6f6e0 0000039c 02235c07 5f757362 5f737461 .....#\._usb_sta 6f6f0 7475735f 696e0000 00039c02 2360075f tus_in......#`._ 6f700 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. 6f710 0000039c 02236407 5f757362 5f657030 .....#d._usb_ep0 6f720 5f72785f 64617461 00000003 9c022368 _rx_data......#h 6f730 075f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. 6f740 00039c02 236c0009 5f564445 53430024 ....#l.._VDESC.$ 6f750 00001be1 076e6578 745f6465 73630000 .....next_desc.. 6f760 001be102 23000762 75665f61 64647200 ....#..buf_addr. 6f770 00001bf5 02230407 6275665f 73697a65 .....#..buf_size 6f780 0000001b fc022308 07646174 615f6f66 ......#..data_of 6f790 66736574 0000001b fc02230a 07646174 fset......#..dat 6f7a0 615f7369 7a650000 001bfc02 230c0763 a_size......#..c 6f7b0 6f6e7472 6f6c0000 001bfc02 230e0768 ontrol......#..h 6f7c0 775f6465 73635f62 75660000 001c0a02 w_desc_buf...... 6f7d0 2310000a 00001b55 04000341 5f55494e #......U...A_UIN 6f7e0 54380000 00011f0a 00001be8 04000341 T8.............A 6f7f0 5f55494e 54313600 000007c5 0400001b _UINT16......... 6f800 e8140000 1c170513 000a0000 1b550400 .............U.. 6f810 03564445 53430000 001b550a 00001c1e .VDESC....U..... 6f820 04000e00 001c2901 0a00001c 3004000e ......).....0... 6f830 00001bf5 010a0000 1c3d0400 0d010a00 .........=...... 6f840 001c4a04 00097664 6573635f 61706900 ..J...vdesc_api. 6f850 1400001c c2075f69 6e697400 00000a85 ......_init..... 6f860 02230007 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc 6f870 0000001c 36022304 075f6765 745f6877 ....6.#.._get_hw 6f880 5f646573 63000000 1c430223 08075f73 _desc....C.#.._s 6f890 7761705f 76646573 63000000 1c4c0223 wap_vdesc....L.# 6f8a0 0c077052 65736572 76656400 000002d6 ..pReserved..... 6f8b0 02231000 095f5642 55460020 00001d22 .#..._VBUF. ..." 6f8c0 07646573 635f6c69 73740000 001c2902 .desc_list....). 6f8d0 2300076e 6578745f 62756600 00001d22 #..next_buf...." 6f8e0 02230407 6275665f 6c656e67 74680000 .#..buf_length.. 6f8f0 001bfc02 23080772 65736572 76656400 ....#..reserved. 6f900 00001d29 02230a07 63747800 00001c0a ...).#..ctx..... 6f910 02230c00 0a00001c c2040004 00001be8 .#.............. 6f920 0200001d 36050100 0a00001c c2040003 ....6........... 6f930 56425546 0000001c c20a0000 1d3d0400 VBUF.........=.. 6f940 0e00001d 47010a00 001d4e04 000e0000 ....G.....N..... 6f950 1d47010a 00001d5b 04000d01 0a00001d .G.....[........ 6f960 68040009 76627566 5f617069 00140000 h...vbuf_api.... 6f970 1de6075f 696e6974 0000000a 85022300 ..._init......#. 6f980 075f616c 6c6f635f 76627566 0000001d ._alloc_vbuf.... 6f990 54022304 075f616c 6c6f635f 76627566 T.#.._alloc_vbuf 6f9a0 5f776974 685f7369 7a650000 001d6102 _with_size....a. 6f9b0 2308075f 66726565 5f766275 66000000 #.._free_vbuf... 6f9c0 1d6a0223 0c077052 65736572 76656400 .j.#..pReserved. 6f9d0 000002d6 02231000 095f5f61 64665f64 .....#...__adf_d 6f9e0 65766963 65000400 001e0807 64756d6d evice.......dumm 6f9f0 79000000 01610223 00000a00 00123704 y....a.#......7. 6fa00 00095f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. 6fa10 0c00001e 4f076275 66000000 1d470223 ....O.buf....G.# 6fa20 00076473 5f616464 72000000 1e080223 ..ds_addr......# 6fa30 04076473 5f6c656e 0000001b fc022308 ..ds_len......#. 6fa40 00080c00 001e8907 5f5f7661 5f73746b ........__va_stk 6fa50 00000003 fd022300 075f5f76 615f7265 ......#..__va_re 6fa60 67000000 03fd0223 04075f5f 76615f6e g......#..__va_n 6fa70 64780000 00016102 23080003 5f5f6164 dx....a.#...__ad 6fa80 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 6fa90 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ 6faa0 61646472 5f740000 001e8903 5f5f6164 addr_t......__ad 6fab0 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 6fac0 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ 6fad0 73697a65 5f740000 001eb909 5f5f646d size_t......__dm 6fae0 615f7365 67730008 00001f15 07706164 a_segs.......pad 6faf0 64720000 001ea202 2300076c 656e0000 dr......#..len.. 6fb00 001ed202 23040003 5f5f615f 75696e74 ....#...__a_uint 6fb10 33325f74 00000012 3703615f 75696e74 32_t....7.a_uint 6fb20 33325f74 0000001f 15040000 1ee90800 32_t............ 6fb30 001f4405 00000961 64665f6f 735f646d ..D....adf_os_dm 6fb40 616d6170 5f696e66 6f000c00 001f7d07 amap_info.....}. 6fb50 6e736567 73000000 1f270223 0007646d nsegs....'.#..dm 6fb60 615f7365 67730000 001f3702 23040003 a_segs....7.#... 6fb70 5f5f615f 75696e74 385f7400 00001be8 __a_uint8_t..... 6fb80 03615f75 696e7438 5f740000 001f7d0a .a_uint8_t....}. 6fb90 00001f8e 0400095f 5f73675f 73656773 .......__sg_segs 6fba0 00080000 1fcf0776 61646472 0000001f .......vaddr.... 6fbb0 9d022300 076c656e 0000001f 27022304 ..#..len....'.#. 6fbc0 00040000 1fa42000 001fdc05 03000961 ...... ........a 6fbd0 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. 6fbe0 200f076e 73656773 0000001f 27022300 ..nsegs....'.#. 6fbf0 0773675f 73656773 0000001f cf022304 .sg_segs......#. 6fc00 00081000 00205807 76656e64 6f720000 ..... X.vendor.. 6fc10 001f2702 23000764 65766963 65000000 ..'.#..device... 6fc20 1f270223 04077375 6276656e 646f7200 .'.#..subvendor. 6fc30 00001f27 02230807 73756264 65766963 ...'.#..subdevic 6fc40 65000000 1f270223 0c000341 5f55494e e....'.#...A_UIN 6fc50 54363400 0000082d 035f5f61 5f75696e T64....-.__a_uin 6fc60 7436345f 74000000 20580361 5f75696e t64_t... X.a_uin 6fc70 7436345f 74000000 20661604 000020c4 t64_t... f.... . 6fc80 14414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 6fc90 5f545950 455f4d45 4d000014 4144465f _TYPE_MEM...ADF_ 6fca0 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 6fcb0 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re 6fcc0 736f7572 63655f74 7970655f 74000000 source_type_t... 6fcd0 20880818 0000210e 07737461 72740000 .....!..start.. 6fce0 00207802 23000765 6e640000 00207802 . x.#..end... x. 6fcf0 23080774 79706500 000020c4 02231000 #..type... ..#.. 6fd00 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ 6fd10 69645f74 00000020 0f0a0000 210e0400 id_t... ....!... 6fd20 06040000 214d0770 63690000 00212702 ....!M.pci...!'. 6fd30 23000772 61770000 0002d602 23000006 #..raw......#... 6fd40 10000021 6c077063 69000000 210e0223 ...!l.pci...!..# 6fd50 00077261 77000000 02d60223 00000361 ..raw......#...a 6fd60 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. 6fd70 000002d6 03616466 5f6f735f 7265736f .....adf_os_reso 6fd80 75726365 5f740000 0020e00a 00002182 urce_t... ....!. 6fd90 04000361 64665f6f 735f6174 74616368 ...adf_os_attach 6fda0 5f646174 615f7400 0000214d 0a000021 _data_t...!M...! 6fdb0 a004000a 00001de6 0400035f 5f616466 ...........__adf 6fdc0 5f6f735f 64657669 63655f74 00000021 _os_device_t...! 6fdd0 c1036164 665f6f73 5f646576 6963655f ..adf_os_device_ 6fde0 74000000 21c80e00 00216c01 0a000021 t...!....!l....! 6fdf0 f404000d 010a0000 22010400 03616466 ........"....adf 6fe00 5f6f735f 706d5f74 00000002 d60d010a _os_pm_t........ 6fe10 0000221b 04001604 0000225b 14414446 .."......."[.ADF 6fe20 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI 6fe30 00011441 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY 6fe40 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad 6fe50 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. 6fe60 00002224 03616466 5f6f735f 6275735f .."$.adf_os_bus_ 6fe70 7265675f 64617461 5f740000 00212e09 reg_data_t...!.. 6fe80 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 6fe90 00233107 6472765f 61747461 63680000 .#1.drv_attach.. 6fea0 0021fa02 23000764 72765f64 65746163 .!..#..drv_detac 6feb0 68000000 22030223 04076472 765f7375 h..."..#..drv_su 6fec0 7370656e 64000000 221d0223 08076472 spend..."..#..dr 6fed0 765f7265 73756d65 00000022 0302230c v_resume..."..#. 6fee0 07627573 5f747970 65000000 225b0223 .bus_type..."[.# 6fef0 10076275 735f6461 74610000 00227202 ..bus_data..."r. 6ff00 2314076d 6f645f6e 616d6500 000003b7 #..mod_name..... 6ff10 02231807 69666e61 6d650000 0003b702 .#..ifname...... 6ff20 231c0003 6164665f 6f735f68 616e646c #...adf_os_handl 6ff30 655f7400 000002d6 0a00001f 7d04000d e_t.........}... 6ff40 010d0103 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 6ff50 655f7400 00000103 16040000 23801441 e_t.........#..A 6ff60 5f46414c 53450000 14415f54 52554500 _FALSE...A_TRUE. 6ff70 01000361 5f626f6f 6c5f7400 00002366 ...a_bool_t...#f 6ff80 0a00001e 0f040003 5f5f6164 665f6f73 ........__adf_os 6ff90 5f646d61 5f6d6170 5f740000 00238e0d _dma_map_t...#.. 6ffa0 01136164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 6ffb0 796e6300 04000024 18144144 465f5359 ync....$..ADF_SY 6ffc0 4e435f50 52455245 41440000 14414446 NC_PREREAD...ADF 6ffd0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 6ffe0 14414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 6fff0 41440001 14414446 5f53594e 435f504f AD...ADF_SYNC_PO 70000 53545752 49544500 03000361 64665f6f STWRITE....adf_o 70010 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 70020 0023af0d 01036164 665f6f73 5f73697a .#....adf_os_siz 70030 655f7400 00002351 0e000024 33010361 e_t...#Q...$3..a 70040 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 70050 00002395 0a000024 4c04000e 000002d6 ..#....$L....... 70060 010a0000 23950400 0e000002 d6010d01 ....#........... 70070 0e00001e a2010d01 03415f49 4e543136 .........A_INT16 70080 00000003 e8035f5f 615f696e 7431365f ......__a_int16_ 70090 74000000 24860361 5f696e74 31365f74 t...$..a_int16_t 700a0 00000024 93027369 676e6564 20636861 ...$..signed cha 700b0 72000501 03415f49 4e543800 000024b3 r....A_INT8...$. 700c0 035f5f61 5f696e74 385f7400 000024c2 .__a_int8_t...$. 700d0 03615f69 6e74385f 74000000 24ce080c .a_int8_t...$... 700e0 00002545 07737570 706f7274 65640000 ..%E.supported.. 700f0 001f2702 23000761 64766572 74697a65 ..'.#..advertize 70100 64000000 1f270223 04077370 65656400 d....'.#..speed. 70110 000024a4 02230807 6475706c 65780000 ..$..#..duplex.. 70120 0024de02 230a0761 75746f6e 65670000 .$..#..autoneg.. 70130 001f8e02 230b0004 00001f8e 06000025 ....#..........% 70140 52050500 09616466 5f6e6574 5f657468 R....adf_net_eth 70150 61646472 00060000 25760761 64647200 addr....%v.addr. 70160 00002545 02230000 035f5f61 5f75696e ..%E.#...__a_uin 70170 7431365f 74000000 1bfc0361 5f75696e t16_t......a_uin 70180 7431365f 74000000 2576080e 000025da t16_t...%v....%. 70190 07657468 65725f64 686f7374 00000025 .ether_dhost...% 701a0 45022300 07657468 65725f73 686f7374 E.#..ether_shost 701b0 00000025 45022306 07657468 65725f74 ...%E.#..ether_t 701c0 79706500 00002588 02230c00 08140000 ype...%..#...... 701d0 269b1769 705f7665 7273696f 6e000000 &..ip_version... 701e0 1f8e0100 04022300 1769705f 686c0000 ......#..ip_hl.. 701f0 001f8e01 04040223 00076970 5f746f73 .......#..ip_tos 70200 0000001f 8e022301 0769705f 6c656e00 ......#..ip_len. 70210 00002588 02230207 69705f69 64000000 ..%..#..ip_id... 70220 25880223 04076970 5f667261 675f6f66 %..#..ip_frag_of 70230 66000000 25880223 06076970 5f74746c f...%..#..ip_ttl 70240 0000001f 8e022308 0769705f 70726f74 ......#..ip_prot 70250 6f000000 1f8e0223 09076970 5f636865 o......#..ip_che 70260 636b0000 00258802 230a0769 705f7361 ck...%..#..ip_sa 70270 64647200 00001f27 02230c07 69705f64 ddr....'.#..ip_d 70280 61646472 0000001f 27022310 00096164 addr....'.#...ad 70290 665f6e65 745f766c 616e6864 72000400 f_net_vlanhdr... 702a0 0026ed07 74706964 00000025 88022300 .&..tpid...%..#. 702b0 17707269 6f000000 1f8e0100 03022302 .prio.........#. 702c0 17636669 0000001f 8e010301 02230217 .cfi.........#.. 702d0 76696400 00002588 02040c02 23020009 vid...%.....#... 702e0 6164665f 6e65745f 76696400 02000027 adf_net_vid....' 702f0 1e177265 73000000 1f8e0100 04022300 ..res.........#. 70300 1776616c 00000025 8802040c 02230000 .val...%.....#.. 70310 080c0000 275a0772 785f6275 6673697a ....'Z.rx_bufsiz 70320 65000000 1f270223 00077278 5f6e6465 e....'.#..rx_nde 70330 73630000 001f2702 23040774 785f6e64 sc....'.#..tx_nd 70340 65736300 00001f27 02230800 08080000 esc....'.#...... 70350 27800770 6f6c6c65 64000000 23800223 '..polled...#..# 70360 0007706f 6c6c5f77 74000000 1f270223 ..poll_wt....'.# 70370 04000400 001f8e40 0000278d 053f0008 .......@..'..?.. 70380 46000027 b5076966 5f6e616d 65000000 F..'..if_name... 70390 27800223 00076465 765f6164 64720000 '..#..dev_addr.. 703a0 00254502 23400016 04000027 ec144144 .%E.#@.....'..AD 703b0 465f4f53 5f444d41 5f4d4153 4b5f3332 F_OS_DMA_MASK_32 703c0 42495400 00144144 465f4f53 5f444d41 BIT...ADF_OS_DMA 703d0 5f4d4153 4b5f3634 42495400 01000361 _MASK_64BIT....a 703e0 64665f6f 735f646d 615f6d61 736b5f74 df_os_dma_mask_t 703f0 00000027 b5096164 665f646d 615f696e ...'..adf_dma_in 70400 666f0008 00002839 07646d61 5f6d6173 fo....(9.dma_mas 70410 6b000000 27ec0223 00077367 5f6e7365 k...'..#..sg_nse 70420 67730000 001f2702 23040016 04000028 gs....'.#......( 70430 8f144144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ 70440 4e4f4e45 00001441 44465f4e 45545f43 NONE...ADF_NET_C 70450 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv 70460 34000114 4144465f 4e45545f 434b5355 4...ADF_NET_CKSU 70470 4d5f5443 505f5544 505f4950 76360002 M_TCP_UDP_IPv6.. 70480 00036164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 70490 74797065 5f740000 00283908 08000028 type_t...(9....( 704a0 d2077478 5f636b73 756d0000 00288f02 ..tx_cksum...(.. 704b0 23000772 785f636b 73756d00 0000288f #..rx_cksum...(. 704c0 02230400 03616466 5f6e6574 5f636b73 .#...adf_net_cks 704d0 756d5f69 6e666f5f 74000000 28a91604 um_info_t...(... 704e0 0000292b 14414446 5f4e4554 5f54534f ..)+.ADF_NET_TSO 704f0 5f4e4f4e 45000014 4144465f 4e45545f _NONE...ADF_NET_ 70500 54534f5f 49505634 00011441 44465f4e TSO_IPV4...ADF_N 70510 45545f54 534f5f41 4c4c0002 00036164 ET_TSO_ALL....ad 70520 665f6e65 745f7473 6f5f7479 70655f74 f_net_tso_type_t 70530 00000028 ec081000 00297f07 636b7375 ...(.....)..cksu 70540 6d5f6361 70000000 28d20223 00077473 m_cap...(..#..ts 70550 6f000000 292b0223 0807766c 616e5f73 o...)+.#..vlan_s 70560 7570706f 72746564 0000001f 8e02230c upported......#. 70570 00082000 002a1807 74785f70 61636b65 .. ..*..tx_packe 70580 74730000 001f2702 23000772 785f7061 ts....'.#..rx_pa 70590 636b6574 73000000 1f270223 04077478 ckets....'.#..tx 705a0 5f627974 65730000 001f2702 23080772 _bytes....'.#..r 705b0 785f6279 74657300 00001f27 02230c07 x_bytes....'.#.. 705c0 74785f64 726f7070 65640000 001f2702 tx_dropped....'. 705d0 23100772 785f6472 6f707065 64000000 #..rx_dropped... 705e0 1f270223 14077278 5f657272 6f727300 .'.#..rx_errors. 705f0 00001f27 02231807 74785f65 72726f72 ...'.#..tx_error 70600 73000000 1f270223 1c000361 64665f6e s....'.#...adf_n 70610 65745f65 74686164 64725f74 00000025 et_ethaddr_t...% 70620 52100000 2a180300 00002a3d 057f000c R...*.....*=.... 70630 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad 70640 64720003 0400002a 74076e65 6c656d00 dr.....*t.nelem. 70650 00001f27 02230007 6d636173 74000000 ...'.#..mcast... 70660 2a2f0223 04000361 64665f6e 65745f63 */.#...adf_net_c 70670 6d645f6c 696e6b5f 696e666f 5f740000 md_link_info_t.. 70680 0024ec03 6164665f 6e65745f 636d645f .$..adf_net_cmd_ 70690 706f6c6c 5f696e66 6f5f7400 0000275a poll_info_t...'Z 706a0 03616466 5f6e6574 5f636d64 5f636b73 .adf_net_cmd_cks 706b0 756d5f69 6e666f5f 74000000 28d20361 um_info_t...(..a 706c0 64665f6e 65745f63 6d645f72 696e675f df_net_cmd_ring_ 706d0 696e666f 5f740000 00271e03 6164665f info_t...'..adf_ 706e0 6e65745f 636d645f 646d615f 696e666f net_cmd_dma_info 706f0 5f740000 00280303 6164665f 6e65745f _t...(..adf_net_ 70700 636d645f 7669645f 74000000 25880361 cmd_vid_t...%..a 70710 64665f6e 65745f63 6d645f6f 66666c6f df_net_cmd_offlo 70720 61645f63 61705f74 00000029 43036164 ad_cap_t...)C.ad 70730 665f6e65 745f636d 645f7374 6174735f f_net_cmd_stats_ 70740 74000000 297f0361 64665f6e 65745f63 t...)..adf_net_c 70750 6d645f6d 63616464 725f7400 00002a3d md_mcaddr_t...*= 70760 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 70770 73745f63 61700004 00002bb6 14414446 st_cap....+..ADF 70780 5f4e4554 5f4d4341 53545f53 55500000 _NET_MCAST_SUP.. 70790 14414446 5f4e4554 5f4d4341 53545f4e .ADF_NET_MCAST_N 707a0 4f545355 50000100 03616466 5f6e6574 OTSUP....adf_net 707b0 5f636d64 5f6d6361 73745f63 61705f74 _cmd_mcast_cap_t 707c0 0000002b 6e180304 00002c88 076c696e ...+n.....,..lin 707d0 6b5f696e 666f0000 002a7402 23000770 k_info...*t.#..p 707e0 6f6c6c5f 696e666f 0000002a 91022300 oll_info...*..#. 707f0 07636b73 756d5f69 6e666f00 00002aae .cksum_info...*. 70800 02230007 72696e67 5f696e66 6f000000 .#..ring_info... 70810 2acc0223 0007646d 615f696e 666f0000 *..#..dma_info.. 70820 002ae902 23000776 69640000 002b0502 .*..#..vid...+.. 70830 2300076f 66666c6f 61645f63 61700000 #..offload_cap.. 70840 002b1c02 23000773 74617473 0000002b .+..#..stats...+ 70850 3b022300 076d6361 73745f69 6e666f00 ;.#..mcast_info. 70860 00002b54 02230007 6d636173 745f6361 ..+T.#..mcast_ca 70870 70000000 2bb60223 00001604 00002cdf p...+..#......,. 70880 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 70890 554d5f4e 4f4e4500 00144144 465f4e42 UM_NONE...ADF_NB 708a0 55465f52 585f434b 53554d5f 48570001 UF_RX_CKSUM_HW.. 708b0 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 708c0 554d5f55 4e4e4543 45535341 52590002 UM_UNNECESSARY.. 708d0 00036164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck 708e0 73756d5f 74797065 5f740000 002c8808 sum_type_t...,.. 708f0 0800002d 1f077265 73756c74 0000002c ...-..result..., 70900 df022300 0776616c 0000001f 27022304 ..#..val....'.#. 70910 00080800 002d4f07 74797065 00000029 .....-O.type...) 70920 2b022300 076d7373 00000025 88022304 +.#..mss...%..#. 70930 07686472 5f6f6666 0000001f 8e022306 .hdr_off......#. 70940 00095f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe 70950 6164000c 00002d8e 07686561 64000000 ad....-..head... 70960 1d470223 00077461 696c0000 001d4702 .G.#..tail....G. 70970 23040771 6c656e00 00001f27 02230800 #..qlen....'.#.. 70980 035f5f61 64665f6e 6275665f 74000000 .__adf_nbuf_t... 70990 1d470a00 001f9d04 000a0000 1f270400 .G...........'.. 709a0 0d010e00 001c2901 0e00001f 27010e00 ......).....'... 709b0 001f9d01 0e00001f 9d010a00 001c0a04 ................ 709c0 00035f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe 709d0 61645f74 0000002d 4f035f5f 6164665f ad_t...-O.__adf_ 709e0 6e627566 5f717565 75655f74 0000002d nbuf_queue_t...- 709f0 cf0a0000 2de70400 0e00002d 8e010e00 ....-......-.... 70a00 002d8e01 16040000 2f071441 5f535441 .-....../..A_STA 70a10 5455535f 4f4b0000 14415f53 54415455 TUS_OK...A_STATU 70a20 535f4641 494c4544 00011441 5f535441 S_FAILED...A_STA 70a30 5455535f 454e4f45 4e540002 14415f53 TUS_ENOENT...A_S 70a40 54415455 535f454e 4f4d454d 00031441 TATUS_ENOMEM...A 70a50 5f535441 5455535f 45494e56 414c0004 _STATUS_EINVAL.. 70a60 14415f53 54415455 535f4549 4e50524f .A_STATUS_EINPRO 70a70 47524553 53000514 415f5354 41545553 GRESS...A_STATUS 70a80 5f454e4f 54535550 50000614 415f5354 _ENOTSUPP...A_ST 70a90 41545553 5f454255 53590007 14415f53 ATUS_EBUSY...A_S 70aa0 54415455 535f4532 42494700 0814415f TATUS_E2BIG...A_ 70ab0 53544154 55535f45 41444452 4e4f5441 STATUS_EADDRNOTA 70ac0 5641494c 00091441 5f535441 5455535f VAIL...A_STATUS_ 70ad0 454e5849 4f000a14 415f5354 41545553 ENXIO...A_STATUS 70ae0 5f454641 554c5400 0b14415f 53544154 _EFAULT...A_STAT 70af0 55535f45 494f000c 0003615f 73746174 US_EIO....a_stat 70b00 75735f74 0000002e 120e0000 2f07010e us_t......../... 70b10 00000161 010d0103 6164665f 6e627566 ...a....adf_nbuf 70b20 5f740000 002d8e16 0400002f 6c144144 _t...-...../l.AD 70b30 465f4f53 5f444d41 5f544f5f 44455649 F_OS_DMA_TO_DEVI 70b40 43450000 14414446 5f4f535f 444d415f CE...ADF_OS_DMA_ 70b50 46524f4d 5f444556 49434500 01000361 FROM_DEVICE....a 70b60 64665f6f 735f646d 615f6469 725f7400 df_os_dma_dir_t. 70b70 00002f35 0e00002f 07010d01 03616466 ../5.../.....adf 70b80 5f6f735f 646d616d 61705f69 6e666f5f _os_dmamap_info_ 70b90 74000000 1f440a00 002f8a04 000d010d t....D.../...... 70ba0 010e0000 2f25010e 00002d8e 010d010d ..../%....-..... 70bb0 010e0000 2f25010e 00002d8e 010e0000 ..../%....-..... 70bc0 2f25010e 00002d8e 010e0000 2f25010d /%....-...../%.. 70bd0 010d010e 00001f27 010e0000 1f9d010d .......'........ 70be0 010d010e 00002433 010e0000 2380010e ......$3....#... 70bf0 00002380 01036164 665f6f73 5f73676c ..#...adf_os_sgl 70c00 6973745f 74000000 1fdc0a00 00300304 ist_t........0.. 70c10 000d010d 010d010e 00001f9d 01036164 ..............ad 70c20 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. 70c30 002de70a 0000302b 04000d01 0a00002d .-....0+.......- 70c40 cf04000d 010d010d 010e0000 2f25010e ............/%.. 70c50 00002d8e 010e0000 1f27010e 00001f27 ..-......'.....' 70c60 010e0000 2380010e 00002380 010e0000 ....#.....#..... 70c70 288f010e 00001f27 01036164 665f6e62 (......'..adf_nb 70c80 75665f72 785f636b 73756d5f 74000000 uf_rx_cksum_t... 70c90 2cfd0a00 00308704 000d010d 01036164 ,....0........ad 70ca0 665f6e62 75665f74 736f5f74 0000002d f_nbuf_tso_t...- 70cb0 1f0a0000 30ab0400 0d010d01 03616466 ....0........adf 70cc0 5f6e6574 5f68616e 646c655f 74000000 _net_handle_t... 70cd0 02d60361 64665f6e 65745f76 6c616e68 ...adf_net_vlanh 70ce0 64725f74 00000026 9b0a0000 30e00400 dr_t...&....0... 70cf0 0e00002f 07010e00 002f0701 0d010d01 .../...../...... 70d00 095f4849 465f434f 4e464947 00040000 ._HIF_CONFIG.... 70d10 312f0764 756d6d79 00000001 61022300 1/.dummy....a.#. 70d20 000d010a 0000312f 04000d01 0a000031 ......1/.......1 70d30 38040009 5f484946 5f43414c 4c424143 8..._HIF_CALLBAC 70d40 4b000c00 00318d07 73656e64 5f627566 K....1..send_buf 70d50 5f646f6e 65000000 31310223 00077265 _done...11.#..re 70d60 63765f62 75660000 00313a02 23040763 cv_buf...1:.#..c 70d70 6f6e7465 78740000 0002d602 23080003 ontext......#... 70d80 6869665f 68616e64 6c655f74 00000002 hif_handle_t.... 70d90 d6034849 465f434f 4e464947 00000031 ..HIF_CONFIG...1 70da0 0e0a0000 319f0400 0e000031 8d010a00 ....1......1.... 70db0 0031b604 000d010a 000031c3 04000348 .1........1....H 70dc0 49465f43 414c4c42 41434b00 00003141 IF_CALLBACK...1A 70dd0 0a000031 cc04000d 010a0000 31e50400 ...1........1... 70de0 0e000001 61010a00 0031ee04 000d010a ....a....1...... 70df0 000031fb 04000e00 00016101 0a000032 ..1.......a....2 70e00 0404000d 010a0000 32110400 0e000001 ........2....... 70e10 61010a00 00321a04 000d010a 00003227 a....2........2' 70e20 04000968 69665f61 70690038 00003380 ...hif_api.8..3. 70e30 075f696e 69740000 0031bc02 2300075f ._init...1..#.._ 70e40 73687574 646f776e 00000031 c5022304 shutdown...1..#. 70e50 075f7265 67697374 65725f63 616c6c62 ._register_callb 70e60 61636b00 000031e7 02230807 5f676574 ack...1..#.._get 70e70 5f746f74 616c5f63 72656469 745f636f _total_credit_co 70e80 756e7400 000031f4 02230c07 5f737461 unt...1..#.._sta 70e90 72740000 0031c502 2310075f 636f6e66 rt...1..#.._conf 70ea0 69675f70 69706500 000031fd 02231407 ig_pipe...1..#.. 70eb0 5f73656e 645f6275 66666572 00000032 _send_buffer...2 70ec0 0a022318 075f7265 7475726e 5f726563 ..#.._return_rec 70ed0 765f6275 66000000 32130223 1c075f69 v_buf...2..#.._i 70ee0 735f7069 70655f73 7570706f 72746564 s_pipe_supported 70ef0 00000032 20022320 075f6765 745f6d61 ...2 .# ._get_ma 70f00 785f6d73 675f6c65 6e000000 32200223 x_msg_len...2 .# 70f10 24075f67 65745f72 65736572 7665645f $._get_reserved_ 70f20 68656164 726f6f6d 00000031 f4022328 headroom...1..#( 70f30 075f6973 725f6861 6e646c65 72000000 ._isr_handler... 70f40 31c50223 2c075f67 65745f64 65666175 1..#,._get_defau 70f50 6c745f70 69706500 00003229 02233007 lt_pipe...2).#0. 70f60 70526573 65727665 64000000 02d60223 pReserved......# 70f70 34001364 6d615f65 6e67696e 65000400 4..dma_engine... 70f80 00340914 444d415f 454e4749 4e455f52 .4..DMA_ENGINE_R 70f90 58300000 14444d41 5f454e47 494e455f X0...DMA_ENGINE_ 70fa0 52583100 0114444d 415f454e 47494e45 RX1...DMA_ENGINE 70fb0 5f525832 00021444 4d415f45 4e47494e _RX2...DMA_ENGIN 70fc0 455f5258 33000314 444d415f 454e4749 E_RX3...DMA_ENGI 70fd0 4e455f54 58300004 14444d41 5f454e47 NE_TX0...DMA_ENG 70fe0 494e455f 54583100 0514444d 415f454e INE_TX1...DMA_EN 70ff0 47494e45 5f4d4158 00060003 646d615f GINE_MAX....dma_ 71000 656e6769 6e655f74 00000033 8013646d engine_t...3..dm 71010 615f6966 74797065 00040000 34561444 a_iftype....4V.D 71020 4d415f49 465f474d 41430000 14444d41 MA_IF_GMAC...DMA 71030 5f49465f 50434900 0114444d 415f4946 _IF_PCI...DMA_IF 71040 5f504349 45000200 03646d61 5f696674 _PCIE....dma_ift 71050 7970655f 74000000 341b0e00 001bfc01 ype_t...4....... 71060 0a000034 6804000d 010a0000 34750400 ...4h.......4u.. 71070 0d010a00 00347e04 000e0000 1237010a .....4~......7.. 71080 00003487 04000e00 001bfc01 0a000034 ..4............4 71090 9404000e 00001bfc 010a0000 34a10400 ............4... 710a0 0e00001d 47010a00 0034ae04 000d010a ....G....4...... 710b0 000034bb 04000964 6d615f6c 69625f61 ..4....dma_lib_a 710c0 70690034 000035c2 0774785f 696e6974 pi.4..5..tx_init 710d0 00000034 6e022300 0774785f 73746172 ...4n.#..tx_star 710e0 74000000 34770223 04077278 5f696e69 t...4w.#..rx_ini 710f0 74000000 346e0223 08077278 5f636f6e t...4n.#..rx_con 71100 66696700 00003480 02230c07 72785f73 fig...4..#..rx_s 71110 74617274 00000034 77022310 07696e74 tart...4w.#..int 71120 725f7374 61747573 00000034 8d022314 r_status...4..#. 71130 07686172 645f786d 69740000 00349a02 .hard_xmit...4.. 71140 23180766 6c757368 5f786d69 74000000 #..flush_xmit... 71150 34770223 1c07786d 69745f64 6f6e6500 4w.#..xmit_done. 71160 000034a7 02232007 72656170 5f786d69 ..4..# .reap_xmi 71170 74746564 00000034 b4022324 07726561 tted...4..#$.rea 71180 705f7265 63760000 0034b402 23280772 p_recv...4..#(.r 71190 65747572 6e5f7265 63760000 0034bd02 eturn_recv...4.. 711a0 232c0772 6563765f 706b7400 000034a7 #,.recv_pkt...4. 711b0 02233000 095f5f70 63695f73 6f667463 .#0..__pci_softc 711c0 000c0000 35e00773 77000000 31cc0223 ....5..sw...1..# 711d0 0000035f 5f706369 5f736f66 74635f74 ...__pci_softc_t 711e0 00000035 c20a0000 35e00400 0d010a00 ...5....5....... 711f0 0035fa04 000e0000 1be8010a 00003603 .5............6. 71200 04001368 69665f70 63695f70 6970655f ...hif_pci_pipe_ 71210 74780004 00003663 14484946 5f504349 tx....6c.HIF_PCI 71220 5f504950 455f5458 30000014 4849465f _PIPE_TX0...HIF_ 71230 5043495f 50495045 5f545831 00011448 PCI_PIPE_TX1...H 71240 49465f50 43495f50 4950455f 54585f4d IF_PCI_PIPE_TX_M 71250 41580002 00036869 665f7063 695f7069 AX....hif_pci_pi 71260 70655f74 785f7400 00003610 0e000034 pe_tx_t...6....4 71270 09010a00 00367a04 00136869 665f7063 .....6z...hif_pc 71280 695f7069 70655f72 78000400 00370014 i_pipe_rx....7.. 71290 4849465f 5043495f 50495045 5f525830 HIF_PCI_PIPE_RX0 712a0 00001448 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 712b0 52583100 01144849 465f5043 495f5049 RX1...HIF_PCI_PI 712c0 50455f52 58320002 14484946 5f504349 PE_RX2...HIF_PCI 712d0 5f504950 455f5258 33000314 4849465f _PIPE_RX3...HIF_ 712e0 5043495f 50495045 5f52585f 4d415800 PCI_PIPE_RX_MAX. 712f0 04000368 69665f70 63695f70 6970655f ...hif_pci_pipe_ 71300 72785f74 00000036 870e0000 3409010a rx_t...6....4... 71310 00003717 04000968 69665f70 63695f61 ..7....hif_pci_a 71320 70690024 000037f5 07706369 5f626f6f pi.$..7..pci_boo 71330 745f696e 69740000 00039c02 23000770 t_init......#..p 71340 63695f69 6e697400 000031bc 02230407 ci_init...1..#.. 71350 7063695f 72657365 74000000 039c0223 pci_reset......# 71360 08077063 695f656e 61626c65 00000003 ..pci_enable.... 71370 9c02230c 07706369 5f726561 705f786d ..#..pci_reap_xm 71380 69747465 64000000 35fc0223 10077063 itted...5..#..pc 71390 695f7265 61705f72 65637600 000035fc i_reap_recv...5. 713a0 02231407 7063695f 6765745f 70697065 .#..pci_get_pipe 713b0 00000036 09022318 07706369 5f676574 ...6..#..pci_get 713c0 5f74785f 656e6700 00003680 02231c07 _tx_eng...6..#.. 713d0 7063695f 6765745f 72785f65 6e670000 pci_get_rx_eng.. 713e0 00371d02 23200009 676d6163 5f617069 .7..# ..gmac_api 713f0 00040000 381c0767 6d61635f 626f6f74 ....8..gmac_boot 71400 5f696e69 74000000 039c0223 00000400 _init......#.... 71410 00011f06 00003829 05050009 5f5f6574 ......8)....__et 71420 68686472 000e0000 385f0764 73740000 hhdr....8_.dst.. 71430 00381c02 23000773 72630000 00381c02 .8..#..src...8.. 71440 23060765 74797065 0000001b fc02230c #..etype......#. 71450 00095f5f 61746868 64720004 000038ad ..__athhdr....8. 71460 17726573 0000001b e8010002 02230017 .res.........#.. 71470 70726f74 6f000000 1be80102 06022300 proto.........#. 71480 07726573 5f6c6f00 00001be8 02230107 .res_lo......#.. 71490 7265735f 68690000 001bfc02 23020009 res_hi......#... 714a0 5f5f676d 61635f68 64720014 000038e9 __gmac_hdr....8. 714b0 07657468 00000038 29022300 07617468 .eth...8).#..ath 714c0 00000038 5f02230e 07616c69 676e5f70 ...8_.#..align_p 714d0 61640000 001bfc02 23120003 5f5f676d ad......#...__gm 714e0 61635f68 64725f74 00000038 ad095f5f ac_hdr_t...8..__ 714f0 676d6163 5f736f66 74630024 00003933 gmac_softc.$..93 71500 07686472 00000038 e9022300 07677261 .hdr...8..#..gra 71510 6e000000 1bfc0223 14077377 00000031 n......#..sw...1 71520 cc022318 00125f41 5f6f735f 6c696e6b ..#..._A_os_link 71530 6167655f 63686563 6b000001 0a000039 age_check......9 71540 3304000e 00000161 010a0000 39510400 3......a....9Q.. 71550 0a000001 0304000c 5f415f63 6d6e6f73 ........_A_cmnos 71560 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 71570 6c650001 b800003a a1076861 6c5f6c69 le.....:..hal_li 71580 6e6b6167 655f6368 65636b00 00003957 nkage_check...9W 71590 02230007 73746172 745f6273 73000000 .#..start_bss... 715a0 395e0223 04076170 705f7374 61727400 9^.#..app_start. 715b0 0000039c 02230807 6d656d00 00000d63 .....#..mem....c 715c0 02230c07 6d697363 0000000e 82022320 .#..misc......# 715d0 07707269 6e746600 00000aae 02234407 .printf......#D. 715e0 75617274 0000000b 4c02234c 07676d61 uart....L.#L.gma 715f0 63000000 37f50223 6c077573 62000000 c...7..#l.usb... 71600 18a80223 7007636c 6f636b00 000013f1 ...#p.clock..... 71610 0323e001 0774696d 65720000 00109a03 .#...timer...... 71620 23840207 696e7472 00000015 41032398 #...intr....A.#. 71630 0207616c 6c6f6372 616d0000 00125203 ..allocram....R. 71640 23c40207 726f6d70 00000011 440323d0 #...romp....D.#. 71650 02077764 745f7469 6d657200 0000171e ..wdt_timer..... 71660 0323e002 07656570 00000018 4c0323fc .#...eep....L.#. 71670 02077374 72696e67 0000000f a603238c ..string......#. 71680 03077461 736b6c65 74000000 134f0323 ..tasklet....O.# 71690 a4030009 5f555342 5f464946 4f5f434f ...._USB_FIFO_CO 716a0 4e464947 00100000 3b140767 65745f63 NFIG....;..get_c 716b0 6f6d6d61 6e645f62 75660000 001d5402 ommand_buf....T. 716c0 23000772 6563765f 636f6d6d 616e6400 #..recv_command. 716d0 00001d6a 02230407 6765745f 6576656e ...j.#..get_even 716e0 745f6275 66000000 1d540223 08077365 t_buf....T.#..se 716f0 6e645f65 76656e74 5f646f6e 65000000 nd_event_done... 71700 1d6a0223 0c000355 53425f46 49464f5f .j.#...USB_FIFO_ 71710 434f4e46 49470000 003aa10a 00003b14 CONFIG...:....;. 71720 04000d01 0a00003b 30040009 75736266 .......;0...usbf 71730 69666f5f 61706900 0c00003b 86075f69 ifo_api....;.._i 71740 6e697400 00003b32 02230007 5f656e61 nit...;2.#.._ena 71750 626c655f 6576656e 745f6973 72000000 ble_event_isr... 71760 039c0223 04077052 65736572 76656400 ...#..pReserved. 71770 000002d6 02230800 0400001f 8e020000 .....#.......... 71780 3b930501 00095f48 54435f46 52414d45 ;....._HTC_FRAME 71790 5f484452 00080000 3c050745 6e64706f _HDR....<..Endpo 717a0 696e7449 44000000 1f8e0223 0007466c intID......#..Fl 717b0 61677300 00001f8e 02230107 5061796c ags......#..Payl 717c0 6f61644c 656e0000 00258802 23020743 oadLen...%..#..C 717d0 6f6e7472 6f6c4279 74657300 00003b86 ontrolBytes...;. 717e0 02230407 486f7374 5365714e 756d0000 .#..HostSeqNum.. 717f0 00258802 23060008 0200003c 1e074d65 .%..#......<..Me 71800 73736167 65494400 00002588 02230000 ssageID...%..#.. 71810 08080000 3c81074d 65737361 67654944 ....<..MessageID 71820 00000025 88022300 07437265 64697443 ...%..#..CreditC 71830 6f756e74 00000025 88022302 07437265 ount...%..#..Cre 71840 64697453 697a6500 00002588 02230407 ditSize...%..#.. 71850 4d617845 6e64706f 696e7473 0000001f MaxEndpoints.... 71860 8e022306 075f5061 64310000 001f8e02 ..#.._Pad1...... 71870 23070008 0a00003d 18074d65 73736167 #......=..Messag 71880 65494400 00002588 02230007 53657276 eID...%..#..Serv 71890 69636549 44000000 25880223 0207436f iceID...%..#..Co 718a0 6e6e6563 74696f6e 466c6167 73000000 nnectionFlags... 718b0 25880223 0407446f 776e4c69 6e6b5069 %..#..DownLinkPi 718c0 70654944 0000001f 8e022306 0755704c peID......#..UpL 718d0 696e6b50 69706549 44000000 1f8e0223 inkPipeID......# 718e0 07075365 72766963 654d6574 614c656e ..ServiceMetaLen 718f0 67746800 00001f8e 02230807 5f506164 gth......#.._Pad 71900 31000000 1f8e0223 0900080a 00003da0 1......#......=. 71910 074d6573 73616765 49440000 00258802 .MessageID...%.. 71920 23000753 65727669 63654944 00000025 #..ServiceID...% 71930 88022302 07537461 74757300 00001f8e ..#..Status..... 71940 02230407 456e6470 6f696e74 49440000 .#..EndpointID.. 71950 001f8e02 2305074d 61784d73 6753697a ....#..MaxMsgSiz 71960 65000000 25880223 06075365 72766963 e...%..#..Servic 71970 654d6574 614c656e 67746800 00001f8e eMetaLength..... 71980 02230807 5f506164 31000000 1f8e0223 .#.._Pad1......# 71990 09000802 00003db9 074d6573 73616765 ......=..Message 719a0 49440000 00258802 23000008 0400003d ID...%..#......= 719b0 f5074d65 73736167 65494400 00002588 ..MessageID...%. 719c0 02230007 50697065 49440000 001f8e02 .#..PipeID...... 719d0 23020743 72656469 74436f75 6e740000 #..CreditCount.. 719e0 001f8e02 23030008 0400003e 2c074d65 ....#......>,.Me 719f0 73736167 65494400 00002588 02230007 ssageID...%..#.. 71a00 50697065 49440000 001f8e02 23020753 PipeID......#..S 71a10 74617475 73000000 1f8e0223 03000802 tatus......#.... 71a20 00003e53 07526563 6f726449 44000000 ..>S.RecordID... 71a30 1f8e0223 00074c65 6e677468 0000001f ...#..Length.... 71a40 8e022301 00080200 003e7d07 456e6470 ..#......>}.Endp 71a50 6f696e74 49440000 001f8e02 23000743 ointID......#..C 71a60 72656469 74730000 001f8e02 23010008 redits......#... 71a70 0400003e be07456e 64706f69 6e744944 ...>..EndpointID 71a80 0000001f 8e022300 07437265 64697473 ......#..Credits 71a90 0000001f 8e022301 07546774 43726564 ......#..TgtCred 71aa0 69745365 714e6f00 00002588 02230200 itSeqNo...%..#.. 71ab0 0400001f 8e040000 3ecb0503 00080600 ........>....... 71ac0 003f0707 50726556 616c6964 0000001f .?..PreValid.... 71ad0 8e022300 074c6f6f 6b416865 61640000 ..#..LookAhead.. 71ae0 003ebe02 23010750 6f737456 616c6964 .>..#..PostValid 71af0 0000001f 8e022305 0003706f 6f6c5f68 ......#...pool_h 71b00 616e646c 655f7400 000002d6 0e00003f andle_t........? 71b10 07010a00 003f1a04 000d010a 00003f27 .....?........?' 71b20 04001604 00003fa5 14504f4f 4c5f4944 ......?..POOL_ID 71b30 5f485443 5f434f4e 54524f4c 00001450 _HTC_CONTROL...P 71b40 4f4f4c5f 49445f57 4d495f53 56435f43 OOL_ID_WMI_SVC_C 71b50 4d445f52 45504c59 00011450 4f4f4c5f MD_REPLY...POOL_ 71b60 49445f57 4d495f53 56435f45 56454e54 ID_WMI_SVC_EVENT 71b70 00021450 4f4f4c5f 49445f57 4c414e5f ...POOL_ID_WLAN_ 71b80 52585f42 55460003 14504f4f 4c5f4944 RX_BUF...POOL_ID 71b90 5f4d4158 000a0003 4255465f 504f4f4c _MAX....BUF_POOL 71ba0 5f494400 00003f30 0d010a00 003fb604 _ID...?0.....?.. 71bb0 000e0000 2f25010a 00003fbf 04000e00 ..../%....?..... 71bc0 002f2501 0a00003f cc04000d 010a0000 ./%....?........ 71bd0 3fd90400 09627566 5f706f6f 6c5f6170 ?....buf_pool_ap 71be0 69001c00 00407b07 5f696e69 74000000 i....@{._init... 71bf0 3f200223 00075f73 68757464 6f776e00 ? .#.._shutdown. 71c00 00003f29 02230407 5f637265 6174655f ..?).#.._create_ 71c10 706f6f6c 0000003f b8022308 075f616c pool...?..#.._al 71c20 6c6f635f 62756600 00003fc5 02230c07 loc_buf...?..#.. 71c30 5f616c6c 6f635f62 75665f61 6c69676e _alloc_buf_align 71c40 0000003f d2022310 075f6672 65655f62 ...?..#.._free_b 71c50 75660000 003fdb02 23140770 52657365 uf...?..#..pRese 71c60 72766564 00000002 d6022318 00095f48 rved......#..._H 71c70 54435f53 45525649 4345001c 0000415a TC_SERVICE....AZ 71c80 07704e65 78740000 00415a02 23000750 .pNext...AZ.#..P 71c90 726f6365 73735265 63764d73 67000000 rocessRecvMsg... 71ca0 420f0223 04075072 6f636573 7353656e B..#..ProcessSen 71cb0 64427566 66657243 6f6d706c 65746500 dBufferComplete. 71cc0 00004218 02230807 50726f63 65737343 ..B..#..ProcessC 71cd0 6f6e6e65 63740000 00422c02 230c0753 onnect...B,.#..S 71ce0 65727669 63654944 0000001b fc022310 erviceID......#. 71cf0 07536572 76696365 466c6167 73000000 .ServiceFlags... 71d00 1bfc0223 12074d61 78537663 4d736753 ...#..MaxSvcMsgS 71d10 697a6500 00001bfc 02231407 54726169 ize......#..Trai 71d20 6c657253 70634368 65636b4c 696d6974 lerSpcCheckLimit 71d30 0000001b fc022316 07536572 76696365 ......#..Service 71d40 43747800 000002d6 02231800 0a000040 Ctx......#.....@ 71d50 7b040016 04000041 f819454e 44504f49 {......A..ENDPOI 71d60 4e545f55 4e555345 4400ffff ffff1445 NT_UNUSED......E 71d70 4e44504f 494e5430 00001445 4e44504f NDPOINT0...ENDPO 71d80 494e5431 00011445 4e44504f 494e5432 INT1...ENDPOINT2 71d90 00021445 4e44504f 494e5433 00031445 ...ENDPOINT3...E 71da0 4e44504f 494e5434 00041445 4e44504f NDPOINT4...ENDPO 71db0 494e5435 00051445 4e44504f 494e5436 INT5...ENDPOINT6 71dc0 00061445 4e44504f 494e5437 00071445 ...ENDPOINT7...E 71dd0 4e44504f 494e5438 00081445 4e44504f NDPOINT8...ENDPO 71de0 494e545f 4d415800 16000348 54435f45 INT_MAX....HTC_E 71df0 4e44504f 494e545f 49440000 0041610d NDPOINT_ID...Aa. 71e00 010a0000 420d0400 0d010a00 00421604 ....B........B.. 71e10 000a0000 01610400 0e00001b e8010a00 .....a.......... 71e20 00422604 000a0000 407b0400 095f4854 .B&.....@{..._HT 71e30 435f434f 4e464947 00140000 42ab0743 C_CONFIG....B..C 71e40 72656469 7453697a 65000000 01610223 reditSize....a.# 71e50 00074372 65646974 4e756d62 65720000 ..CreditNumber.. 71e60 00016102 2304074f 5348616e 646c6500 ..a.#..OSHandle. 71e70 00002331 02230807 48494648 616e646c ..#1.#..HIFHandl 71e80 65000000 318d0223 0c07506f 6f6c4861 e...1..#..PoolHa 71e90 6e646c65 0000003f 07022310 00095f48 ndle...?..#..._H 71ea0 54435f42 55465f43 4f4e5445 58540002 TC_BUF_CONTEXT.. 71eb0 000042e7 07656e64 5f706f69 6e740000 ..B..end_point.. 71ec0 001be802 23000768 74635f66 6c616773 ....#..htc_flags 71ed0 0000001b e8022301 00036874 635f6861 ......#...htc_ha 71ee0 6e646c65 5f740000 0002d603 4854435f ndle_t......HTC_ 71ef0 53455455 505f434f 4d504c45 54455f43 SETUP_COMPLETE_C 71f00 42000000 039c0348 54435f43 4f4e4649 B......HTC_CONFI 71f10 47000000 423a0a00 00431404 000e0000 G...B:...C...... 71f20 42e7010a 0000432b 04000d01 0a000043 B.....C+.......C 71f30 38040003 4854435f 53455256 49434500 8...HTC_SERVICE. 71f40 0000407b 0a000043 4104000d 010a0000 ..@{...CA....... 71f50 43590400 0d010a00 00436204 000d010a CY.......Cb..... 71f60 0000436b 04000e00 00016101 0a000043 ..Ck......a....C 71f70 74040009 6874635f 61706973 00340000 t...htc_apis.4.. 71f80 44f1075f 4854435f 496e6974 00000043 D.._HTC_Init...C 71f90 31022300 075f4854 435f5368 7574646f 1.#.._HTC_Shutdo 71fa0 776e0000 00433a02 2304075f 4854435f wn...C:.#.._HTC_ 71fb0 52656769 73746572 53657276 69636500 RegisterService. 71fc0 0000435b 02230807 5f485443 5f526561 ..C[.#.._HTC_Rea 71fd0 64790000 00433a02 230c075f 4854435f dy...C:.#.._HTC_ 71fe0 52657475 726e4275 66666572 73000000 ReturnBuffers... 71ff0 43640223 10075f48 54435f52 65747572 Cd.#.._HTC_Retur 72000 6e427566 66657273 4c697374 00000043 nBuffersList...C 72010 6d022314 075f4854 435f5365 6e644d73 m.#.._HTC_SendMs 72020 67000000 43640223 18075f48 54435f47 g...Cd.#.._HTC_G 72030 65745265 73657276 65644865 6164726f etReservedHeadro 72040 6f6d0000 00437a02 231c075f 4854435f om...Cz.#.._HTC_ 72050 4d736752 65637648 616e646c 65720000 MsgRecvHandler.. 72060 00313a02 2320075f 4854435f 53656e64 .1:.# ._HTC_Send 72070 446f6e65 48616e64 6c657200 00003131 DoneHandler...11 72080 02232407 5f485443 5f436f6e 74726f6c .#$._HTC_Control 72090 53766350 726f6365 73734d73 67000000 SvcProcessMsg... 720a0 420f0223 28075f48 54435f43 6f6e7472 B..#(._HTC_Contr 720b0 6f6c5376 6350726f 63657373 53656e64 olSvcProcessSend 720c0 436f6d70 6c657465 00000042 1802232c Complete...B..#, 720d0 07705265 73657276 65640000 0002d602 .pReserved...... 720e0 23300009 686f7374 5f617070 5f617265 #0..host_app_are 720f0 615f7300 04000045 2107776d 695f7072 a_s....E!.wmi_pr 72100 6f746f63 6f6c5f76 65720000 001f2702 otocol_ver....'. 72110 23000008 0e000045 58076473 744d6163 #......EX.dstMac 72120 00000025 45022300 07737263 4d616300 ...%E.#..srcMac. 72130 00002545 02230607 74797065 4f724c65 ..%E.#..typeOrLe 72140 6e000000 25880223 0c000400 001f8e03 n...%..#........ 72150 00004565 05020008 08000045 b5076473 ..Ee.......E..ds 72160 61700000 001f8e02 23000773 73617000 ap......#..ssap. 72170 00001f8e 02230107 636e746c 0000001f .....#..cntl.... 72180 8e022302 076f7267 436f6465 00000045 ..#..orgCode...E 72190 58022303 07657468 65725479 70650000 X.#..etherType.. 721a0 00258802 23060008 02000045 d6077273 .%..#......E..rs 721b0 73690000 0024de02 23000769 6e666f00 si...$..#..info. 721c0 00001f8e 02230100 08040000 45fd0763 .....#......E..c 721d0 6f6d6d61 6e644964 00000025 88022300 ommandId...%..#. 721e0 07736571 4e6f0000 00258802 23020004 .seqNo...%..#... 721f0 00001f8e 01000046 0a050000 08020000 .......F........ 72200 4631076d 73675369 7a650000 001f8e02 F1.msgSize...... 72210 2300076d 73674461 74610000 0045fd02 #..msgData...E.. 72220 23010008 08000046 78076164 64726573 #......Fx.addres 72230 734c0000 00258802 23000761 64647265 sL...%..#..addre 72240 73734800 00002588 02230207 76616c75 ssH...%..#..valu 72250 654c0000 00258802 23040776 616c7565 eL...%..#..value 72260 48000000 25880223 06000357 4d495f41 H...%..#...WMI_A 72270 56540000 00463104 00004678 08000046 VT...F1...Fx...F 72280 92050000 080c0000 46c90774 75706c65 ........F..tuple 72290 4e756d4c 00000025 88022300 07747570 NumL...%..#..tup 722a0 6c654e75 6d480000 00258802 23020761 leNumH...%..#..a 722b0 76740000 00468502 23040008 01000046 vt...F..#......F 722c0 eb076265 61636f6e 50656e64 696e6743 ..beaconPendingC 722d0 6f756e74 0000001f 8e022300 00095f57 ount......#..._W 722e0 4d495f53 56435f43 4f4e4649 47001000 MI_SVC_CONFIG... 722f0 00475407 48746348 616e646c 65000000 .GT.HtcHandle... 72300 42e70223 0007506f 6f6c4861 6e646c65 B..#..PoolHandle 72310 0000003f 07022304 074d6178 436d6452 ...?..#..MaxCmdR 72320 65706c79 45767473 00000001 61022308 eplyEvts....a.#. 72330 074d6178 4576656e 74457674 73000000 .MaxEventEvts... 72340 01610223 0c000d01 0a000047 54040003 .a.#.......GT... 72350 574d495f 434d445f 48414e44 4c455200 WMI_CMD_HANDLER. 72360 00004756 095f574d 495f4449 53504154 ..GV._WMI_DISPAT 72370 43485f45 4e545259 00080000 47bd0770 CH_ENTRY....G..p 72380 436d6448 616e646c 65720000 00475d02 CmdHandler...G]. 72390 23000743 6d644944 0000001b fc022304 #..CmdID......#. 723a0 07466c61 67730000 001bfc02 23060009 .Flags......#... 723b0 5f574d49 5f444953 50415443 485f5441 _WMI_DISPATCH_TA 723c0 424c4500 10000048 1e07704e 65787400 BLE....H..pNext. 723d0 0000481e 02230007 70436f6e 74657874 ..H..#..pContext 723e0 00000002 d6022304 074e756d 6265724f ......#..NumberO 723f0 66456e74 72696573 00000001 61022308 fEntries....a.#. 72400 07705461 626c6500 0000483d 02230c00 .pTable...H=.#.. 72410 0a000047 bd040003 574d495f 44495350 ...G....WMI_DISP 72420 41544348 5f454e54 52590000 0047720a ATCH_ENTRY...Gr. 72430 00004825 04000a00 0047bd04 00034854 ..H%.....G....HT 72440 435f4255 465f434f 4e544558 54000000 C_BUF_CONTEXT... 72450 42ab1357 4d495f45 56545f43 4c415353 B..WMI_EVT_CLASS 72460 00040000 48d51957 4d495f45 56545f43 ....H..WMI_EVT_C 72470 4c415353 5f4e4f4e 4500ffff ffff1457 LASS_NONE......W 72480 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 72490 5f455645 4e540000 14574d49 5f455654 _EVENT...WMI_EVT 724a0 5f434c41 53535f43 4d445f52 45504c59 _CLASS_CMD_REPLY 724b0 00011457 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 724c0 5f4d4158 00020003 574d495f 4556545f _MAX....WMI_EVT_ 724d0 434c4153 53000000 4860095f 574d495f CLASS...H`._WMI_ 724e0 4255465f 434f4e54 45585400 0c000049 BUF_CONTEXT....I 724f0 33074874 63427566 43747800 0000484b 3.HtcBufCtx...HK 72500 02230007 4576656e 74436c61 73730000 .#..EventClass.. 72510 0048d502 23040746 6c616773 0000001b .H..#..Flags.... 72520 fc022308 0003776d 695f6861 6e646c65 ..#...wmi_handle 72530 5f740000 0002d603 574d495f 5356435f _t......WMI_SVC_ 72540 434f4e46 49470000 0046eb0a 00004945 CONFIG...F....IE 72550 04000e00 00493301 0a000049 60040003 .....I3....I`... 72560 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB 72570 4c450000 0047bd0a 0000496d 04000d01 LE...G....Im.... 72580 0a000049 8c04000e 00002f25 010a0000 ...I....../%.... 72590 49950400 0d010a00 0049a204 000e0000 I........I...... 725a0 0161010a 000049ab 04000d01 0a000049 .a....I........I 725b0 b804000e 00001be8 010a0000 49c10400 ............I... 725c0 095f776d 695f7376 635f6170 6973002c ._wmi_svc_apis., 725d0 00004b09 075f574d 495f496e 69740000 ..K.._WMI_Init.. 725e0 00496602 2300075f 574d495f 52656769 .If.#.._WMI_Regi 725f0 73746572 44697370 61746368 5461626c sterDispatchTabl 72600 65000000 498e0223 04075f57 4d495f41 e...I..#.._WMI_A 72610 6c6c6f63 4576656e 74000000 499b0223 llocEvent...I..# 72620 08075f57 4d495f53 656e6445 76656e74 .._WMI_SendEvent 72630 00000049 a402230c 075f574d 495f4765 ...I..#.._WMI_Ge 72640 7450656e 64696e67 4576656e 7473436f tPendingEventsCo 72650 756e7400 000049b1 02231007 5f574d49 unt...I..#.._WMI 72660 5f53656e 64436f6d 706c6574 6548616e _SendCompleteHan 72670 646c6572 00000042 18022314 075f574d dler...B..#.._WM 72680 495f4765 74436f6e 74726f6c 45700000 I_GetControlEp.. 72690 0049b102 2318075f 574d495f 53687574 .I..#.._WMI_Shut 726a0 646f776e 00000049 ba02231c 075f574d down...I..#.._WM 726b0 495f5265 63764d65 73736167 6548616e I_RecvMessageHan 726c0 646c6572 00000042 0f022320 075f574d dler...B..# ._WM 726d0 495f5365 72766963 65436f6e 6e656374 I_ServiceConnect 726e0 00000049 c7022324 07705265 73657276 ...I..#$.pReserv 726f0 65640000 0002d602 23280009 7a73446d ed......#(..zsDm 72700 61446573 63001400 004b8b07 6374726c aDesc....K..ctrl 72710 00000007 c5022300 07737461 74757300 ......#..status. 72720 000007c5 02230207 746f7461 6c4c656e .....#..totalLen 72730 00000007 c5022304 07646174 6153697a ......#..dataSiz 72740 65000000 07c50223 06076c61 73744164 e......#..lastAd 72750 64720000 004b8b02 23080764 61746141 dr...K..#..dataA 72760 64647200 000001f4 02230c07 6e657874 ddr......#..next 72770 41646472 0000004b 8b022310 000a0000 Addr...K..#..... 72780 4b090400 0a00004b 09040009 7a73446d K......K....zsDm 72790 61517565 75650008 00004bcb 07686561 aQueue....K..hea 727a0 64000000 4b920223 00077465 726d696e d...K..#..termin 727b0 61746f72 0000004b 92022304 00097a73 ator...K..#...zs 727c0 5478446d 61517565 75650010 00004c2f TxDmaQueue....L/ 727d0 07686561 64000000 4b920223 00077465 .head...K..#..te 727e0 726d696e 61746f72 0000004b 92022304 rminator...K..#. 727f0 07786d69 7465645f 6275665f 68656164 .xmited_buf_head 72800 0000001d 47022308 07786d69 7465645f ....G.#..xmited_ 72810 6275665f 7461696c 0000001d 4702230c buf_tail....G.#. 72820 000d010a 00004c2f 04000a00 004b9904 ......L/.....K.. 72830 000d010a 00004c3f 04000a00 004bcb04 ......L?.....K.. 72840 000d010a 00004c4f 04000d01 0a00004c ......LO.......L 72850 5804000d 010a0000 4c610400 0e00001d X.......La...... 72860 47010a00 004c6a04 000d010a 00004c77 G....Lj.......Lw 72870 04000e00 001d4701 0a00004c 8004000d ......G....L.... 72880 010a0000 4c8d0400 0e000001 61010a00 ....L.......a... 72890 004c9604 000e0000 4b92010a 00004ca3 .L......K.....L. 728a0 04000d01 0a00004c b0040009 646d615f .......L....dma_ 728b0 656e6769 6e655f61 70690040 00004e26 engine_api.@..N& 728c0 075f696e 69740000 004c3102 2300075f ._init...L1.#.._ 728d0 696e6974 5f72785f 71756575 65000000 init_rx_queue... 728e0 4c410223 04075f69 6e69745f 74785f71 LA.#.._init_tx_q 728f0 75657565 0000004c 51022308 075f636f ueue...LQ.#.._co 72900 6e666967 5f72785f 71756575 65000000 nfig_rx_queue... 72910 4c5a0223 0c075f78 6d69745f 62756600 LZ.#.._xmit_buf. 72920 00004c63 02231007 5f666c75 73685f78 ..Lc.#.._flush_x 72930 6d697400 00004c41 02231407 5f726561 mit...LA.#.._rea 72940 705f7265 63765f62 75660000 004c7002 p_recv_buf...Lp. 72950 2318075f 72657475 726e5f72 6563765f #.._return_recv_ 72960 62756600 00004c79 02231c07 5f726561 buf...Ly.#.._rea 72970 705f786d 69746564 5f627566 0000004c p_xmited_buf...L 72980 86022320 075f7377 61705f64 61746100 ..# ._swap_data. 72990 00004c8f 02232407 5f686173 5f636f6d ..L..#$._has_com 729a0 706c5f70 61636b65 74730000 004c9c02 pl_packets...L.. 729b0 2328075f 64657363 5f64756d 70000000 #(._desc_dump... 729c0 4c410223 2c075f67 65745f70 61636b65 LA.#,._get_packe 729d0 74000000 4ca90223 30075f72 65636c61 t...L..#0._recla 729e0 696d5f70 61636b65 74000000 4cb20223 im_packet...L..# 729f0 34075f70 75745f70 61636b65 74000000 4._put_packet... 72a00 4cb20223 38077052 65736572 76656400 L..#8.pReserved. 72a10 000002d6 02233c00 035f415f 636d6e6f .....#<.._A_cmno 72a20 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta 72a30 626c655f 74000000 39650357 4d495f53 ble_t...9e.WMI_S 72a40 56435f41 50495300 000049ce 0c5f415f VC_APIS...I.._A_ 72a50 6d616770 69655f69 6e646972 65637469 magpie_indirecti 72a60 6f6e5f74 61626c65 00034c00 004f5407 on_table..L..OT. 72a70 636d6e6f 73000000 4e260223 00076462 cmnos...N&.#..db 72a80 67000000 0d000323 b8030768 69660000 g......#...hif.. 72a90 00323003 23c00307 68746300 00004381 .20.#...htc...C. 72aa0 0323f803 07776d69 5f737663 5f617069 .#...wmi_svc_api 72ab0 0000004e 480323ac 04077573 62666966 ...NH.#...usbfif 72ac0 6f5f6170 69000000 3b390323 d8040762 o_api...;9.#...b 72ad0 75665f70 6f6f6c00 00003fe2 0323e404 uf_pool...?..#.. 72ae0 07766275 66000000 1d710323 80050776 .vbuf....q.#...v 72af0 64657363 0000001c 53032394 0507616c desc....S.#...al 72b00 6c6f6372 616d0000 00125203 23a80507 locram....R.#... 72b10 646d615f 656e6769 6e650000 004cb903 dma_engine...L.. 72b20 23b40507 646d615f 6c696200 000034c4 #...dma_lib...4. 72b30 0323f405 07686966 5f706369 00000037 .#...hif_pci...7 72b40 240323a8 0600035f 415f6d61 67706965 $.#...._A_magpie 72b50 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 72b60 6c655f74 0000004e 5a1a013b 7a66446d le_t...NZ..;zfDm 72b70 61476574 5061636b 65740000 004b9201 aGetPacket...K.. 72b80 01039201 20029000 008e5cf0 008e5d29 .... .....\...]) 72b90 00004fb8 1b013b71 0000004c 3801521c ..O...;q...L8.R. 72ba0 64657363 0000004b 92001d01 657a6644 desc...K....ezfD 72bb0 6d615265 636c6169 6d506163 6b657400 maReclaimPacket. 72bc0 01010492 01c00002 9000008e 5d2c008e ............],.. 72bd0 5dbe0000 50331b01 65710000 004c3801 ]...P3..eq...L8. 72be0 521b0165 64657363 0000004b 9201531c R..edesc...K..S. 72bf0 746d7044 65736300 00004b92 1e746465 tmpDesc...K..tde 72c00 73630000 004b0902 91401c76 64657363 sc...K...@.vdesc 72c10 0000001c 291c7674 65726d64 65736300 ....).vtermdesc. 72c20 00001c29 001f01b7 7a66446d 61507574 ...)....zfDmaPut 72c30 5061636b 65740001 01049201 c0000290 Packet.......... 72c40 00008e5d c0008e5e 5f1b01b7 71000000 ...]...^_...q... 72c50 4c380152 1b01b764 65736300 00004b92 L8.R...desc...K. 72c60 01531c74 6d704465 73630000 004b921e .S.tmpDesc...K.. 72c70 74646573 63000000 4b090291 401c7664 tdesc...K...@.vd 72c80 65736300 00001c29 1c767465 726d6465 esc....).vtermde 72c90 73630000 001c2900 00000000 4e220002 sc....).....N".. 72ca0 00002983 04012f72 6f6f742f 576f726b ..).../root/Work 72cb0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 72cc0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 72cd0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 72ce0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 72cf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 72d00 726f6d2f 6677642f 2f667764 2e63002f rom/fwd//fwd.c./ 72d10 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 72d20 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 72d30 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 72d40 6d2f6677 64007874 2d786363 20666f72 m/fwd.xt-xcc for 72d50 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig 72d60 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 72d70 32202d4f 32202d67 33202d4f 50543a73 2 -O2 -g3 -OPT:s 72d80 70616365 00010000 019aa702 01030000 pace............ 72d90 00f10400 04696e74 00050404 63686172 .....int....char 72da0 00070105 00000101 05000001 01030000 ................ 72db0 010e0400 06000000 fa010300 00011a04 ................ 72dc0 00077072 696e7466 5f617069 00080000 ..printf_api.... 72dd0 015e085f 7072696e 74665f69 6e697400 .^._printf_init. 72de0 000000f3 02230008 5f707269 6e746600 .....#.._printf. 72df0 00000120 02230400 0473686f 72742075 ... .#...short u 72e00 6e736967 6e656420 696e7400 07020975 nsigned int....u 72e10 696e7431 365f7400 0000015e 046c6f6e int16_t....^.lon 72e20 6720756e 7369676e 65642069 6e740007 g unsigned int.. 72e30 04097569 6e743332 5f740000 00018207 ..uint32_t...... 72e40 75617274 5f666966 6f000800 0001f008 uart_fifo....... 72e50 73746172 745f696e 64657800 00000174 start_index....t 72e60 02230008 656e645f 696e6465 78000000 .#..end_index... 72e70 01740223 02086f76 65727275 6e5f6572 .t.#..overrun_er 72e80 72000000 01970223 04000775 6172745f r......#...uart_ 72e90 61706900 20000002 a9085f75 6172745f api. ....._uart_ 72ea0 696e6974 00000003 00022300 085f7561 init......#.._ua 72eb0 72745f63 6861725f 70757400 00000327 rt_char_put....' 72ec0 02230408 5f756172 745f6368 61725f67 .#.._uart_char_g 72ed0 65740000 00033b02 2308085f 75617274 et....;.#.._uart 72ee0 5f737472 5f6f7574 00000003 4402230c _str_out....D.#. 72ef0 085f7561 72745f74 61736b00 000000f3 ._uart_task..... 72f00 02231008 5f756172 745f7374 61747573 .#.._uart_status 72f10 00000003 00022314 085f7561 72745f63 ......#.._uart_c 72f20 6f6e6669 67000000 034d0223 18085f75 onfig....M.#.._u 72f30 6172745f 6877696e 69740000 00035602 art_hwinit....V. 72f40 231c0003 000001f0 04000775 6172745f #..........uart_ 72f50 626c6b00 10000002 fa086465 6275675f blk.......debug_ 72f60 6d6f6465 00000001 74022300 08626175 mode....t.#..bau 72f70 64000000 01740223 02085f75 61727400 d....t.#.._uart. 72f80 000002a9 02230408 5f747800 000001a5 .....#.._tx..... 72f90 02230800 06000001 97010300 0002fa04 .#.............. 72fa0 0004756e 7369676e 65642063 68617200 ..unsigned char. 72fb0 07010975 696e7438 5f740000 00030702 ...uint8_t...... 72fc0 01030000 03250400 03000003 18040006 .....%.......... 72fd0 00000174 01030000 03350400 02010300 ...t.....5...... 72fe0 00034204 00020103 0000034b 04000201 ..B........K.... 72ff0 03000003 54040003 00000101 04000600 ....T........... 73000 0000fa01 03000003 64040007 44425f43 ........d...DB_C 73010 4f4d4d41 4e445f53 54525543 54000c00 OMMAND_STRUCT... 73020 0003bc08 636d645f 73747200 0000035d ....cmd_str....] 73030 02230008 68656c70 5f737472 00000003 .#..help_str.... 73040 5d022304 08636d64 5f66756e 63000000 ].#..cmd_func... 73050 036a0223 08000764 62675f61 70690008 .j.#...dbg_api.. 73060 000003ef 085f6462 675f696e 69740000 ....._dbg_init.. 73070 0000f302 2300085f 6462675f 7461736b ....#.._dbg_task 73080 00000000 f3022304 000a0400 04756e73 ......#......uns 73090 69676e65 6420696e 74000704 06000003 igned int....... 730a0 ef010300 00040204 000b0b03 00000410 ................ 730b0 04000600 0003ef01 03000004 18040006 ................ 730c0 000000fa 01030000 04250400 076d656d .........%...mem 730d0 5f617069 00140000 0494085f 6d656d5f _api......._mem_ 730e0 696e6974 00000000 f3022300 085f6d65 init......#.._me 730f0 6d736574 00000004 08022304 085f6d65 mset......#.._me 73100 6d637079 00000004 1e022308 085f6d65 mcpy......#.._me 73110 6d6d6f76 65000000 041e0223 0c085f6d mmove......#.._m 73120 656d636d 70000000 042b0223 10000c72 emcmp....+.#...r 73130 65676973 7465725f 64756d70 5f730000 egister_dump_s.. 73140 01030000 04940400 02010300 0004ae04 ................ 73150 00020103 000004b7 04000600 0000fa01 ................ 73160 03000004 c004000d 686f7374 69665f73 ........hostif_s 73170 00040000 051c0e48 49465f55 53420000 .......HIF_USB.. 73180 0e484946 5f504349 4500010e 4849465f .HIF_PCIE...HIF_ 73190 474d4143 00020e48 49465f50 43490003 GMAC...HIF_PCI.. 731a0 0e484946 5f4e554d 00040e48 49465f4e .HIF_NUM...HIF_N 731b0 4f4e4500 05000941 5f484f53 54494600 ONE....A_HOSTIF. 731c0 000004cd 06000005 1c010300 00052a04 ..............*. 731d0 00060000 03180103 00000537 04000600 ...........7.... 731e0 00017401 03000005 44040007 6d697363 ..t.....D...misc 731f0 5f617069 00240000 0634085f 73797374 _api.$...4._syst 73200 656d5f72 65736574 00000000 f3022300 em_reset......#. 73210 085f6d61 635f7265 73657400 000000f3 ._mac_reset..... 73220 02230408 5f617373 6661696c 00000004 .#.._assfail.... 73230 b0022308 085f6d69 73616c69 676e6564 ..#.._misaligned 73240 5f6c6f61 645f6861 6e646c65 72000000 _load_handler... 73250 04b00223 0c085f72 65706f72 745f6661 ...#.._report_fa 73260 696c7572 655f746f 5f686f73 74000000 ilure_to_host... 73270 04b90223 10085f74 61726765 745f6964 ...#.._target_id 73280 5f676574 00000004 c6022314 085f6973 _get......#.._is 73290 5f686f73 745f7072 6573656e 74000000 _host_present... 732a0 05300223 18085f6b 62686974 00000005 .0.#.._kbhit.... 732b0 3d02231c 085f726f 6d5f7665 7273696f =.#.._rom_versio 732c0 6e5f6765 74000000 054a0223 20000600 n_get....J.# ... 732d0 00035d01 03000006 34040006 0000035d ..].....4......] 732e0 01030000 06410400 06000000 fa010300 .....A.......... 732f0 00064e04 00060000 00fa0103 0000065b ..N............[ 73300 04000600 0000fa01 03000006 68040007 ............h... 73310 73747269 6e675f61 70690018 000006ee string_api...... 73320 085f7374 72696e67 5f696e69 74000000 ._string_init... 73330 00f30223 00085f73 74726370 79000000 ...#.._strcpy... 73340 063a0223 04085f73 74726e63 70790000 .:.#.._strncpy.. 73350 00064702 2308085f 7374726c 656e0000 ..G.#.._strlen.. 73360 00065402 230c085f 73747263 6d700000 ..T.#.._strcmp.. 73370 00066102 2310085f 7374726e 636d7000 ..a.#.._strncmp. 73380 0000066e 02231400 0f000003 f2140000 ...n.#.......... 73390 06fb1004 00095f41 5f54494d 45525f53 ......_A_TIMER_S 733a0 50414345 00000006 ee09415f 74696d65 PACE......A_time 733b0 725f7400 000006fb 03000007 0f040002 r_t............. 733c0 01030000 07250400 02010300 00072e04 .....%.......... 733d0 0009415f 48414e44 4c450000 0003f202 ..A_HANDLE...... 733e0 0109415f 54494d45 525f4655 4e430000 ..A_TIMER_FUNC.. 733f0 00074503 00000747 04000201 03000007 ..E....G........ 73400 60040007 74696d65 725f6170 69001400 `...timer_api... 73410 0007df08 5f74696d 65725f69 6e697400 ...._timer_init. 73420 000000f3 02230008 5f74696d 65725f61 .....#.._timer_a 73430 726d0000 00072702 2304085f 74696d65 rm....'.#.._time 73440 725f6469 7361726d 00000007 30022308 r_disarm....0.#. 73450 085f7469 6d65725f 73657466 6e000000 ._timer_setfn... 73460 07620223 0c085f74 696d6572 5f72756e .b.#.._timer_run 73470 00000000 f3022310 0009424f 4f4c4541 ......#...BOOLEA 73480 4e000000 01740600 0007df01 03000007 N....t.......... 73490 ec040006 000007df 01030000 07f90400 ................ 734a0 06000007 df010300 00080604 0007726f ..............ro 734b0 6d705f61 70690010 00000878 085f726f mp_api.....x._ro 734c0 6d705f69 6e697400 000000f3 02230008 mp_init......#.. 734d0 5f726f6d 705f646f 776e6c6f 61640000 _romp_download.. 734e0 0007f202 2304085f 726f6d70 5f696e73 ....#.._romp_ins 734f0 74616c6c 00000007 ff022308 085f726f tall......#.._ro 73500 6d705f64 65636f64 65000000 080c0223 mp_decode......# 73510 0c000772 6f6d5f70 61746368 5f737400 ...rom_patch_st. 73520 10000008 d4086372 63313600 00000174 ......crc16....t 73530 02230008 6c656e00 00000174 02230208 .#..len....t.#.. 73540 6c645f61 64647200 00000197 02230408 ld_addr......#.. 73550 66756e5f 61646472 00000001 97022308 fun_addr......#. 73560 08706675 6e000000 032e0223 0c000765 .pfun......#...e 73570 65705f72 65646972 5f616464 72000400 ep_redir_addr... 73580 00090608 6f666673 65740000 00017402 ....offset....t. 73590 23000873 697a6500 00000174 02230200 #..size....t.#.. 735a0 09415f55 494e5433 32000000 03f20600 .A_UINT32....... 735b0 0003ef01 03000009 14040007 616c6c6f ............allo 735c0 6372616d 5f617069 000c0000 09850863 cram_api.......c 735d0 6d6e6f73 5f616c6c 6f637261 6d5f696e mnos_allocram_in 735e0 69740000 00091a02 23000863 6d6e6f73 it......#..cmnos 735f0 5f616c6c 6f637261 6d000000 091a0223 _allocram......# 73600 0408636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 73610 5f646562 75670000 0000f302 23080002 _debug......#... 73620 01030000 09850400 09415f54 41534b4c .........A_TASKL 73630 45545f46 554e4300 00000987 075f7461 ET_FUNC......_ta 73640 736b6c65 74001000 0009e608 66756e63 sklet.......func 73650 00000009 8e022300 08617267 00000003 ......#..arg.... 73660 ef022304 08737461 74650000 0000fa02 ..#..state...... 73670 2308086e 65787400 000009e6 02230c00 #..next......#.. 73680 03000009 a2040003 000009a2 04000941 ...............A 73690 5f746173 6b6c6574 5f740000 0009a203 _tasklet_t...... 736a0 000009f4 04000201 0300000a 0c040002 ................ 736b0 01030000 0a150400 07746173 6b6c6574 .........tasklet 736c0 5f617069 00140000 0aaa085f 7461736b _api......._task 736d0 6c65745f 696e6974 00000000 f3022300 let_init......#. 736e0 085f7461 736b6c65 745f696e 69745f74 ._tasklet_init_t 736f0 61736b00 00000a0e 02230408 5f746173 ask......#.._tas 73700 6b6c6574 5f646973 61626c65 0000000a klet_disable.... 73710 17022308 085f7461 736b6c65 745f7363 ..#.._tasklet_sc 73720 68656475 6c650000 000a1702 230c085f hedule......#.._ 73730 7461736b 6c65745f 72756e00 000000f3 tasklet_run..... 73740 02231000 02010300 000aaa04 00060000 .#.............. 73750 09060103 00000ab3 04000201 0300000a ................ 73760 c0040007 636c6f63 6b5f6170 69002400 ....clock_api.$. 73770 000ba208 5f636c6f 636b5f69 6e697400 ...._clock_init. 73780 00000aac 02230008 5f636c6f 636b7265 .....#.._clockre 73790 67735f69 6e697400 000000f3 02230408 gs_init......#.. 737a0 5f756172 745f6672 65717565 6e637900 _uart_frequency. 737b0 00000ab9 02230808 5f64656c 61795f75 .....#.._delay_u 737c0 73000000 0ac20223 0c085f77 6c616e5f s......#.._wlan_ 737d0 62616e64 5f736574 0000000a c2022310 band_set......#. 737e0 085f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g 737f0 65740000 000ab902 2314085f 6d696c6c et......#.._mill 73800 69736563 6f6e6473 0000000a b9022318 iseconds......#. 73810 085f7379 73636c6b 5f636861 6e676500 ._sysclk_change. 73820 000000f3 02231c08 5f636c6f 636b5f74 .....#.._clock_t 73830 69636b00 000000f3 02232000 06000001 ick......# ..... 73840 97010300 000ba204 0009415f 6f6c645f ..........A_old_ 73850 696e7472 5f740000 00019706 00000baf intr_t.......... 73860 01030000 0bc10400 02010300 000bce04 ................ 73870 00020103 00000bd7 04000600 00019701 ................ 73880 0300000b e0040009 415f6973 725f7400 ........A_isr_t. 73890 00000be6 02010300 000bfa04 00060000 ................ 738a0 03f20103 00000c03 04000201 0300000c ................ 738b0 10040007 696e7472 5f617069 002c0000 ....intr_api.,.. 738c0 0d32085f 696e7472 5f696e69 74000000 .2._intr_init... 738d0 00f30223 00085f69 6e74725f 696e766f ...#.._intr_invo 738e0 6b655f69 73720000 000ba802 2304085f ke_isr......#.._ 738f0 696e7472 5f646973 61626c65 0000000b intr_disable.... 73900 c7022308 085f696e 74725f72 6573746f ..#.._intr_resto 73910 72650000 000bd002 230c085f 696e7472 re......#.._intr 73920 5f6d6173 6b5f696e 756d0000 000bd902 _mask_inum...... 73930 2310085f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ 73940 696e756d 0000000b d9022314 085f696e inum......#.._in 73950 74725f61 74746163 685f6973 72000000 tr_attach_isr... 73960 0bfc0223 18085f67 65745f69 6e747265 ...#.._get_intre 73970 6e61626c 65000000 0c090223 1c085f73 nable......#.._s 73980 65745f69 6e747265 6e61626c 65000000 et_intrenable... 73990 0c120223 20085f67 65745f69 6e747270 ...# ._get_intrp 739a0 656e6469 6e670000 000c0902 2324085f ending......#$._ 739b0 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr 739c0 6c766c00 000000f3 02232800 11040000 lvl......#(..... 739d0 0d580874 696d656f 75740000 00019702 .X.timeout...... 739e0 23000861 6374696f 6e000000 01970223 #..action......# 739f0 00001208 00000d73 08636d64 00000001 .......s.cmd.... 73a00 97022300 1300000d 32022304 0009545f ..#.....2.#...T_ 73a10 5744545f 434d4400 00000d58 02010300 WDT_CMD....X.... 73a20 000d8204 00140400 000dd80e 454e554d ............ENUM 73a30 5f574454 5f424f4f 5400010e 454e554d _WDT_BOOT...ENUM 73a40 5f434f4c 445f424f 4f540002 0e454e55 _COLD_BOOT...ENU 73a50 4d5f5355 53505f42 4f4f5400 030e454e M_SUSP_BOOT...EN 73a60 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. 73a70 04000954 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. 73a80 000d8b06 00000dd8 01030000 0de90400 ................ 73a90 07776474 5f617069 001c0000 0e8d085f .wdt_api......._ 73aa0 7764745f 696e6974 00000000 f3022300 wdt_init......#. 73ab0 085f7764 745f656e 61626c65 00000000 ._wdt_enable.... 73ac0 f3022304 085f7764 745f6469 7361626c ..#.._wdt_disabl 73ad0 65000000 00f30223 08085f77 64745f73 e......#.._wdt_s 73ae0 65740000 000d8402 230c085f 7764745f et......#.._wdt_ 73af0 7461736b 00000000 f3022310 085f7764 task......#.._wd 73b00 745f7265 73657400 000000f3 02231408 t_reset......#.. 73b10 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. 73b20 000def02 23180014 0400000e f40e5245 ....#.........RE 73b30 545f5355 43434553 5300000e 5245545f T_SUCCESS...RET_ 73b40 4e4f545f 494e4954 00010e52 45545f4e NOT_INIT...RET_N 73b50 4f545f45 58495354 00020e52 45545f45 OT_EXIST...RET_E 73b60 45505f43 4f525255 50540003 0e524554 EP_CORRUPT...RET 73b70 5f454550 5f4f5645 52464c4f 5700040e _EEP_OVERFLOW... 73b80 5245545f 554e4b4e 4f574e00 05000954 RET_UNKNOWN....T 73b90 5f454550 5f524554 0000000e 8d030000 _EEP_RET........ 73ba0 01740400 0600000e f4010300 000f0a04 .t.............. 73bb0 00060000 0ef40103 00000f17 04000765 ...............e 73bc0 65705f61 70690010 00000f80 085f6565 ep_api......._ee 73bd0 705f696e 69740000 0000f302 2300085f p_init......#.._ 73be0 6565705f 72656164 0000000f 10022304 eep_read......#. 73bf0 085f6565 705f7772 69746500 00000f10 ._eep_write..... 73c00 02230808 5f656570 5f69735f 65786973 .#.._eep_is_exis 73c10 74000000 0f1d0223 0c000775 73625f61 t......#...usb_a 73c20 70690070 0000122d 085f7573 625f696e pi.p...-._usb_in 73c30 69740000 0000f302 2300085f 7573625f it......#.._usb_ 73c40 726f6d5f 7461736b 00000000 f3022304 rom_task......#. 73c50 085f7573 625f6677 5f746173 6b000000 ._usb_fw_task... 73c60 00f30223 08085f75 73625f69 6e69745f ...#.._usb_init_ 73c70 70687900 000000f3 02230c08 5f757362 phy......#.._usb 73c80 5f657030 5f736574 75700000 0000f302 _ep0_setup...... 73c90 2310085f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. 73ca0 0000f302 2314085f 7573625f 6570305f ....#.._usb_ep0_ 73cb0 72780000 0000f302 2318085f 7573625f rx......#.._usb_ 73cc0 6765745f 696e7465 72666163 65000000 get_interface... 73cd0 07ff0223 1c085f75 73625f73 65745f69 ...#.._usb_set_i 73ce0 6e746572 66616365 00000007 ff022320 nterface......# 73cf0 085f7573 625f6765 745f636f 6e666967 ._usb_get_config 73d00 75726174 696f6e00 000007ff 02232408 uration......#$. 73d10 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu 73d20 72617469 6f6e0000 0007ff02 2328085f ration......#(._ 73d30 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd 73d40 00000007 ff02232c 085f7573 625f7665 ......#,._usb_ve 73d50 6e646f72 5f636d64 00000000 f3022330 ndor_cmd......#0 73d60 085f7573 625f706f 7765725f 6f666600 ._usb_power_off. 73d70 000000f3 02233408 5f757362 5f726573 .....#4._usb_res 73d80 65745f66 69666f00 000000f3 02233808 et_fifo......#8. 73d90 5f757362 5f67656e 5f776474 00000000 _usb_gen_wdt.... 73da0 f302233c 085f7573 625f6a75 6d705f62 ..#<._usb_jump_b 73db0 6f6f7400 000000f3 02234008 5f757362 oot......#@._usb 73dc0 5f636c72 5f666561 74757265 00000007 _clr_feature.... 73dd0 ff022344 085f7573 625f7365 745f6665 ..#D._usb_set_fe 73de0 61747572 65000000 07ff0223 48085f75 ature......#H._u 73df0 73625f73 65745f61 64647265 73730000 sb_set_address.. 73e00 0007ff02 234c085f 7573625f 6765745f ....#L._usb_get_ 73e10 64657363 72697074 6f720000 0007ff02 descriptor...... 73e20 2350085f 7573625f 6765745f 73746174 #P._usb_get_stat 73e30 75730000 0007ff02 2354085f 7573625f us......#T._usb_ 73e40 73657475 705f6465 73630000 0000f302 setup_desc...... 73e50 2358085f 7573625f 7265675f 6f757400 #X._usb_reg_out. 73e60 000000f3 02235c08 5f757362 5f737461 .....#\._usb_sta 73e70 7475735f 696e0000 0000f302 2360085f tus_in......#`._ 73e80 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. 73e90 000000f3 02236408 5f757362 5f657030 .....#d._usb_ep0 73ea0 5f72785f 64617461 00000000 f3022368 _rx_data......#h 73eb0 085f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. 73ec0 0000f302 236c0007 5f564445 53430024 ....#l.._VDESC.$ 73ed0 000012b9 086e6578 745f6465 73630000 .....next_desc.. 73ee0 0012b902 23000862 75665f61 64647200 ....#..buf_addr. 73ef0 000012cd 02230408 6275665f 73697a65 .....#..buf_size 73f00 00000012 d4022308 08646174 615f6f66 ......#..data_of 73f10 66736574 00000012 d402230a 08646174 fset......#..dat 73f20 615f7369 7a650000 0012d402 230c0863 a_size......#..c 73f30 6f6e7472 6f6c0000 0012d402 230e0868 ontrol......#..h 73f40 775f6465 73635f62 75660000 0012e202 w_desc_buf...... 73f50 23100003 0000122d 04000941 5f55494e #......-...A_UIN 73f60 54380000 00030703 000012c0 04000941 T8.............A 73f70 5f55494e 54313600 0000015e 0f000012 _UINT16....^.... 73f80 c0140000 12ef1013 00030000 122d0400 .............-.. 73f90 09564445 53430000 00122d03 000012f6 .VDESC....-..... 73fa0 04000600 00130101 03000013 08040006 ................ 73fb0 000012cd 01030000 13150400 02010300 ................ 73fc0 00132204 00077664 6573635f 61706900 .."...vdesc_api. 73fd0 14000013 9a085f69 6e697400 00000ac2 ......_init..... 73fe0 02230008 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc 73ff0 00000013 0e022304 085f6765 745f6877 ......#.._get_hw 74000 5f646573 63000000 131b0223 08085f73 _desc......#.._s 74010 7761705f 76646573 63000000 13240223 wap_vdesc....$.# 74020 0c087052 65736572 76656400 000003ef ..pReserved..... 74030 02231000 075f5642 55460020 000013fa .#..._VBUF. .... 74040 08646573 635f6c69 73740000 00130102 .desc_list...... 74050 2300086e 6578745f 62756600 000013fa #..next_buf..... 74060 02230408 6275665f 6c656e67 74680000 .#..buf_length.. 74070 0012d402 23080872 65736572 76656400 ....#..reserved. 74080 00001401 02230a08 63747800 000012e2 .....#..ctx..... 74090 02230c00 03000013 9a04000f 000012c0 .#.............. 740a0 02000014 0e100100 03000013 9a040009 ................ 740b0 56425546 00000013 9a030000 14150400 VBUF............ 740c0 06000014 1f010300 00142604 00060000 ..........&..... 740d0 141f0103 00001433 04000201 03000014 .......3........ 740e0 40040007 76627566 5f617069 00140000 @...vbuf_api.... 740f0 14be085f 696e6974 0000000a c2022300 ..._init......#. 74100 085f616c 6c6f635f 76627566 00000014 ._alloc_vbuf.... 74110 2c022304 085f616c 6c6f635f 76627566 ,.#.._alloc_vbuf 74120 5f776974 685f7369 7a650000 00143902 _with_size....9. 74130 2308085f 66726565 5f766275 66000000 #.._free_vbuf... 74140 14420223 0c087052 65736572 76656400 .B.#..pReserved. 74150 000003ef 02231000 075f5f61 64665f64 .....#...__adf_d 74160 65766963 65000400 0014e008 64756d6d evice.......dumm 74170 79000000 00fa0223 00000300 00090604 y......#........ 74180 00075f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. 74190 0c000015 27086275 66000000 141f0223 ....'.buf......# 741a0 00086473 5f616464 72000000 14e00223 ..ds_addr......# 741b0 04086473 5f6c656e 00000012 d4022308 ..ds_len......#. 741c0 00120c00 00156108 5f5f7661 5f73746b ......a.__va_stk 741d0 00000003 5d022300 085f5f76 615f7265 ....].#..__va_re 741e0 67000000 035d0223 04085f5f 76615f6e g....].#..__va_n 741f0 64780000 0000fa02 23080009 5f5f6164 dx......#...__ad 74200 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 74210 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ 74220 61646472 5f740000 00156109 5f5f6164 addr_t....a.__ad 74230 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 74240 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ 74250 73697a65 5f740000 00159107 5f5f646d size_t......__dm 74260 615f7365 67730008 000015ed 08706164 a_segs.......pad 74270 64720000 00157a02 2300086c 656e0000 dr....z.#..len.. 74280 0015aa02 23040009 5f5f615f 75696e74 ....#...__a_uint 74290 33325f74 00000009 0609615f 75696e74 32_t......a_uint 742a0 33325f74 00000015 ed0f0000 15c10800 32_t............ 742b0 00161c10 00000761 64665f6f 735f646d .......adf_os_dm 742c0 616d6170 5f696e66 6f000c00 00165508 amap_info.....U. 742d0 6e736567 73000000 15ff0223 0008646d nsegs......#..dm 742e0 615f7365 67730000 00160f02 23040009 a_segs......#... 742f0 5f5f615f 75696e74 385f7400 000012c0 __a_uint8_t..... 74300 09615f75 696e7438 5f740000 00165503 .a_uint8_t....U. 74310 00001666 0400075f 5f73675f 73656773 ...f...__sg_segs 74320 00080000 16a70876 61646472 00000016 .......vaddr.... 74330 75022300 086c656e 00000015 ff022304 u.#..len......#. 74340 000f0000 167c2000 0016b410 03000761 .....| ........a 74350 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. 74360 16e7086e 73656773 00000015 ff022300 ...nsegs......#. 74370 0873675f 73656773 00000016 a7022304 .sg_segs......#. 74380 00121000 00173008 76656e64 6f720000 ......0.vendor.. 74390 0015ff02 23000864 65766963 65000000 ....#..device... 743a0 15ff0223 04087375 6276656e 646f7200 ...#..subvendor. 743b0 000015ff 02230808 73756264 65766963 .....#..subdevic 743c0 65000000 15ff0223 0c00046c 6f6e6720 e......#...long 743d0 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 743e0 74000708 09415f55 494e5436 34000000 t....A_UINT64... 743f0 1730095f 5f615f75 696e7436 345f7400 .0.__a_uint64_t. 74400 0000174a 09615f75 696e7436 345f7400 ...J.a_uint64_t. 74410 00001758 14040000 17b60e41 44465f4f ...X.......ADF_O 74420 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ 74430 4d454d00 000e4144 465f4f53 5f524553 MEM...ADF_OS_RES 74440 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... 74450 09616466 5f6f735f 7265736f 75726365 .adf_os_resource 74460 5f747970 655f7400 0000177a 12180000 _type_t....z.... 74470 18000873 74617274 00000017 6a022300 ...start....j.#. 74480 08656e64 00000017 6a022308 08747970 .end....j.#..typ 74490 65000000 17b60223 10000961 64665f6f e......#...adf_o 744a0 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. 744b0 0016e703 00001800 04001104 0000183f ...............? 744c0 08706369 00000018 19022300 08726177 .pci......#..raw 744d0 00000003 ef022300 00111000 00185e08 ......#.......^. 744e0 70636900 00001800 02230008 72617700 pci......#..raw. 744f0 000003ef 02230000 09616466 5f647276 .....#...adf_drv 74500 5f68616e 646c655f 74000000 03ef0961 _handle_t......a 74510 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 74520 00000017 d2030000 18740400 09616466 .........t...adf 74530 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ 74540 74000000 183f0300 00189204 00030000 t....?.......... 74550 14be0400 095f5f61 64665f6f 735f6465 .....__adf_os_de 74560 76696365 5f740000 0018b309 6164665f vice_t......adf_ 74570 6f735f64 65766963 655f7400 000018ba os_device_t..... 74580 06000018 5e010300 0018e604 00020103 ....^........... 74590 000018f3 04000961 64665f6f 735f706d .......adf_os_pm 745a0 5f740000 0003ef02 01030000 190d0400 _t.............. 745b0 14040000 194d0e41 44465f4f 535f4255 .....M.ADF_OS_BU 745c0 535f5459 50455f50 43490001 0e414446 S_TYPE_PCI...ADF 745d0 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN 745e0 45524943 00020009 6164665f 6f735f62 ERIC....adf_os_b 745f0 75735f74 7970655f 74000000 19160961 us_type_t......a 74600 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da 74610 74615f74 00000018 20030000 03070400 ta_t.... ....... 74620 075f6164 665f6472 765f696e 666f0020 ._adf_drv_info. 74630 00001a2a 08647276 5f617474 61636800 ...*.drv_attach. 74640 000018ec 02230008 6472765f 64657461 .....#..drv_deta 74650 63680000 0018f502 23040864 72765f73 ch......#..drv_s 74660 75737065 6e640000 00190f02 23080864 uspend......#..d 74670 72765f72 6573756d 65000000 18f50223 rv_resume......# 74680 0c086275 735f7479 70650000 00194d02 ..bus_type....M. 74690 23100862 75735f64 61746100 00001964 #..bus_data....d 746a0 02231408 6d6f645f 6e616d65 00000019 .#..mod_name.... 746b0 7f022318 0869666e 616d6500 0000197f ..#..ifname..... 746c0 02231c00 09616466 5f6f735f 68616e64 .#...adf_os_hand 746d0 6c655f74 00000003 ef030000 16550400 le_t.........U.. 746e0 02010201 095f5f61 64665f6f 735f7369 .....__adf_os_si 746f0 7a655f74 00000003 f2140400 001a790e ze_t..........y. 74700 415f4641 4c534500 000e415f 54525545 A_FALSE...A_TRUE 74710 00010009 615f626f 6f6c5f74 0000001a ....a_bool_t.... 74720 5f030000 14e70400 095f5f61 64665f6f _........__adf_o 74730 735f646d 615f6d61 705f7400 00001a87 s_dma_map_t..... 74740 02010d61 64665f6f 735f6361 6368655f ...adf_os_cache_ 74750 73796e63 00040000 1b110e41 44465f53 sync.......ADF_S 74760 594e435f 50524552 45414400 000e4144 YNC_PREREAD...AD 74770 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. 74780 020e4144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR 74790 45414400 010e4144 465f5359 4e435f50 EAD...ADF_SYNC_P 747a0 4f535457 52495445 00030009 6164665f OSTWRITE....adf_ 747b0 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. 747c0 00001aa8 02010961 64665f6f 735f7369 .......adf_os_si 747d0 7a655f74 0000001a 4a060000 1b2c0109 ze_t....J....,.. 747e0 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t 747f0 0000001a 8e030000 1b450400 06000003 .........E...... 74800 ef010300 001a8e04 00060000 03ef0102 ................ 74810 01060000 157a0102 01047368 6f727420 .....z....short 74820 696e7400 05020941 5f494e54 31360000 int....A_INT16.. 74830 001b7f09 5f5f615f 696e7431 365f7400 ....__a_int16_t. 74840 00001b8c 09615f69 6e743136 5f740000 .....a_int16_t.. 74850 001b9904 7369676e 65642063 68617200 ....signed char. 74860 05010941 5f494e54 38000000 1bb9095f ...A_INT8......_ 74870 5f615f69 6e74385f 74000000 1bc80961 _a_int8_t......a 74880 5f696e74 385f7400 00001bd4 120c0000 _int8_t......... 74890 1c4b0873 7570706f 72746564 00000015 .K.supported.... 748a0 ff022300 08616476 65727469 7a656400 ..#..advertized. 748b0 000015ff 02230408 73706565 64000000 .....#..speed... 748c0 1baa0223 08086475 706c6578 0000001b ...#..duplex.... 748d0 e402230a 08617574 6f6e6567 00000016 ..#..autoneg.... 748e0 6602230b 000f0000 16660600 001c5810 f.#......f....X. 748f0 05000761 64665f6e 65745f65 74686164 ...adf_net_ethad 74900 64720006 00001c7c 08616464 72000000 dr.....|.addr... 74910 1c4b0223 0000095f 5f615f75 696e7431 .K.#...__a_uint1 74920 365f7400 000012d4 09615f75 696e7431 6_t......a_uint1 74930 365f7400 00001c7c 120e0000 1ce00865 6_t....|.......e 74940 74686572 5f64686f 73740000 001c4b02 ther_dhost....K. 74950 23000865 74686572 5f73686f 73740000 #..ether_shost.. 74960 001c4b02 23060865 74686572 5f747970 ..K.#..ether_typ 74970 65000000 1c8e0223 0c001214 00001da1 e......#........ 74980 1569705f 76657273 696f6e00 00001666 .ip_version....f 74990 01000402 23001569 705f686c 00000016 ....#..ip_hl.... 749a0 66010404 02230008 69705f74 6f730000 f....#..ip_tos.. 749b0 00166602 23010869 705f6c65 6e000000 ..f.#..ip_len... 749c0 1c8e0223 02086970 5f696400 00001c8e ...#..ip_id..... 749d0 02230408 69705f66 7261675f 6f666600 .#..ip_frag_off. 749e0 00001c8e 02230608 69705f74 746c0000 .....#..ip_ttl.. 749f0 00166602 23080869 705f7072 6f746f00 ..f.#..ip_proto. 74a00 00001666 02230908 69705f63 6865636b ...f.#..ip_check 74a10 0000001c 8e02230a 0869705f 73616464 ......#..ip_sadd 74a20 72000000 15ff0223 0c086970 5f646164 r......#..ip_dad 74a30 64720000 0015ff02 23100007 6164665f dr......#...adf_ 74a40 6e65745f 766c616e 68647200 0400001d net_vlanhdr..... 74a50 f3087470 69640000 001c8e02 23001570 ..tpid......#..p 74a60 72696f00 00001666 01000302 23021563 rio....f....#..c 74a70 66690000 00166601 03010223 02157669 fi....f....#..vi 74a80 64000000 1c8e0204 0c022302 00076164 d.........#...ad 74a90 665f6e65 745f7669 64000200 001e2415 f_net_vid.....$. 74aa0 72657300 00001666 01000402 23001576 res....f....#..v 74ab0 616c0000 001c8e02 040c0223 0000120c al.........#.... 74ac0 00001e60 0872785f 62756673 697a6500 ...`.rx_bufsize. 74ad0 000015ff 02230008 72785f6e 64657363 .....#..rx_ndesc 74ae0 00000015 ff022304 0874785f 6e646573 ......#..tx_ndes 74af0 63000000 15ff0223 08001208 00001e86 c......#........ 74b00 08706f6c 6c656400 00001a79 02230008 .polled....y.#.. 74b10 706f6c6c 5f777400 000015ff 02230400 poll_wt......#.. 74b20 0f000016 66400000 1e93103f 00124600 ....f@.....?..F. 74b30 001ebb08 69665f6e 616d6500 00001e86 ....if_name..... 74b40 02230008 6465765f 61646472 0000001c .#..dev_addr.... 74b50 4b022340 00140400 001ef20e 4144465f K.#@........ADF_ 74b60 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI 74b70 5400000e 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M 74b80 41534b5f 36344249 54000100 09616466 ASK_64BIT....adf 74b90 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. 74ba0 001ebb07 6164665f 646d615f 696e666f ....adf_dma_info 74bb0 00080000 1f3f0864 6d615f6d 61736b00 .....?.dma_mask. 74bc0 00001ef2 02230008 73675f6e 73656773 .....#..sg_nsegs 74bd0 00000015 ff022304 00140400 001f950e ......#......... 74be0 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO 74bf0 4e450000 0e414446 5f4e4554 5f434b53 NE...ADF_NET_CKS 74c00 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. 74c10 010e4144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ 74c20 5443505f 5544505f 49507636 00020009 TCP_UDP_IPv6.... 74c30 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty 74c40 70655f74 0000001f 3f120800 001fd808 pe_t....?....... 74c50 74785f63 6b73756d 0000001f 95022300 tx_cksum......#. 74c60 0872785f 636b7375 6d000000 1f950223 .rx_cksum......# 74c70 04000961 64665f6e 65745f63 6b73756d ...adf_net_cksum 74c80 5f696e66 6f5f7400 00001faf 14040000 _info_t......... 74c90 20310e41 44465f4e 45545f54 534f5f4e 1.ADF_NET_TSO_N 74ca0 4f4e4500 000e4144 465f4e45 545f5453 ONE...ADF_NET_TS 74cb0 4f5f4950 56340001 0e414446 5f4e4554 O_IPV4...ADF_NET 74cc0 5f54534f 5f414c4c 00020009 6164665f _TSO_ALL....adf_ 74cd0 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. 74ce0 001ff212 10000020 8508636b 73756d5f ....... ..cksum_ 74cf0 63617000 00001fd8 02230008 74736f00 cap......#..tso. 74d00 00002031 02230808 766c616e 5f737570 .. 1.#..vlan_sup 74d10 706f7274 65640000 00166602 230c0012 ported....f.#... 74d20 20000021 1e087478 5f706163 6b657473 ..!..tx_packets 74d30 00000015 ff022300 0872785f 7061636b ......#..rx_pack 74d40 65747300 000015ff 02230408 74785f62 ets......#..tx_b 74d50 79746573 00000015 ff022308 0872785f ytes......#..rx_ 74d60 62797465 73000000 15ff0223 0c087478 bytes......#..tx 74d70 5f64726f 70706564 00000015 ff022310 _dropped......#. 74d80 0872785f 64726f70 70656400 000015ff .rx_dropped..... 74d90 02231408 72785f65 72726f72 73000000 .#..rx_errors... 74da0 15ff0223 18087478 5f657272 6f727300 ...#..tx_errors. 74db0 000015ff 02231c00 09616466 5f6e6574 .....#...adf_net 74dc0 5f657468 61646472 5f740000 001c5816 _ethaddr_t....X. 74dd0 0000211e 03000000 2143107f 00176164 ..!.....!C....ad 74de0 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 74df0 00030400 00217a08 6e656c65 6d000000 .....!z.nelem... 74e00 15ff0223 00086d63 61737400 00002135 ...#..mcast...!5 74e10 02230400 09616466 5f6e6574 5f636d64 .#...adf_net_cmd 74e20 5f6c696e 6b5f696e 666f5f74 0000001b _link_info_t.... 74e30 f2096164 665f6e65 745f636d 645f706f ..adf_net_cmd_po 74e40 6c6c5f69 6e666f5f 74000000 1e600961 ll_info_t....`.a 74e50 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum 74e60 5f696e66 6f5f7400 00001fd8 09616466 _info_t......adf 74e70 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in 74e80 666f5f74 0000001e 24096164 665f6e65 fo_t....$.adf_ne 74e90 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t 74ea0 0000001f 09096164 665f6e65 745f636d ......adf_net_cm 74eb0 645f7669 645f7400 00001c8e 09616466 d_vid_t......adf 74ec0 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload 74ed0 5f636170 5f740000 00204909 6164665f _cap_t... I.adf_ 74ee0 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. 74ef0 00002085 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd 74f00 5f6d6361 6464725f 74000000 21430d61 _mcaddr_t...!C.a 74f10 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast 74f20 5f636170 00040000 22bc0e41 44465f4e _cap...."..ADF_N 74f30 45545f4d 43415354 5f535550 00000e41 ET_MCAST_SUP...A 74f40 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT 74f50 53555000 01000961 64665f6e 65745f63 SUP....adf_net_c 74f60 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. 74f70 00227418 03040000 238e086c 696e6b5f ."t.....#..link_ 74f80 696e666f 00000021 7a022300 08706f6c info...!z.#..pol 74f90 6c5f696e 666f0000 00219702 23000863 l_info...!..#..c 74fa0 6b73756d 5f696e66 6f000000 21b40223 ksum_info...!..# 74fb0 00087269 6e675f69 6e666f00 000021d2 ..ring_info...!. 74fc0 02230008 646d615f 696e666f 00000021 .#..dma_info...! 74fd0 ef022300 08766964 00000022 0b022300 ..#..vid..."..#. 74fe0 086f6666 6c6f6164 5f636170 00000022 .offload_cap..." 74ff0 22022300 08737461 74730000 00224102 ".#..stats..."A. 75000 2300086d 63617374 5f696e66 6f000000 #..mcast_info... 75010 225a0223 00086d63 6173745f 63617000 "Z.#..mcast_cap. 75020 000022bc 02230000 14040000 23e50e41 .."..#......#..A 75030 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 75040 5f4e4f4e 4500000e 4144465f 4e425546 _NONE...ADF_NBUF 75050 5f52585f 434b5355 4d5f4857 00010e41 _RX_CKSUM_HW...A 75060 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 75070 5f554e4e 45434553 53415259 00020009 _UNNECESSARY.... 75080 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu 75090 6d5f7479 70655f74 00000023 8e120800 m_type_t...#.... 750a0 00242508 72657375 6c740000 0023e502 .$%.result...#.. 750b0 23000876 616c0000 0015ff02 23040012 #..val......#... 750c0 08000024 55087479 70650000 00203102 ...$U.type... 1. 750d0 2300086d 73730000 001c8e02 23040868 #..mss......#..h 750e0 64725f6f 66660000 00166602 23060007 dr_off....f.#... 750f0 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 75100 000c0000 24940868 65616400 0000141f ....$..head..... 75110 02230008 7461696c 00000014 1f022304 .#..tail......#. 75120 08716c65 6e000000 15ff0223 0800095f .qlen......#..._ 75130 5f616466 5f6e6275 665f7400 0000141f _adf_nbuf_t..... 75140 03000016 75040003 000015ff 04000201 ....u........... 75150 06000013 01010600 0015ff01 06000016 ................ 75160 75010600 00167501 03000012 e2040009 u.....u......... 75170 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 75180 5f740000 00245509 5f5f6164 665f6e62 _t...$U.__adf_nb 75190 75665f71 75657565 5f740000 0024d503 uf_queue_t...$.. 751a0 000024ed 04000600 00249401 06000024 ..$......$.....$ 751b0 94011404 0000260d 0e415f53 54415455 ......&..A_STATU 751c0 535f4f4b 00000e41 5f535441 5455535f S_OK...A_STATUS_ 751d0 4641494c 45440001 0e415f53 54415455 FAILED...A_STATU 751e0 535f454e 4f454e54 00020e41 5f535441 S_ENOENT...A_STA 751f0 5455535f 454e4f4d 454d0003 0e415f53 TUS_ENOMEM...A_S 75200 54415455 535f4549 4e56414c 00040e41 TATUS_EINVAL...A 75210 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR 75220 45535300 050e415f 53544154 55535f45 ESS...A_STATUS_E 75230 4e4f5453 55505000 060e415f 53544154 NOTSUPP...A_STAT 75240 55535f45 42555359 00070e41 5f535441 US_EBUSY...A_STA 75250 5455535f 45324249 4700080e 415f5354 TUS_E2BIG...A_ST 75260 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA 75270 494c0009 0e415f53 54415455 535f454e IL...A_STATUS_EN 75280 58494f00 0a0e415f 53544154 55535f45 XIO...A_STATUS_E 75290 4641554c 54000b0e 415f5354 41545553 FAULT...A_STATUS 752a0 5f45494f 000c0009 615f7374 61747573 _EIO....a_status 752b0 5f740000 00251806 0000260d 01060000 _t...%....&..... 752c0 00fa0102 01096164 665f6e62 75665f74 ......adf_nbuf_t 752d0 00000024 94140400 0026720e 4144465f ...$.....&r.ADF_ 752e0 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE 752f0 00000e41 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR 75300 4f4d5f44 45564943 45000100 09616466 OM_DEVICE....adf 75310 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... 75320 263b0600 00260d01 02010961 64665f6f &;...&.....adf_o 75330 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. 75340 0000161c 03000026 90040002 01020106 .......&........ 75350 0000262b 01060000 24940102 01020106 ..&+....$....... 75360 0000262b 01060000 24940106 0000262b ..&+....$.....&+ 75370 01060000 24940106 0000262b 01020102 ....$.....&+.... 75380 01060000 15ff0106 00001675 01020102 ...........u.... 75390 01060000 1b2c0106 00001a79 01060000 .....,.....y.... 753a0 1a790109 6164665f 6f735f73 676c6973 .y..adf_os_sglis 753b0 745f7400 000016b4 03000027 09040002 t_t........'.... 753c0 01020102 01060000 16750109 6164665f .........u..adf_ 753d0 6e627566 5f717565 75655f74 00000024 nbuf_queue_t...$ 753e0 ed030000 27310400 02010300 0024d504 ....'1.......$.. 753f0 00020102 01020106 0000262b 01060000 ..........&+.... 75400 24940106 000015ff 01060000 15ff0106 $............... 75410 00001a79 01060000 1a790106 00001f95 ...y.....y...... 75420 01060000 15ff0109 6164665f 6e627566 ........adf_nbuf 75430 5f72785f 636b7375 6d5f7400 00002403 _rx_cksum_t...$. 75440 03000027 8d040002 01020109 6164665f ...'........adf_ 75450 6e627566 5f74736f 5f740000 00242503 nbuf_tso_t...$%. 75460 000027b1 04000201 02010961 64665f6e ..'........adf_n 75470 65745f68 616e646c 655f7400 000003ef et_handle_t..... 75480 09616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr 75490 5f740000 001da103 000027e6 04000600 _t........'..... 754a0 00260d01 06000026 0d010201 0201075f .&.....&......._ 754b0 4849465f 434f4e46 49470004 00002835 HIF_CONFIG....(5 754c0 0864756d 6d790000 0000fa02 23000002 .dummy......#... 754d0 01030000 28350400 02010300 00283e04 ....(5.......(>. 754e0 00075f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. 754f0 0c000028 93087365 6e645f62 75665f64 ...(..send_buf_d 75500 6f6e6500 00002837 02230008 72656376 one...(7.#..recv 75510 5f627566 00000028 40022304 08636f6e _buf...(@.#..con 75520 74657874 00000003 ef022308 00096869 text......#...hi 75530 665f6861 6e646c65 5f740000 0003ef09 f_handle_t...... 75540 4849465f 434f4e46 49470000 00281403 HIF_CONFIG...(.. 75550 000028a5 04000600 00289301 03000028 ..(......(.....( 75560 bc040002 01030000 28c90400 09484946 ........(....HIF 75570 5f43414c 4c424143 4b000000 28470300 _CALLBACK...(G.. 75580 0028d204 00020103 000028eb 04000600 .(........(..... 75590 0000fa01 03000028 f4040002 01030000 .......(........ 755a0 29010400 06000000 fa010300 00290a04 )............).. 755b0 00020103 00002917 04000600 0000fa01 ......)......... 755c0 03000029 20040002 01030000 292d0400 ...) .......)-.. 755d0 07686966 5f617069 00380000 2a86085f .hif_api.8..*.._ 755e0 696e6974 00000028 c2022300 085f7368 init...(..#.._sh 755f0 7574646f 776e0000 0028cb02 2304085f utdown...(..#.._ 75600 72656769 73746572 5f63616c 6c626163 register_callbac 75610 6b000000 28ed0223 08085f67 65745f74 k...(..#.._get_t 75620 6f74616c 5f637265 6469745f 636f756e otal_credit_coun 75630 74000000 28fa0223 0c085f73 74617274 t...(..#.._start 75640 00000028 cb022310 085f636f 6e666967 ...(..#.._config 75650 5f706970 65000000 29030223 14085f73 _pipe...)..#.._s 75660 656e645f 62756666 65720000 00291002 end_buffer...).. 75670 2318085f 72657475 726e5f72 6563765f #.._return_recv_ 75680 62756600 00002919 02231c08 5f69735f buf...)..#.._is_ 75690 70697065 5f737570 706f7274 65640000 pipe_supported.. 756a0 00292602 2320085f 6765745f 6d61785f .)&.# ._get_max_ 756b0 6d73675f 6c656e00 00002926 02232408 msg_len...)&.#$. 756c0 5f676574 5f726573 65727665 645f6865 _get_reserved_he 756d0 6164726f 6f6d0000 0028fa02 2328085f adroom...(..#(._ 756e0 6973725f 68616e64 6c657200 000028cb isr_handler...(. 756f0 02232c08 5f676574 5f646566 61756c74 .#,._get_default 75700 5f706970 65000000 292f0223 30087052 _pipe...)/.#0.pR 75710 65736572 76656400 000003ef 02233400 eserved......#4. 75720 0d646d61 5f656e67 696e6500 0400002b .dma_engine....+ 75730 0f0e444d 415f454e 47494e45 5f525830 ..DMA_ENGINE_RX0 75740 00000e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 75750 3100010e 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R 75760 58320002 0e444d41 5f454e47 494e455f X2...DMA_ENGINE_ 75770 52583300 030e444d 415f454e 47494e45 RX3...DMA_ENGINE 75780 5f545830 00040e44 4d415f45 4e47494e _TX0...DMA_ENGIN 75790 455f5458 3100050e 444d415f 454e4749 E_TX1...DMA_ENGI 757a0 4e455f4d 41580006 0009646d 615f656e NE_MAX....dma_en 757b0 67696e65 5f740000 002a860d 646d615f gine_t...*..dma_ 757c0 69667479 70650004 00002b5c 0e444d41 iftype....+\.DMA 757d0 5f49465f 474d4143 00000e44 4d415f49 _IF_GMAC...DMA_I 757e0 465f5043 4900010e 444d415f 49465f50 F_PCI...DMA_IF_P 757f0 43494500 02000964 6d615f69 66747970 CIE....dma_iftyp 75800 655f7400 00002b21 06000012 d4010300 e_t...+!........ 75810 002b6e04 00020103 00002b7b 04000201 .+n.......+{.... 75820 0300002b 84040006 00000906 01030000 ...+............ 75830 2b8d0400 06000012 d4010300 002b9a04 +............+.. 75840 00060000 12d40103 00002ba7 04000600 ..........+..... 75850 00141f01 0300002b b4040002 01030000 .......+........ 75860 2bc10400 07646d61 5f6c6962 5f617069 +....dma_lib_api 75870 00340000 2cc80874 785f696e 69740000 .4..,..tx_init.. 75880 002b7402 23000874 785f7374 61727400 .+t.#..tx_start. 75890 00002b7d 02230408 72785f69 6e697400 ..+}.#..rx_init. 758a0 00002b74 02230808 72785f63 6f6e6669 ..+t.#..rx_confi 758b0 67000000 2b860223 0c087278 5f737461 g...+..#..rx_sta 758c0 72740000 002b7d02 23100869 6e74725f rt...+}.#..intr_ 758d0 73746174 75730000 002b9302 23140868 status...+..#..h 758e0 6172645f 786d6974 0000002b a0022318 ard_xmit...+..#. 758f0 08666c75 73685f78 6d697400 00002b7d .flush_xmit...+} 75900 02231c08 786d6974 5f646f6e 65000000 .#..xmit_done... 75910 2bad0223 20087265 61705f78 6d697474 +..# .reap_xmitt 75920 65640000 002bba02 23240872 6561705f ed...+..#$.reap_ 75930 72656376 0000002b ba022328 08726574 recv...+..#(.ret 75940 75726e5f 72656376 0000002b c302232c urn_recv...+..#, 75950 08726563 765f706b 74000000 2bad0223 .recv_pkt...+..# 75960 3000075f 5f706369 5f736f66 7463000c 0..__pci_softc.. 75970 00002ce6 08737700 000028d2 02230000 ..,..sw...(..#.. 75980 095f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. 75990 002cc803 00002ce6 04000201 0300002d .,....,........- 759a0 00040006 000012c0 01030000 2d090400 ............-... 759b0 0d686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx 759c0 00040000 2d690e48 49465f50 43495f50 ....-i.HIF_PCI_P 759d0 4950455f 54583000 000e4849 465f5043 IPE_TX0...HIF_PC 759e0 495f5049 50455f54 58310001 0e484946 I_PIPE_TX1...HIF 759f0 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX 75a00 00020009 6869665f 7063695f 70697065 ....hif_pci_pipe 75a10 5f74785f 74000000 2d160600 002b0f01 _tx_t...-....+.. 75a20 0300002d 8004000d 6869665f 7063695f ...-....hif_pci_ 75a30 70697065 5f727800 0400002e 060e4849 pipe_rx.......HI 75a40 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. 75a50 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 75a60 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 75a70 5f525832 00020e48 49465f50 43495f50 _RX2...HIF_PCI_P 75a80 4950455f 52583300 030e4849 465f5043 IPE_RX3...HIF_PC 75a90 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... 75aa0 09686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 75ab0 5f740000 002d8d06 00002b0f 01030000 _t...-....+..... 75ac0 2e1d0400 07686966 5f706369 5f617069 .....hif_pci_api 75ad0 00240000 2efb0870 63695f62 6f6f745f .$.....pci_boot_ 75ae0 696e6974 00000000 f3022300 08706369 init......#..pci 75af0 5f696e69 74000000 28c20223 04087063 _init...(..#..pc 75b00 695f7265 73657400 000000f3 02230808 i_reset......#.. 75b10 7063695f 656e6162 6c650000 0000f302 pci_enable...... 75b20 230c0870 63695f72 6561705f 786d6974 #..pci_reap_xmit 75b30 74656400 00002d02 02231008 7063695f ted...-..#..pci_ 75b40 72656170 5f726563 76000000 2d020223 reap_recv...-..# 75b50 14087063 695f6765 745f7069 70650000 ..pci_get_pipe.. 75b60 002d0f02 23180870 63695f67 65745f74 .-..#..pci_get_t 75b70 785f656e 67000000 2d860223 1c087063 x_eng...-..#..pc 75b80 695f6765 745f7278 5f656e67 0000002e i_get_rx_eng.... 75b90 23022320 0007676d 61635f61 70690004 #.# ..gmac_api.. 75ba0 00002f22 08676d61 635f626f 6f745f69 ../".gmac_boot_i 75bb0 6e697400 000000f3 02230000 0f000003 nit......#...... 75bc0 07060000 2f2f1005 00075f5f 65746868 ....//....__ethh 75bd0 6472000e 00002f65 08647374 0000002f dr..../e.dst.../ 75be0 22022300 08737263 0000002f 22022306 ".#..src.../".#. 75bf0 08657479 70650000 0012d402 230c0007 .etype......#... 75c00 5f5f6174 68686472 00040000 2fb31572 __athhdr..../..r 75c10 65730000 0012c001 00020223 00157072 es.........#..pr 75c20 6f746f00 000012c0 01020602 23000872 oto.........#..r 75c30 65735f6c 6f000000 12c00223 01087265 es_lo......#..re 75c40 735f6869 00000012 d4022302 00075f5f s_hi......#...__ 75c50 676d6163 5f686472 00140000 2fef0865 gmac_hdr..../..e 75c60 74680000 002f2f02 23000861 74680000 th...//.#..ath.. 75c70 002f6502 230e0861 6c69676e 5f706164 ./e.#..align_pad 75c80 00000012 d4022312 00095f5f 676d6163 ......#...__gmac 75c90 5f686472 5f740000 002fb307 5f5f676d _hdr_t.../..__gm 75ca0 61635f73 6f667463 00240000 30390868 ac_softc.$..09.h 75cb0 64720000 002fef02 23000867 72616e00 dr.../..#..gran. 75cc0 000012d4 02231408 73770000 0028d202 .....#..sw...(.. 75cd0 2318000c 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag 75ce0 655f6368 65636b00 00010300 00303904 e_check......09. 75cf0 00060000 00fa0103 00003057 04000300 ..........0W.... 75d00 0003f204 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i 75d10 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 75d20 0001b800 0031a708 68616c5f 6c696e6b .....1..hal_link 75d30 6167655f 63686563 6b000000 305d0223 age_check...0].# 75d40 00087374 6172745f 62737300 00003064 ..start_bss...0d 75d50 02230408 6170705f 73746172 74000000 .#..app_start... 75d60 00f30223 08086d65 6d000000 04320223 ...#..mem....2.# 75d70 0c086d69 73630000 00055102 23200870 ..misc....Q.# .p 75d80 72696e74 66000000 01270223 44087561 rintf....'.#D.ua 75d90 72740000 0001f002 234c0867 6d616300 rt......#L.gmac. 75da0 00002efb 02236c08 75736200 00000f80 .....#l.usb..... 75db0 02237008 636c6f63 6b000000 0ac90323 .#p.clock......# 75dc0 e0010874 696d6572 00000007 69032384 ...timer....i.#. 75dd0 0208696e 74720000 000c1903 23980208 ..intr......#... 75de0 616c6c6f 6372616d 00000009 210323c4 allocram....!.#. 75df0 0208726f 6d700000 00081303 23d00208 ..romp......#... 75e00 7764745f 74696d65 72000000 0df60323 wdt_timer......# 75e10 e0020865 65700000 000f2403 23fc0208 ...eep....$.#... 75e20 73747269 6e670000 00067503 238c0308 string....u.#... 75e30 7461736b 6c657400 00000a1e 0323a403 tasklet......#.. 75e40 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF 75e50 49470010 0000321a 08676574 5f636f6d IG....2..get_com 75e60 6d616e64 5f627566 00000014 2c022300 mand_buf....,.#. 75e70 08726563 765f636f 6d6d616e 64000000 .recv_command... 75e80 14420223 04086765 745f6576 656e745f .B.#..get_event_ 75e90 62756600 0000142c 02230808 73656e64 buf....,.#..send 75ea0 5f657665 6e745f64 6f6e6500 00001442 _event_done....B 75eb0 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO 75ec0 4e464947 00000031 a7030000 321a0400 NFIG...1....2... 75ed0 02010300 00323604 00077573 62666966 .....26...usbfif 75ee0 6f5f6170 69000c00 00328c08 5f696e69 o_api....2.._ini 75ef0 74000000 32380223 00085f65 6e61626c t...28.#.._enabl 75f00 655f6576 656e745f 69737200 000000f3 e_event_isr..... 75f10 02230408 70526573 65727665 64000000 .#..pReserved... 75f20 03ef0223 08000f00 00166602 00003299 ...#......f...2. 75f30 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H 75f40 44520008 0000330b 08456e64 706f696e DR....3..Endpoin 75f50 74494400 00001666 02230008 466c6167 tID....f.#..Flag 75f60 73000000 16660223 01085061 796c6f61 s....f.#..Payloa 75f70 644c656e 0000001c 8e022302 08436f6e dLen......#..Con 75f80 74726f6c 42797465 73000000 328c0223 trolBytes...2..# 75f90 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... 75fa0 8e022306 00120200 00332408 4d657373 ..#......3$.Mess 75fb0 61676549 44000000 1c8e0223 00001208 ageID......#.... 75fc0 00003387 084d6573 73616765 49440000 ..3..MessageID.. 75fd0 001c8e02 23000843 72656469 74436f75 ....#..CreditCou 75fe0 6e740000 001c8e02 23020843 72656469 nt......#..Credi 75ff0 7453697a 65000000 1c8e0223 04084d61 tSize......#..Ma 76000 78456e64 706f696e 74730000 00166602 xEndpoints....f. 76010 2306085f 50616431 00000016 66022307 #.._Pad1....f.#. 76020 00120a00 00341e08 4d657373 61676549 .....4..MessageI 76030 44000000 1c8e0223 00085365 72766963 D......#..Servic 76040 65494400 00001c8e 02230208 436f6e6e eID......#..Conn 76050 65637469 6f6e466c 61677300 00001c8e ectionFlags..... 76060 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe 76070 49440000 00166602 23060855 704c696e ID....f.#..UpLin 76080 6b506970 65494400 00001666 02230708 kPipeID....f.#.. 76090 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 760a0 68000000 16660223 08085f50 61643100 h....f.#.._Pad1. 760b0 00001666 02230900 120a0000 34a6084d ...f.#......4..M 760c0 65737361 67654944 0000001c 8e022300 essageID......#. 760d0 08536572 76696365 49440000 001c8e02 .ServiceID...... 760e0 23020853 74617475 73000000 16660223 #..Status....f.# 760f0 0408456e 64706f69 6e744944 00000016 ..EndpointID.... 76100 66022305 084d6178 4d736753 697a6500 f.#..MaxMsgSize. 76110 00001c8e 02230608 53657276 6963654d .....#..ServiceM 76120 6574614c 656e6774 68000000 16660223 etaLength....f.# 76130 08085f50 61643100 00001666 02230900 .._Pad1....f.#.. 76140 12020000 34bf084d 65737361 67654944 ....4..MessageID 76150 0000001c 8e022300 00120400 0034fb08 ......#......4.. 76160 4d657373 61676549 44000000 1c8e0223 MessageID......# 76170 00085069 70654944 00000016 66022302 ..PipeID....f.#. 76180 08437265 64697443 6f756e74 00000016 .CreditCount.... 76190 66022303 00120400 00353208 4d657373 f.#......52.Mess 761a0 61676549 44000000 1c8e0223 00085069 ageID......#..Pi 761b0 70654944 00000016 66022302 08537461 peID....f.#..Sta 761c0 74757300 00001666 02230300 12020000 tus....f.#...... 761d0 35590852 65636f72 64494400 00001666 5Y.RecordID....f 761e0 02230008 4c656e67 74680000 00166602 .#..Length....f. 761f0 23010012 02000035 8308456e 64706f69 #......5..Endpoi 76200 6e744944 00000016 66022300 08437265 ntID....f.#..Cre 76210 64697473 00000016 66022301 00120400 dits....f.#..... 76220 0035c408 456e6470 6f696e74 49440000 .5..EndpointID.. 76230 00166602 23000843 72656469 74730000 ..f.#..Credits.. 76240 00166602 23010854 67744372 65646974 ..f.#..TgtCredit 76250 5365714e 6f000000 1c8e0223 02000f00 SeqNo......#.... 76260 00166604 000035d1 10030012 06000036 ..f...5........6 76270 0d085072 6556616c 69640000 00166602 ..PreValid....f. 76280 2300084c 6f6f6b41 68656164 00000035 #..LookAhead...5 76290 c4022301 08506f73 7456616c 69640000 ..#..PostValid.. 762a0 00166602 23050009 706f6f6c 5f68616e ..f.#...pool_han 762b0 646c655f 74000000 03ef0600 00360d01 dle_t........6.. 762c0 03000036 20040002 01030000 362d0400 ...6 .......6-.. 762d0 14040000 36ab0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H 762e0 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO 762f0 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD 76300 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID 76310 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. 76320 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX 76330 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M 76340 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I 76350 44000000 36360201 03000036 bc040006 D...66.....6.... 76360 0000262b 01030000 36c50400 06000026 ..&+....6......& 76370 2b010300 0036d204 00020103 000036df +....6........6. 76380 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. 76390 1c000037 81085f69 6e697400 00003626 ...7.._init...6& 763a0 02230008 5f736875 74646f77 6e000000 .#.._shutdown... 763b0 362f0223 04085f63 72656174 655f706f 6/.#.._create_po 763c0 6f6c0000 0036be02 2308085f 616c6c6f ol...6..#.._allo 763d0 635f6275 66000000 36cb0223 0c085f61 c_buf...6..#.._a 763e0 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. 763f0 0036d802 2310085f 66726565 5f627566 .6..#.._free_buf 76400 00000036 e1022314 08705265 73657276 ...6..#..pReserv 76410 65640000 0003ef02 23180007 5f485443 ed......#..._HTC 76420 5f534552 56494345 001c0000 38600870 _SERVICE....8`.p 76430 4e657874 00000038 60022300 0850726f Next...8`.#..Pro 76440 63657373 52656376 4d736700 00003915 cessRecvMsg...9. 76450 02230408 50726f63 65737353 656e6442 .#..ProcessSendB 76460 75666665 72436f6d 706c6574 65000000 ufferComplete... 76470 391e0223 08085072 6f636573 73436f6e 9..#..ProcessCon 76480 6e656374 00000039 3202230c 08536572 nect...92.#..Ser 76490 76696365 49440000 0012d402 23100853 viceID......#..S 764a0 65727669 6365466c 61677300 000012d4 erviceFlags..... 764b0 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz 764c0 65000000 12d40223 14085472 61696c65 e......#..Traile 764d0 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. 764e0 0012d402 23160853 65727669 63654374 ....#..ServiceCt 764f0 78000000 03ef0223 18000300 00378104 x......#.....7.. 76500 00140400 0038fe19 454e4450 4f494e54 .....8..ENDPOINT 76510 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END 76520 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN 76530 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. 76540 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END 76550 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN 76560 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. 76570 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END 76580 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN 76590 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END 765a0 504f494e 545f4944 00000038 67020103 POINT_ID...8g... 765b0 00003913 04000201 03000039 1c040003 ..9........9.... 765c0 000000fa 04000600 0012c001 03000039 ...............9 765d0 2c040003 00003781 0400075f 4854435f ,.....7...._HTC_ 765e0 434f4e46 49470014 000039b1 08437265 CONFIG....9..Cre 765f0 64697453 697a6500 000000fa 02230008 ditSize......#.. 76600 43726564 69744e75 6d626572 00000000 CreditNumber.... 76610 fa022304 084f5348 616e646c 65000000 ..#..OSHandle... 76620 1a2a0223 08084849 4648616e 646c6500 .*.#..HIFHandle. 76630 00002893 02230c08 506f6f6c 48616e64 ..(..#..PoolHand 76640 6c650000 00360d02 23100007 5f485443 le...6..#..._HTC 76650 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... 76660 39ed0865 6e645f70 6f696e74 00000012 9..end_point.... 76670 c0022300 08687463 5f666c61 67730000 ..#..htc_flags.. 76680 0012c002 23010009 6874635f 68616e64 ....#...htc_hand 76690 6c655f74 00000003 ef094854 435f5345 le_t......HTC_SE 766a0 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. 766b0 000000f3 09485443 5f434f4e 46494700 .....HTC_CONFIG. 766c0 00003940 0300003a 1a040006 000039ed ..9@...:......9. 766d0 01030000 3a310400 02010300 003a3e04 ....:1.......:>. 766e0 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... 766f0 37810300 003a4704 00020103 00003a5f 7....:G.......:_ 76700 04000201 0300003a 68040002 01030000 .......:h....... 76710 3a710400 06000000 fa010300 003a7a04 :q...........:z. 76720 00076874 635f6170 69730034 00003bf7 ..htc_apis.4..;. 76730 085f4854 435f496e 69740000 003a3702 ._HTC_Init...:7. 76740 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown 76750 0000003a 40022304 085f4854 435f5265 ...:@.#.._HTC_Re 76760 67697374 65725365 72766963 65000000 gisterService... 76770 3a610223 08085f48 54435f52 65616479 :a.#.._HTC_Ready 76780 0000003a 4002230c 085f4854 435f5265 ...:@.#.._HTC_Re 76790 7475726e 42756666 65727300 00003a6a turnBuffers...:j 767a0 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 767b0 75666665 72734c69 73740000 003a7302 uffersList...:s. 767c0 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. 767d0 00003a6a 02231808 5f485443 5f476574 ..:j.#.._HTC_Get 767e0 52657365 72766564 48656164 726f6f6d ReservedHeadroom 767f0 0000003a 8002231c 085f4854 435f4d73 ...:..#.._HTC_Ms 76800 67526563 7648616e 646c6572 00000028 gRecvHandler...( 76810 40022320 085f4854 435f5365 6e64446f @.# ._HTC_SendDo 76820 6e654861 6e646c65 72000000 28370223 neHandler...(7.# 76830 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv 76840 6350726f 63657373 4d736700 00003915 cProcessMsg...9. 76850 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control 76860 53766350 726f6365 73735365 6e64436f SvcProcessSendCo 76870 6d706c65 74650000 00391e02 232c0870 mplete...9..#,.p 76880 52657365 72766564 00000003 ef022330 Reserved......#0 76890 0007686f 73745f61 70705f61 7265615f ..host_app_area_ 768a0 73000400 003c2708 776d695f 70726f74 s....<'.wmi_prot 768b0 6f636f6c 5f766572 00000015 ff022300 ocol_ver......#. 768c0 00120e00 003c5e08 6473744d 61630000 .....<^.dstMac.. 768d0 001c4b02 23000873 72634d61 63000000 ..K.#..srcMac... 768e0 1c4b0223 06087479 70654f72 4c656e00 .K.#..typeOrLen. 768f0 00001c8e 02230c00 0f000016 66030000 .....#......f... 76900 3c6b1002 00120800 003cbb08 64736170 76aa0 5a084874 6348616e 646c6500 000039ed Z.HtcHandle...9. 76ab0 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 76ac0 00360d02 2304084d 6178436d 64526570 .6..#..MaxCmdRep 76ad0 6c794576 74730000 0000fa02 2308084d lyEvts......#..M 76ae0 61784576 656e7445 76747300 000000fa axEventEvts..... 76af0 02230c00 02010300 003e5a04 0009574d .#.......>Z...WM 76b00 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... 76b10 3e5c075f 574d495f 44495350 41544348 >\._WMI_DISPATCH 76b20 5f454e54 52590008 00003ec3 0870436d _ENTRY....>..pCm 76b30 6448616e 646c6572 0000003e 63022300 dHandler...>c.#. 76b40 08436d64 49440000 0012d402 23040846 .CmdID......#..F 76b50 6c616773 00000012 d4022306 00075f57 lags......#..._W 76b60 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 76b70 45001000 003f2408 704e6578 74000000 E....?$.pNext... 76b80 3f240223 00087043 6f6e7465 78740000 ?$.#..pContext.. 76b90 0003ef02 2304084e 756d6265 724f6645 ....#..NumberOfE 76ba0 6e747269 65730000 0000fa02 23080870 ntries......#..p 76bb0 5461626c 65000000 3f430223 0c000300 Table...?C.#.... 76bc0 003ec304 0009574d 495f4449 53504154 .>....WMI_DISPAT 76bd0 43485f45 4e545259 0000003e 78030000 CH_ENTRY...>x... 76be0 3f2b0400 0300003e c3040009 4854435f ?+.....>....HTC_ 76bf0 4255465f 434f4e54 45585400 000039b1 BUF_CONTEXT...9. 76c00 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. 76c10 00003fdb 19574d49 5f455654 5f434c41 ..?..WMI_EVT_CLA 76c20 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI 76c30 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E 76c40 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C 76c50 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. 76c60 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M 76c70 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL 76c80 41535300 00003f66 075f574d 495f4255 ASS...?f._WMI_BU 76c90 465f434f 4e544558 54000c00 00403908 F_CONTEXT....@9. 76ca0 48746342 75664374 78000000 3f510223 HtcBufCtx...?Q.# 76cb0 00084576 656e7443 6c617373 0000003f ..EventClass...? 76cc0 db022304 08466c61 67730000 0012d402 ..#..Flags...... 76cd0 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t 76ce0 00000003 ef09574d 495f5356 435f434f ......WMI_SVC_CO 76cf0 4e464947 0000003d f1030000 404b0400 NFIG...=....@K.. 76d00 06000040 39010300 00406604 0009574d ...@9....@f...WM 76d10 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 76d20 0000003e c3030000 40730400 02010300 ...>....@s...... 76d30 00409204 00060000 262b0103 0000409b .@......&+....@. 76d40 04000201 03000040 a8040006 000000fa .......@........ 76d50 01030000 40b10400 02010300 0040be04 ....@........@.. 76d60 00060000 12c00103 000040c7 0400075f ..........@...._ 76d70 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. 76d80 420f085f 574d495f 496e6974 00000040 B.._WMI_Init...@ 76d90 6c022300 085f574d 495f5265 67697374 l.#.._WMI_Regist 76da0 65724469 73706174 63685461 626c6500 erDispatchTable. 76db0 00004094 02230408 5f574d49 5f416c6c ..@..#.._WMI_All 76dc0 6f634576 656e7400 000040a1 02230808 ocEvent...@..#.. 76dd0 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. 76de0 0040aa02 230c085f 574d495f 47657450 .@..#.._WMI_GetP 76df0 656e6469 6e674576 656e7473 436f756e endingEventsCoun 76e00 74000000 40b70223 10085f57 4d495f53 t...@..#.._WMI_S 76e10 656e6443 6f6d706c 65746548 616e646c endCompleteHandl 76e20 65720000 00391e02 2314085f 574d495f er...9..#.._WMI_ 76e30 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ 76e40 b7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo 76e50 776e0000 0040c002 231c085f 574d495f wn...@..#.._WMI_ 76e60 52656376 4d657373 61676548 616e646c RecvMessageHandl 76e70 65720000 00391502 2320085f 574d495f er...9..# ._WMI_ 76e80 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. 76e90 0040cd02 23240870 52657365 72766564 .@..#$.pReserved 76ea0 00000003 ef022328 00077a73 446d6144 ......#(..zsDmaD 76eb0 65736300 14000042 91086374 726c0000 esc....B..ctrl.. 76ec0 00015e02 23000873 74617475 73000000 ..^.#..status... 76ed0 015e0223 0208746f 74616c4c 656e0000 .^.#..totalLen.. 76ee0 00015e02 23040864 61746153 697a6500 ..^.#..dataSize. 76ef0 0000015e 02230608 6c617374 41646472 ...^.#..lastAddr 76f00 00000042 91022308 08646174 61416464 ...B..#..dataAdd 76f10 72000000 01820223 0c086e65 78744164 r......#..nextAd 76f20 64720000 00429102 23100003 0000420f dr...B..#.....B. 76f30 04000300 00420f04 00077a73 446d6151 .....B....zsDmaQ 76f40 75657565 00080000 42d10868 65616400 ueue....B..head. 76f50 00004298 02230008 7465726d 696e6174 ..B..#..terminat 76f60 6f720000 00429802 23040007 7a735478 or...B..#...zsTx 76f70 446d6151 75657565 00100000 43350868 DmaQueue....C5.h 76f80 65616400 00004298 02230008 7465726d ead...B..#..term 76f90 696e6174 6f720000 00429802 23040878 inator...B..#..x 76fa0 6d697465 645f6275 665f6865 61640000 mited_buf_head.. 76fb0 00141f02 23080878 6d697465 645f6275 ....#..xmited_bu 76fc0 665f7461 696c0000 00141f02 230c0002 f_tail......#... 76fd0 01030000 43350400 03000042 9f040002 ....C5.....B.... 76fe0 01030000 43450400 03000042 d1040002 ....CE.....B.... 76ff0 01030000 43550400 02010300 00435e04 ....CU.......C^. 77000 00020103 00004367 04000600 00141f01 ......Cg........ 77010 03000043 70040002 01030000 437d0400 ...Cp.......C}.. 77020 06000014 1f010300 00438604 00020103 .........C...... 77030 00004393 04000600 0000fa01 03000043 ..C............C 77040 9c040006 00004298 01030000 43a90400 ......B.....C... 77050 02010300 0043b604 0007646d 615f656e .....C....dma_en 77060 67696e65 5f617069 00400000 452c085f gine_api.@..E,._ 77070 696e6974 00000043 37022300 085f696e init...C7.#.._in 77080 69745f72 785f7175 65756500 00004347 it_rx_queue...CG 77090 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que 770a0 75650000 00435702 2308085f 636f6e66 ue...CW.#.._conf 770b0 69675f72 785f7175 65756500 00004360 ig_rx_queue...C` 770c0 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... 770d0 43690223 10085f66 6c757368 5f786d69 Ci.#.._flush_xmi 770e0 74000000 43470223 14085f72 6561705f t...CG.#.._reap_ 770f0 72656376 5f627566 00000043 76022318 recv_buf...Cv.#. 77100 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu 77110 66000000 437f0223 1c085f72 6561705f f...C..#.._reap_ 77120 786d6974 65645f62 75660000 00438c02 xmited_buf...C.. 77130 2320085f 73776170 5f646174 61000000 # ._swap_data... 77140 43950223 24085f68 61735f63 6f6d706c C..#$._has_compl 77150 5f706163 6b657473 00000043 a2022328 _packets...C..#( 77160 085f6465 73635f64 756d7000 00004347 ._desc_dump...CG 77170 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. 77180 000043af 02233008 5f726563 6c61696d ..C..#0._reclaim 77190 5f706163 6b657400 000043b8 02233408 _packet...C..#4. 771a0 5f707574 5f706163 6b657400 000043b8 _put_packet...C. 771b0 02233808 70526573 65727665 64000000 .#8.pReserved... 771c0 03ef0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ 771d0 696e6469 72656374 696f6e5f 7461626c indirection_tabl 771e0 655f7400 0000306b 09574d49 5f535643 e_t...0k.WMI_SVC 771f0 5f415049 53000000 40d4175f 415f6d61 _APIS...@.._A_ma 77200 67706965 5f696e64 69726563 74696f6e gpie_indirection 77210 5f746162 6c650003 4c000046 5a08636d _table..L..FZ.cm 77220 6e6f7300 0000452c 02230008 64626700 nos...E,.#..dbg. 77230 000003bc 0323b803 08686966 00000029 .....#...hif...) 77240 360323c0 03086874 63000000 3a870323 6.#...htc...:..# 77250 f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. 77260 00454e03 23ac0408 75736266 69666f5f .EN.#...usbfifo_ 77270 61706900 0000323f 0323d804 08627566 api...2?.#...buf 77280 5f706f6f 6c000000 36e80323 e4040876 _pool...6..#...v 77290 62756600 00001449 03238005 08766465 buf....I.#...vde 772a0 73630000 00132b03 23940508 616c6c6f sc....+.#...allo 772b0 6372616d 00000009 210323a8 0508646d cram....!.#...dm 772c0 615f656e 67696e65 00000043 bf0323b4 a_engine...C..#. 772d0 0508646d 615f6c69 62000000 2bca0323 ..dma_lib...+..# 772e0 f4050868 69665f70 63690000 002e2a03 ...hif_pci....*. 772f0 23a80600 12080000 468e086d 6f72655f #.......F..more_ 77300 64617461 0000001c 8e022300 086c656e data......#..len 77310 0000001c 8e022302 086f6666 73657400 ......#..offset. 77320 000015ff 02230400 12080000 46b00872 .....#......F..r 77330 73700000 0015ff02 2300086f 66667365 sp......#..offse 77340 74000000 15ff0223 0400120c 000046f7 t......#......F. 77350 08616464 72000000 15ff0223 00086869 .addr......#..hi 77360 665f6861 6e646c65 00000028 93022304 f_handle...(..#. 77370 0872785f 70697065 00000016 66022308 .rx_pipe....f.#. 77380 0874785f 70697065 00000016 66022309 .tx_pipe....f.#. 77390 0009415f 494e5433 32000000 00fa095f ..A_INT32......_ 773a0 5f615f69 6e743332 5f740000 0046f709 _a_int32_t...F.. 773b0 615f696e 7433325f 74000000 4704076d a_int32_t...G..m 773c0 64696f5f 62775f65 78656300 18000047 dio_bw_exec....G 773d0 ac087374 6172745f 61646472 65737300 ..start_address. 773e0 000015ff 02230008 6c656e67 74680000 .....#..length.. 773f0 0015ff02 23040865 7865635f 61646472 ....#..exec_addr 77400 65737300 000015ff 02230808 63686563 ess......#..chec 77410 6b73756d 00000015 ff02230c 08667764 ksum......#..fwd 77420 5f737461 74650000 00471502 23100863 _state...G..#..c 77430 75727265 6e745f77 725f7074 72000000 urrent_wr_ptr... 77440 16750223 14000600 0000fa01 03000001 .u.#............ 77450 97040009 5f415f6d 61677069 655f696e ...._A_magpie_in 77460 64697265 6374696f 6e5f7461 626c655f direction_table_ 77470 74000000 45600966 77645f74 67745f73 t...E`.fwd_tgt_s 77480 6f667463 5f740000 0046b01a 6677645f oftc_t...F..fwd_ 77490 73630000 0047dc05 0300500b 0c010600 sc...G....P..... 774a0 00289301 02010300 00480a04 00020103 .(.......H...... 774b0 00004813 04000300 0047dc04 00060000 ..H......G...... 774c0 260d0103 00001666 04000966 77645f63 &......f...fwd_c 774d0 6d645f74 00000046 5a030000 48300400 md_t...FZ...H0.. 774e0 03000048 30040003 00000906 04000966 ...H0..........f 774f0 77645f72 73705f74 00000046 8e030000 wd_rsp_t...F.... 77500 48540400 03000048 54040009 6a6d705f HT.....HT...jmp_ 77510 66756e63 00000000 f3030000 29360400 func........)6.. 77520 02010f00 0001011b 00004895 101a0003 ..........H..... 77530 00004888 04000f00 00010119 000048a9 ..H...........H. 77540 10180003 0000489c 04000201 06000000 ......H......... 77550 fa010f00 00010129 000048c5 10280003 .......)..H..(.. 77560 000048b8 04000f00 0001012b 000048d9 ..H........+..H. 77570 102a0003 000048cc 04000600 0003f201 .*....H......... 77580 096d6469 6f5f6277 5f657865 635f7400 .mdio_bw_exec_t. 77590 00004724 03000048 e6040006 000000fa ..G$...H........ 775a0 010f0000 01011f00 00491410 1e000300 .........I...... 775b0 00490704 000f0000 01011c00 00492810 .I...........I(. 775c0 1b000300 00491b04 000f0000 01011800 .....I.......... 775d0 00493c10 17000300 00492f04 000f0000 .I<......I/..... 775e0 01012c00 00495010 2b000300 00494304 ..,..IP.+....IC. 775f0 000f0000 01012500 00496410 24000300 ......%..Id.$... 77600 00495704 001b3d36 6d69695f 7265675f .IW...=6mii_reg_ 77610 77726974 655f3332 00000000 fa010392 write_32........ 77620 01200290 00008e5e 60008e5e 75000049 . .....^`..^u..I 77630 b31c3d36 61646472 00000001 9701521c ..=6addr......R. 77640 3d367661 6c000000 01740153 001d011a =6val....t.S.... 77650 6677645f 696e6974 00000028 93010392 fwd_init...(.... 77660 01300290 00008e5e 78008e5e b000004a .0.....^x..^...J 77670 061e6869 66636f6e 66696700 000028d2 ..hifconfig...(. 77680 0291501f 7265735f 68656164 726f6f6d ..P.res_headroom 77690 00000009 061f7369 7a650000 00090600 ......size...... 776a0 20012f66 77645f72 65746275 665f6861 ./fwd_retbuf_ha 776b0 6e646c65 72000101 03920120 02900000 ndler...... .... 776c0 8e5eb000 8e5ec800 004a531c 012f6275 .^...^...JS../bu 776d0 66000000 141f0152 1c012f53 65727669 f......R../Servi 776e0 63654374 78000000 03ef0153 00210135 ceCtx......S.!.5 776f0 6677645f 7467745f 70726f63 6573735f fwd_tgt_process_ 77700 6c617374 00000026 0d010103 92012002 last...&...... . 77710 9000008e 5ec8008e 5ef60000 4ac21c01 ....^...^...J... 77720 3573697a 65000000 09060152 1c013563 5size......R..5c 77730 6b73756d 00000009 0601531f 63686563 ksum......S.chec 77740 6b73756d 00000000 fa1f696d 61676500 ksum......image. 77750 000014e0 1f690000 0000fa00 20014666 .....i...... .Ff 77760 77645f74 67745f72 65637600 01010392 wd_tgt_recv..... 77770 01200290 00008e5e f8008e5f d700004b . .....^..._...K 77780 a01c0146 6864725f 62756600 0000141f ...Fhdr_buf..... 77790 01521c01 46627566 00000014 1f01531c .R..Fbuf......S. 777a0 01466374 78000000 03ef0154 1f646573 .Fctx......T.des 777b0 63000000 13011f64 61746100 00004829 c......data...H) 777c0 1f736567 6c656e00 00000906 1f630000 .seglen......c.. 777d0 00483f1f 6c656e00 00000906 1f6f6666 .H?.len......off 777e0 73657400 00000906 1f6d6f72 65000000 set......more... 777f0 09061f69 6d616765 00000048 4d1f6461 ...image...HM.da 77800 64647200 0000484d 1f690000 0009061f ddr...HM.i...... 77810 72000000 48631f73 74617475 73000000 r...Hc.status... 77820 260d1f65 6c6f6300 00000906 1f66756e &..eloc......fun 77830 63707472 00000048 71002001 926d6167 cptr...Hq. ..mag 77840 7069655f 6d64696f 5f6d6f64 756c655f pie_mdio_module_ 77850 696e7374 616c6c00 01010392 01200290 install...... .. 77860 00008e5f d8008e5f fa00004b e21c0192 ..._..._...K.... 77870 61706973 00000048 7f015200 2001a46d apis...H..R. ..m 77880 61677069 655f6d64 696f5f62 6f6f745f agpie_mdio_boot_ 77890 696e6974 00010103 92012002 9000008e init...... ..... 778a0 5ffc008e 60be0000 4c1a1f76 616c0000 _...`...L..val.. 778b0 00019700 2101cf6d 61677069 655f6d64 ....!..magpie_md 778c0 696f5f77 6169745f 666f725f 6c6f636b io_wait_for_lock 778d0 00000000 fa010103 92013002 9000008e ..........0..... 778e0 60c0008e 60f90000 4c5d1e76 616c0000 `...`...L].val.. 778f0 00017402 91500020 01dd6d61 67706965 ..t..P. ..magpie 77900 5f6d6469 6f5f7265 6c656173 655f6c6f _mdio_release_lo 77910 636b0001 01039201 20029000 008e60fc ck...... .....`. 77920 008e6112 00004ca4 1c01dd65 78747261 ..a...L....extra 77930 5f666c61 67730000 00030701 52002101 _flags......R.!. 77940 e36d6469 6f5f7265 61645f62 6c6f636b .mdio_read_block 77950 00000000 fa010103 92012002 9000008e .......... ..... 77960 6114008e 61590000 4d0e1c01 e3707472 a...aY..M....ptr 77970 00000019 7f01521c 01e36c65 6e000000 ......R...len... 77980 00fa0153 1f6a0000 0000fa1f 6e657874 ...S.j......next 77990 5f726561 645f7265 67000000 00fa1f76 _read_reg......v 779a0 616c0000 00017400 2101f96d 61677069 al....t.!..magpi 779b0 655f6d64 696f5f63 6f70795f 62797465 e_mdio_copy_byte 779c0 73000000 00fa0101 03920120 02900000 s.......... .... 779d0 8e615c00 8e619900 004d751c 01f9746f .a\..a...Mu...to 779e0 00000019 7f01521c 01f96c65 6e677468 ......R...length 779f0 00000000 fa01531f 6377696e 64657800 ......S.cwindex. 77a00 000000fa 1f696c65 6e000000 00fa0022 .....ilen......" 77a10 01010a66 775f636f 6d707574 655f636b ...fw_compute_ck 77a20 73756d00 000003f2 01010392 01200290 sum.......... .. 77a30 00008e61 9c008e61 bb00004d d0230101 ...a...a...M.#.. 77a40 0a707472 00000030 64015223 01010a6c .ptr...0d.R#...l 77a50 656e0000 0000fa01 531f7375 6d000000 en......S.sum... 77a60 03f21f69 00000000 fa002401 011a6d64 ...i......$...md 77a70 696f5f67 65745f66 775f696d 61676500 io_get_fw_image. 77a80 000000fa 01010392 01200290 00008e61 ......... .....a 77a90 bc008e62 64230101 1a66775f 62775f73 ...bd#...fw_bw_s 77aa0 74617465 00000048 fa01521f 6c000000 tate...H..R.l... 77ab0 00fa1f72 646c656e 00000000 fa000000 ...rdlen........ 77ac0 000049eb 00020000 2b560401 2f726f6f ..I.....+V../roo 77ad0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 77ae0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 77af0 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image 77b00 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. 77b10 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi 77b20 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po 77b30 6f6c2f73 72632f62 75665f70 6f6f6c5f ol/src/buf_pool_ 77b40 73746174 69632e63 002f726f 6f742f57 static.c./root/W 77b50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 77b60 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 77b70 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ 77b80 706f6f6c 0078742d 78636320 666f7220 pool.xt-xcc for 77b90 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align 77ba0 5f696e73 74727563 74696f6e 733d3332 _instructions=32 77bb0 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp 77bc0 61636500 01000001 abf50201 03000001 ace............. 77bd0 0a040004 696e7400 05040463 68617200 ....int....char. 77be0 07010500 00011a05 0000011a 03000001 ................ 77bf0 27040006 00000113 01030000 01330400 '............3.. 77c00 07707269 6e74665f 61706900 08000001 .printf_api..... 77c10 77085f70 72696e74 665f696e 69740000 w._printf_init.. 77c20 00010c02 2300085f 7072696e 74660000 ....#.._printf.. 77c30 00013902 23040004 73686f72 7420756e ..9.#...short un 77c40 7369676e 65642069 6e740007 02097569 signed int....ui 77c50 6e743136 5f740000 00017704 6c6f6e67 nt16_t....w.long 77c60 20756e73 69676e65 6420696e 74000704 unsigned int... 77c70 0975696e 7433325f 74000000 019b0775 .uint32_t......u 77c80 6172745f 6669666f 00080000 02090873 art_fifo.......s 77c90 74617274 5f696e64 65780000 00018d02 tart_index...... 77ca0 23000865 6e645f69 6e646578 00000001 #..end_index.... 77cb0 8d022302 086f7665 7272756e 5f657272 ..#..overrun_err 77cc0 00000001 b0022304 00077561 72745f61 ......#...uart_a 77cd0 70690020 000002c2 085f7561 72745f69 pi. ....._uart_i 77ce0 6e697400 00000319 02230008 5f756172 nit......#.._uar 77cf0 745f6368 61725f70 75740000 00034002 t_char_put....@. 77d00 2304085f 75617274 5f636861 725f6765 #.._uart_char_ge 77d10 74000000 03540223 08085f75 6172745f t....T.#.._uart_ 77d20 7374725f 6f757400 0000035d 02230c08 str_out....].#.. 77d30 5f756172 745f7461 736b0000 00010c02 _uart_task...... 77d40 2310085f 75617274 5f737461 74757300 #.._uart_status. 77d50 00000319 02231408 5f756172 745f636f .....#.._uart_co 77d60 6e666967 00000003 66022318 085f7561 nfig....f.#.._ua 77d70 72745f68 77696e69 74000000 036f0223 rt_hwinit....o.# 77d80 1c000300 00020904 00077561 72745f62 ..........uart_b 77d90 6c6b0010 00000313 08646562 75675f6d lk.......debug_m 77da0 6f646500 0000018d 02230008 62617564 ode......#..baud 77db0 00000001 8d022302 085f7561 72740000 ......#.._uart.. 77dc0 0002c202 2304085f 74780000 0001be02 ....#.._tx...... 77dd0 23080006 000001b0 01030000 03130400 #............... 77de0 04756e73 69676e65 64206368 61720007 .unsigned char.. 77df0 01097569 6e74385f 74000000 03200201 ..uint8_t.... .. 77e00 03000003 3e040003 00000331 04000600 ....>......1.... 77e10 00018d01 03000003 4e040002 01030000 ........N....... 77e20 035b0400 02010300 00036404 00020103 .[........d..... 77e30 0000036d 04000300 00011a04 00060000 ...m............ 77e40 01130103 0000037d 04000744 425f434f .......}...DB_CO 77e50 4d4d414e 445f5354 52554354 000c0000 MMAND_STRUCT.... 77e60 03d50863 6d645f73 74720000 00037602 ...cmd_str....v. 77e70 23000868 656c705f 73747200 00000376 #..help_str....v 77e80 02230408 636d645f 66756e63 00000003 .#..cmd_func.... 77e90 83022308 00076462 675f6170 69000800 ..#...dbg_api... 77ea0 00040808 5f646267 5f696e69 74000000 ...._dbg_init... 77eb0 010c0223 00085f64 62675f74 61736b00 ...#.._dbg_task. 77ec0 0000010c 02230400 0a040004 756e7369 .....#......unsi 77ed0 676e6564 20696e74 00070406 00000408 gned int........ 77ee0 01030000 041b0400 0b0b0300 00042904 ..............). 77ef0 00060000 04080103 00000431 04000600 ...........1.... 77f00 00011301 03000004 3e040007 6d656d5f ........>...mem_ 77f10 61706900 14000004 ad085f6d 656d5f69 api......._mem_i 77f20 6e697400 0000010c 02230008 5f6d656d nit......#.._mem 77f30 73657400 00000421 02230408 5f6d656d set....!.#.._mem 77f40 63707900 00000437 02230808 5f6d656d cpy....7.#.._mem 77f50 6d6f7665 00000004 3702230c 085f6d65 move....7.#.._me 77f60 6d636d70 00000004 44022310 000c7265 mcmp....D.#...re 77f70 67697374 65725f64 756d705f 73000001 gister_dump_s... 77f80 03000004 ad040002 01030000 04c70400 ................ 77f90 02010300 0004d004 00060000 01130103 ................ 77fa0 000004d9 04000d68 6f737469 665f7300 .......hostif_s. 77fb0 04000005 350e4849 465f5553 4200000e ....5.HIF_USB... 77fc0 4849465f 50434945 00010e48 49465f47 HIF_PCIE...HIF_G 77fd0 4d414300 020e4849 465f5043 4900030e MAC...HIF_PCI... 77fe0 4849465f 4e554d00 040e4849 465f4e4f HIF_NUM...HIF_NO 77ff0 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. 78000 0004e606 00000535 01030000 05430400 .......5.....C.. 78010 06000003 31010300 00055004 00060000 ....1.....P..... 78020 018d0103 0000055d 0400076d 6973635f .......]...misc_ 78030 61706900 24000006 4d085f73 79737465 api.$...M._syste 78040 6d5f7265 73657400 0000010c 02230008 m_reset......#.. 78050 5f6d6163 5f726573 65740000 00010c02 _mac_reset...... 78060 2304085f 61737366 61696c00 000004c9 #.._assfail..... 78070 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ 78080 6c6f6164 5f68616e 646c6572 00000004 load_handler.... 78090 c902230c 085f7265 706f7274 5f666169 ..#.._report_fai 780a0 6c757265 5f746f5f 686f7374 00000004 lure_to_host.... 780b0 d2022310 085f7461 72676574 5f69645f ..#.._target_id_ 780c0 67657400 000004df 02231408 5f69735f get......#.._is_ 780d0 686f7374 5f707265 73656e74 00000005 host_present.... 780e0 49022318 085f6b62 68697400 00000556 I.#.._kbhit....V 780f0 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version 78100 5f676574 00000005 63022320 00060000 _get....c.# .... 78110 03760103 0000064d 04000600 00037601 .v.....M......v. 78120 03000006 5a040006 00000113 01030000 ....Z........... 78130 06670400 06000001 13010300 00067404 .g............t. 78140 00060000 01130103 00000681 04000773 ...............s 78150 7472696e 675f6170 69001800 00070708 tring_api....... 78160 5f737472 696e675f 696e6974 00000001 _string_init.... 78170 0c022300 085f7374 72637079 00000006 ..#.._strcpy.... 78180 53022304 085f7374 726e6370 79000000 S.#.._strncpy... 78190 06600223 08085f73 74726c65 6e000000 .`.#.._strlen... 781a0 066d0223 0c085f73 7472636d 70000000 .m.#.._strcmp... 781b0 067a0223 10085f73 74726e63 6d700000 .z.#.._strncmp.. 781c0 00068702 2314000f 0000040b 14000007 ....#........... 781d0 14100400 095f415f 54494d45 525f5350 ....._A_TIMER_SP 781e0 41434500 00000707 09415f74 696d6572 ACE......A_timer 781f0 5f740000 00071403 00000728 04000201 _t.........(.... 78200 03000007 3e040002 01030000 07470400 ....>........G.. 78210 09415f48 414e444c 45000000 040b0201 .A_HANDLE....... 78220 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... 78230 075e0300 00076004 00020103 00000779 .^....`........y 78240 04000774 696d6572 5f617069 00140000 ...timer_api.... 78250 07f8085f 74696d65 725f696e 69740000 ..._timer_init.. 78260 00010c02 2300085f 74696d65 725f6172 ....#.._timer_ar 78270 6d000000 07400223 04085f74 696d6572 m....@.#.._timer 78280 5f646973 61726d00 00000749 02230808 _disarm....I.#.. 78290 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... 782a0 7b02230c 085f7469 6d65725f 72756e00 {.#.._timer_run. 782b0 0000010c 02231000 09424f4f 4c45414e .....#...BOOLEAN 782c0 00000001 8d060000 07f80103 00000805 ................ 782d0 04000600 0007f801 03000008 12040006 ................ 782e0 000007f8 01030000 081f0400 07726f6d .............rom 782f0 705f6170 69001000 00089108 5f726f6d p_api......._rom 78300 705f696e 69740000 00010c02 2300085f p_init......#.._ 78310 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... 78320 080b0223 04085f72 6f6d705f 696e7374 ...#.._romp_inst 78330 616c6c00 00000818 02230808 5f726f6d all......#.._rom 78340 705f6465 636f6465 00000008 2502230c p_decode....%.#. 78350 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. 78360 000008ed 08637263 31360000 00018d02 .....crc16...... 78370 2300086c 656e0000 00018d02 2302086c #..len......#..l 78380 645f6164 64720000 0001b002 23040866 d_addr......#..f 78390 756e5f61 64647200 000001b0 02230808 un_addr......#.. 783a0 7066756e 00000003 4702230c 00076565 pfun....G.#...ee 783b0 705f7265 6469725f 61646472 00040000 p_redir_addr.... 783c0 091f086f 66667365 74000000 018d0223 ...offset......# 783d0 00087369 7a650000 00018d02 23020009 ..size......#... 783e0 415f5549 4e543332 00000004 0b060000 A_UINT32........ 783f0 04080103 0000092d 04000761 6c6c6f63 .......-...alloc 78400 72616d5f 61706900 0c000009 9e08636d ram_api.......cm 78410 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini 78420 74000000 09330223 0008636d 6e6f735f t....3.#..cmnos_ 78430 616c6c6f 6372616d 00000009 33022304 allocram....3.#. 78440 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ 78450 64656275 67000000 010c0223 08000201 debug......#.... 78460 03000009 9e040009 415f5441 534b4c45 ........A_TASKLE 78470 545f4655 4e430000 0009a007 5f746173 T_FUNC......_tas 78480 6b6c6574 00100000 09ff0866 756e6300 klet.......func. 78490 000009a7 02230008 61726700 00000408 .....#..arg..... 784a0 02230408 73746174 65000000 01130223 .#..state......# 784b0 08086e65 78740000 0009ff02 230c0003 ..next......#... 784c0 000009bb 04000300 0009bb04 0009415f ..............A_ 784d0 7461736b 6c65745f 74000000 09bb0300 tasklet_t....... 784e0 000a0d04 00020103 00000a25 04000201 ...........%.... 784f0 0300000a 2e040007 7461736b 6c65745f ........tasklet_ 78500 61706900 1400000a c3085f74 61736b6c api......._taskl 78510 65745f69 6e697400 0000010c 02230008 et_init......#.. 78520 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta 78530 736b0000 000a2702 2304085f 7461736b sk....'.#.._task 78540 6c65745f 64697361 626c6500 00000a30 let_disable....0 78550 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch 78560 6564756c 65000000 0a300223 0c085f74 edule....0.#.._t 78570 61736b6c 65745f72 756e0000 00010c02 asklet_run...... 78580 23100002 01030000 0ac30400 06000009 #............... 78590 1f010300 000acc04 00020103 00000ad9 ................ 785a0 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. 785b0 0bbb085f 636c6f63 6b5f696e 69740000 ..._clock_init.. 785c0 000ac502 2300085f 636c6f63 6b726567 ....#.._clockreg 785d0 735f696e 69740000 00010c02 2304085f s_init......#.._ 785e0 75617274 5f667265 7175656e 63790000 uart_frequency.. 785f0 000ad202 2308085f 64656c61 795f7573 ....#.._delay_us 78600 0000000a db02230c 085f776c 616e5f62 ......#.._wlan_b 78610 616e645f 73657400 00000adb 02231008 and_set......#.. 78620 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge 78630 74000000 0ad20223 14085f6d 696c6c69 t......#.._milli 78640 7365636f 6e647300 00000ad2 02231808 seconds......#.. 78650 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. 78660 00010c02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti 78670 636b0000 00010c02 23200006 000001b0 ck......# ...... 78680 01030000 0bbb0400 09415f6f 6c645f69 .........A_old_i 78690 6e74725f 74000000 01b00600 000bc801 ntr_t........... 786a0 0300000b da040002 01030000 0be70400 ................ 786b0 02010300 000bf004 00060000 01b00103 ................ 786c0 00000bf9 04000941 5f697372 5f740000 .......A_isr_t.. 786d0 000bff02 01030000 0c130400 06000004 ................ 786e0 0b010300 000c1c04 00020103 00000c29 ...............) 786f0 04000769 6e74725f 61706900 2c00000d ...intr_api.,... 78700 4b085f69 6e74725f 696e6974 00000001 K._intr_init.... 78710 0c022300 085f696e 74725f69 6e766f6b ..#.._intr_invok 78720 655f6973 72000000 0bc10223 04085f69 e_isr......#.._i 78730 6e74725f 64697361 626c6500 00000be0 ntr_disable..... 78740 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor 78750 65000000 0be90223 0c085f69 6e74725f e......#.._intr_ 78760 6d61736b 5f696e75 6d000000 0bf20223 mask_inum......# 78770 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i 78780 6e756d00 00000bf2 02231408 5f696e74 num......#.._int 78790 725f6174 74616368 5f697372 0000000c r_attach_isr.... 787a0 15022318 085f6765 745f696e 7472656e ..#.._get_intren 787b0 61626c65 0000000c 2202231c 085f7365 able....".#.._se 787c0 745f696e 7472656e 61626c65 0000000c t_intrenable.... 787d0 2b022320 085f6765 745f696e 74727065 +.# ._get_intrpe 787e0 6e64696e 67000000 0c220223 24085f75 nding....".#$._u 787f0 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 78800 766c0000 00010c02 23280011 0400000d vl......#(...... 78810 71087469 6d656f75 74000000 01b00223 q.timeout......# 78820 00086163 74696f6e 00000001 b0022300 ..action......#. 78830 00120800 000d8c08 636d6400 000001b0 ........cmd..... 78840 02230013 00000d4b 02230400 09545f57 .#.....K.#...T_W 78850 44545f43 4d440000 000d7102 01030000 DT_CMD....q..... 78860 0d9b0400 14040000 0df10e45 4e554d5f ...........ENUM_ 78870 5744545f 424f4f54 00010e45 4e554d5f WDT_BOOT...ENUM_ 78880 434f4c44 5f424f4f 5400020e 454e554d COLD_BOOT...ENUM 78890 5f535553 505f424f 4f540003 0e454e55 _SUSP_BOOT...ENU 788a0 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. 788b0 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... 788c0 0da40600 000df101 0300000e 02040007 ................ 788d0 7764745f 61706900 1c00000e a6085f77 wdt_api......._w 788e0 64745f69 6e697400 0000010c 02230008 dt_init......#.. 788f0 5f776474 5f656e61 626c6500 0000010c _wdt_enable..... 78900 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable 78910 00000001 0c022308 085f7764 745f7365 ......#.._wdt_se 78920 74000000 0d9d0223 0c085f77 64745f74 t......#.._wdt_t 78930 61736b00 0000010c 02231008 5f776474 ask......#.._wdt 78940 5f726573 65740000 00010c02 2314085f _reset......#.._ 78950 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... 78960 0e080223 18001404 00000f0d 0e524554 ...#.........RET 78970 5f535543 43455353 00000e52 45545f4e _SUCCESS...RET_N 78980 4f545f49 4e495400 010e5245 545f4e4f OT_INIT...RET_NO 78990 545f4558 49535400 020e5245 545f4545 T_EXIST...RET_EE 789a0 505f434f 52525550 5400030e 5245545f P_CORRUPT...RET_ 789b0 4545505f 4f564552 464c4f57 00040e52 EEP_OVERFLOW...R 789c0 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ 789d0 4545505f 52455400 00000ea6 03000001 EEP_RET......... 789e0 8d040006 00000f0d 01030000 0f230400 .............#.. 789f0 0600000f 0d010300 000f3004 00076565 ..........0...ee 78a00 705f6170 69001000 000f9908 5f656570 p_api......._eep 78a10 5f696e69 74000000 010c0223 00085f65 _init......#.._e 78a20 65705f72 65616400 00000f29 02230408 ep_read....).#.. 78a30 5f656570 5f777269 74650000 000f2902 _eep_write....). 78a40 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist 78a50 0000000f 3602230c 00077573 625f6170 ....6.#...usb_ap 78a60 69007000 00124608 5f757362 5f696e69 i.p...F._usb_ini 78a70 74000000 010c0223 00085f75 73625f72 t......#.._usb_r 78a80 6f6d5f74 61736b00 0000010c 02230408 om_task......#.. 78a90 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... 78aa0 0c022308 085f7573 625f696e 69745f70 ..#.._usb_init_p 78ab0 68790000 00010c02 230c085f 7573625f hy......#.._usb_ 78ac0 6570305f 73657475 70000000 010c0223 ep0_setup......# 78ad0 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... 78ae0 010c0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r 78af0 78000000 010c0223 18085f75 73625f67 x......#.._usb_g 78b00 65745f69 6e746572 66616365 00000008 et_interface.... 78b10 1802231c 085f7573 625f7365 745f696e ..#.._usb_set_in 78b20 74657266 61636500 00000818 02232008 terface......# . 78b30 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu 78b40 72617469 6f6e0000 00081802 2324085f ration......#$._ 78b50 7573625f 7365745f 636f6e66 69677572 usb_set_configur 78b60 6174696f 6e000000 08180223 28085f75 ation......#(._u 78b70 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. 78b80 00000818 02232c08 5f757362 5f76656e .....#,._usb_ven 78b90 646f725f 636d6400 0000010c 02233008 dor_cmd......#0. 78ba0 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. 78bb0 00010c02 2334085f 7573625f 72657365 ....#4._usb_rese 78bc0 745f6669 666f0000 00010c02 2338085f t_fifo......#8._ 78bd0 7573625f 67656e5f 77647400 0000010c usb_gen_wdt..... 78be0 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo 78bf0 6f740000 00010c02 2340085f 7573625f ot......#@._usb_ 78c00 636c725f 66656174 75726500 00000818 clr_feature..... 78c10 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea 78c20 74757265 00000008 18022348 085f7573 ture......#H._us 78c30 625f7365 745f6164 64726573 73000000 b_set_address... 78c40 08180223 4c085f75 73625f67 65745f64 ...#L._usb_get_d 78c50 65736372 6970746f 72000000 08180223 escriptor......# 78c60 50085f75 73625f67 65745f73 74617475 P._usb_get_statu 78c70 73000000 08180223 54085f75 73625f73 s......#T._usb_s 78c80 65747570 5f646573 63000000 010c0223 etup_desc......# 78c90 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. 78ca0 00010c02 235c085f 7573625f 73746174 ....#\._usb_stat 78cb0 75735f69 6e000000 010c0223 60085f75 us_in......#`._u 78cc0 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. 78cd0 00010c02 2364085f 7573625f 6570305f ....#d._usb_ep0_ 78ce0 72785f64 61746100 0000010c 02236808 rx_data......#h. 78cf0 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... 78d00 010c0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. 78d10 0012d208 6e657874 5f646573 63000000 ....next_desc... 78d20 12d20223 00086275 665f6164 64720000 ...#..buf_addr.. 78d30 0012e602 23040862 75665f73 697a6500 ....#..buf_size. 78d40 000012ed 02230808 64617461 5f6f6666 .....#..data_off 78d50 73657400 000012ed 02230a08 64617461 set......#..data 78d60 5f73697a 65000000 12ed0223 0c08636f _size......#..co 78d70 6e74726f 6c000000 12ed0223 0e086877 ntrol......#..hw 78d80 5f646573 635f6275 66000000 12fb0223 _desc_buf......# 78d90 10000300 00124604 0009415f 55494e54 ......F...A_UINT 78da0 38000000 03200300 0012d904 0009415f 8.... ........A_ 78db0 55494e54 31360000 0001770f 000012d9 UINT16....w..... 78dc0 14000013 08101300 03000012 46040009 ............F... 78dd0 56444553 43000000 12460300 00130f04 VDESC....F...... 78de0 00060000 131a0103 00001321 04000600 ...........!.... 78df0 0012e601 03000013 2e040002 01030000 ................ 78e00 133b0400 07766465 73635f61 70690014 .;...vdesc_api.. 78e10 000013b3 085f696e 69740000 000adb02 ....._init...... 78e20 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. 78e30 00001327 02230408 5f676574 5f68775f ...'.#.._get_hw_ 78e40 64657363 00000013 34022308 085f7377 desc....4.#.._sw 78e50 61705f76 64657363 00000013 3d02230c ap_vdesc....=.#. 78e60 08705265 73657276 65640000 00040802 .pReserved...... 78e70 23100007 5f564255 46002000 00141308 #..._VBUF. ..... 78e80 64657363 5f6c6973 74000000 131a0223 desc_list......# 78e90 00086e65 78745f62 75660000 00141302 ..next_buf...... 78ea0 23040862 75665f6c 656e6774 68000000 #..buf_length... 78eb0 12ed0223 08087265 73657276 65640000 ...#..reserved.. 78ec0 00141a02 230a0863 74780000 0012fb02 ....#..ctx...... 78ed0 230c0003 000013b3 04000f00 0012d902 #............... 78ee0 00001427 10010003 000013b3 04000956 ...'...........V 78ef0 42554600 000013b3 03000014 2e040006 BUF............. 78f00 00001438 01030000 143f0400 06000014 ...8.....?...... 78f10 38010300 00144c04 00020103 00001459 8.....L........Y 78f20 04000776 6275665f 61706900 14000014 ...vbuf_api..... 78f30 d7085f69 6e697400 00000adb 02230008 .._init......#.. 78f40 5f616c6c 6f635f76 62756600 00001445 _alloc_vbuf....E 78f50 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 78f60 77697468 5f73697a 65000000 14520223 with_size....R.# 78f70 08085f66 7265655f 76627566 00000014 .._free_vbuf.... 78f80 5b02230c 08705265 73657276 65640000 [.#..pReserved.. 78f90 00040802 23100007 5f5f6164 665f6465 ....#...__adf_de 78fa0 76696365 00040000 14f90864 756d6d79 vice.......dummy 78fb0 00000001 13022300 00030000 091f0400 ......#......... 78fc0 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. 78fd0 00001540 08627566 00000014 38022300 ...@.buf....8.#. 78fe0 0864735f 61646472 00000014 f9022304 .ds_addr......#. 78ff0 0864735f 6c656e00 000012ed 02230800 .ds_len......#.. 79000 120c0000 157a085f 5f76615f 73746b00 .....z.__va_stk. 79010 00000376 02230008 5f5f7661 5f726567 ...v.#..__va_reg 79020 00000003 76022304 085f5f76 615f6e64 ....v.#..__va_nd 79030 78000000 01130223 0800095f 5f616466 x......#...__adf 79040 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. 79050 00091f09 6164665f 6f735f64 6d615f61 ....adf_os_dma_a 79060 6464725f 74000000 157a095f 5f616466 ddr_t....z.__adf 79070 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. 79080 00091f09 6164665f 6f735f64 6d615f73 ....adf_os_dma_s 79090 697a655f 74000000 15aa075f 5f646d61 ize_t......__dma 790a0 5f736567 73000800 00160608 70616464 _segs.......padd 790b0 72000000 15930223 00086c65 6e000000 r......#..len... 790c0 15c30223 0400095f 5f615f75 696e7433 ...#...__a_uint3 790d0 325f7400 0000091f 09615f75 696e7433 2_t......a_uint3 790e0 325f7400 00001606 0f000015 da080000 2_t............. 790f0 16351000 00076164 665f6f73 5f646d61 .5....adf_os_dma 79100 6d61705f 696e666f 000c0000 166e086e map_info.....n.n 79110 73656773 00000016 18022300 08646d61 segs......#..dma 79120 5f736567 73000000 16280223 0400095f _segs....(.#..._ 79130 5f615f75 696e7438 5f740000 0012d909 _a_uint8_t...... 79140 615f7569 6e74385f 74000000 166e0300 a_uint8_t....n.. 79150 00167f04 00075f5f 73675f73 65677300 ......__sg_segs. 79160 08000016 c0087661 64647200 0000168e ......vaddr..... 79170 02230008 6c656e00 00001618 02230400 .#..len......#.. 79180 0f000016 95200000 16cd1003 00076164 ..... ........ad 79190 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... 791a0 00086e73 65677300 00001618 02230008 ..nsegs......#.. 791b0 73675f73 65677300 000016c0 02230400 sg_segs......#.. 791c0 12100000 17490876 656e646f 72000000 .....I.vendor... 791d0 16180223 00086465 76696365 00000016 ...#..device.... 791e0 18022304 08737562 76656e64 6f720000 ..#..subvendor.. 791f0 00161802 23080873 75626465 76696365 ....#..subdevice 79200 00000016 1802230c 00046c6f 6e67206c ......#...long l 79210 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 79220 00070809 415f5549 4e543634 00000017 ....A_UINT64.... 79230 49095f5f 615f7569 6e743634 5f740000 I.__a_uint64_t.. 79240 00176309 615f7569 6e743634 5f740000 ..c.a_uint64_t.. 79250 00177114 04000017 cf0e4144 465f4f53 ..q.......ADF_OS 79260 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M 79270 454d0000 0e414446 5f4f535f 5245534f EM...ADF_OS_RESO 79280 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... 79290 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 792a0 74797065 5f740000 00179312 18000018 type_t.......... 792b0 19087374 61727400 00001783 02230008 ..start......#.. 792c0 656e6400 00001783 02230808 74797065 end......#..type 792d0 00000017 cf022310 00096164 665f6f73 ......#...adf_os 792e0 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... 792f0 17000300 00181904 00110400 00185808 ..............X. 79300 70636900 00001832 02230008 72617700 pci....2.#..raw. 79310 00000408 02230000 11100000 18770870 .....#.......w.p 79320 63690000 00181902 23000872 61770000 ci......#..raw.. 79330 00040802 23000009 6164665f 6472765f ....#...adf_drv_ 79340 68616e64 6c655f74 00000004 08096164 handle_t......ad 79350 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. 79360 000017eb 03000018 8d040009 6164665f ............adf_ 79370 6f735f61 74746163 685f6461 74615f74 os_attach_data_t 79380 00000018 58030000 18ab0400 03000014 ....X........... 79390 d7040009 5f5f6164 665f6f73 5f646576 ....__adf_os_dev 793a0 6963655f 74000000 18cc0961 64665f6f ice_t......adf_o 793b0 735f6465 76696365 5f740000 0018d306 s_device_t...... 793c0 00001877 01030000 18ff0400 02010300 ...w............ 793d0 00190c04 00096164 665f6f73 5f706d5f ......adf_os_pm_ 793e0 74000000 04080201 03000019 26040014 t...........&... 793f0 04000019 660e4144 465f4f53 5f425553 ....f.ADF_OS_BUS 79400 5f545950 455f5043 4900010e 4144465f _TYPE_PCI...ADF_ 79410 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE 79420 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu 79430 735f7479 70655f74 00000019 2f096164 s_type_t..../.ad 79440 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat 79450 615f7400 00001839 03000003 20040007 a_t....9.... ... 79460 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 79470 001a4308 6472765f 61747461 63680000 ..C.drv_attach.. 79480 00190502 23000864 72765f64 65746163 ....#..drv_detac 79490 68000000 190e0223 04086472 765f7375 h......#..drv_su 794a0 7370656e 64000000 19280223 08086472 spend....(.#..dr 794b0 765f7265 73756d65 00000019 0e02230c v_resume......#. 794c0 08627573 5f747970 65000000 19660223 .bus_type....f.# 794d0 10086275 735f6461 74610000 00197d02 ..bus_data....}. 794e0 2314086d 6f645f6e 616d6500 00001998 #..mod_name..... 794f0 02231808 69666e61 6d650000 00199802 .#..ifname...... 79500 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl 79510 655f7400 00000408 03000016 6e040002 e_t.........n... 79520 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 79530 655f7400 0000040b 14040000 1a920e41 e_t............A 79540 5f46414c 53450000 0e415f54 52554500 _FALSE...A_TRUE. 79550 01000961 5f626f6f 6c5f7400 00001a78 ...a_bool_t....x 79560 03000015 00040009 5f5f6164 665f6f73 ........__adf_os 79570 5f646d61 5f6d6170 5f740000 001aa002 _dma_map_t...... 79580 010d6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 79590 796e6300 0400001b 2a0e4144 465f5359 ync.....*.ADF_SY 795a0 4e435f50 52455245 41440000 0e414446 NC_PREREAD...ADF 795b0 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 795c0 0e414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 795d0 41440001 0e414446 5f53594e 435f504f AD...ADF_SYNC_PO 795e0 53545752 49544500 03000961 64665f6f STWRITE....adf_o 795f0 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 79600 001ac102 01096164 665f6f73 5f73697a ......adf_os_siz 79610 655f7400 00001a63 0600001b 45010961 e_t....c....E..a 79620 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 79630 00001aa7 0300001b 5e040006 00000408 ........^....... 79640 01030000 1aa70400 06000004 08010201 ................ 79650 06000015 93010201 0473686f 72742069 .........short i 79660 6e740005 0209415f 494e5431 36000000 nt....A_INT16... 79670 1b98095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. 79680 001ba509 615f696e 7431365f 74000000 ....a_int16_t... 79690 1bb20473 69676e65 64206368 61720005 ...signed char.. 796a0 0109415f 494e5438 0000001b d2095f5f ..A_INT8......__ 796b0 615f696e 74385f74 0000001b e109615f a_int8_t......a_ 796c0 696e7438 5f740000 001bed12 0c00001c int8_t.......... 796d0 64087375 70706f72 74656400 00001618 d.supported..... 796e0 02230008 61647665 7274697a 65640000 .#..advertized.. 796f0 00161802 23040873 70656564 0000001b ....#..speed.... 79700 c3022308 08647570 6c657800 00001bfd ..#..duplex..... 79710 02230a08 6175746f 6e656700 0000167f .#..autoneg..... 79720 02230b00 0f000016 7f060000 1c711005 .#...........q.. 79730 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd 79740 72000600 001c9508 61646472 0000001c r.......addr.... 79750 64022300 00095f5f 615f7569 6e743136 d.#...__a_uint16 79760 5f740000 0012ed09 615f7569 6e743136 _t......a_uint16 79770 5f740000 001c9512 0e00001c f9086574 _t............et 79780 6865725f 64686f73 74000000 1c640223 her_dhost....d.# 79790 00086574 6865725f 73686f73 74000000 ..ether_shost... 797a0 1c640223 06086574 6865725f 74797065 .d.#..ether_type 797b0 0000001c a702230c 00121400 001dba15 ......#......... 797c0 69705f76 65727369 6f6e0000 00167f01 ip_version...... 797d0 00040223 00156970 5f686c00 0000167f ...#..ip_hl..... 797e0 01040402 23000869 705f746f 73000000 ....#..ip_tos... 797f0 167f0223 01086970 5f6c656e 0000001c ...#..ip_len.... 79800 a7022302 0869705f 69640000 001ca702 ..#..ip_id...... 79810 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. 79820 001ca702 23060869 705f7474 6c000000 ....#..ip_ttl... 79830 167f0223 08086970 5f70726f 746f0000 ...#..ip_proto.. 79840 00167f02 23090869 705f6368 65636b00 ....#..ip_check. 79850 00001ca7 02230a08 69705f73 61646472 .....#..ip_saddr 79860 00000016 1802230c 0869705f 64616464 ......#..ip_dadd 79870 72000000 16180223 10000761 64665f6e r......#...adf_n 79880 65745f76 6c616e68 64720004 00001e0c et_vlanhdr...... 79890 08747069 64000000 1ca70223 00157072 .tpid......#..pr 798a0 696f0000 00167f01 00030223 02156366 io.........#..cf 798b0 69000000 167f0103 01022302 15766964 i.........#..vid 798c0 0000001c a702040c 02230200 07616466 .........#...adf 798d0 5f6e6574 5f766964 00020000 1e3d1572 _net_vid.....=.r 798e0 65730000 00167f01 00040223 00157661 es.........#..va 798f0 6c000000 1ca70204 0c022300 00120c00 l.........#..... 79900 001e7908 72785f62 75667369 7a650000 ..y.rx_bufsize.. 79910 00161802 23000872 785f6e64 65736300 ....#..rx_ndesc. 79920 00001618 02230408 74785f6e 64657363 .....#..tx_ndesc 79930 00000016 18022308 00120800 001e9f08 ......#......... 79940 706f6c6c 65640000 001a9202 23000870 polled......#..p 79950 6f6c6c5f 77740000 00161802 2304000f oll_wt......#... 79960 0000167f 4000001e ac103f00 12460000 ....@.....?..F.. 79970 1ed40869 665f6e61 6d650000 001e9f02 ...if_name...... 79980 23000864 65765f61 64647200 00001c64 #..dev_addr....d 79990 02234000 14040000 1f0b0e41 44465f4f .#@........ADF_O 799a0 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT 799b0 00000e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 799c0 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ 799d0 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... 799e0 1ed40761 64665f64 6d615f69 6e666f00 ...adf_dma_info. 799f0 0800001f 5808646d 615f6d61 736b0000 ....X.dma_mask.. 79a00 001f0b02 23000873 675f6e73 65677300 ....#..sg_nsegs. 79a10 00001618 02230400 14040000 1fae0e41 .....#.........A 79a20 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON 79a30 4500000e 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU 79a40 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. 79a50 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 79a60 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a 79a70 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ 79a80 655f7400 00001f58 12080000 1ff10874 e_t....X.......t 79a90 785f636b 73756d00 00001fae 02230008 x_cksum......#.. 79aa0 72785f63 6b73756d 0000001f ae022304 rx_cksum......#. 79ab0 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 79ac0 696e666f 5f740000 001fc814 04000020 info_t......... 79ad0 4a0e4144 465f4e45 545f5453 4f5f4e4f J.ADF_NET_TSO_NO 79ae0 4e450000 0e414446 5f4e4554 5f54534f NE...ADF_NET_TSO 79af0 5f495056 3400010e 4144465f 4e45545f _IPV4...ADF_NET_ 79b00 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n 79b10 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... 79b20 200b1210 0000209e 08636b73 756d5f63 ..... ..cksum_c 79b30 61700000 001ff102 23000874 736f0000 ap......#..tso.. 79b40 00204a02 23080876 6c616e5f 73757070 . J.#..vlan_supp 79b50 6f727465 64000000 167f0223 0c001220 orted......#... 79b60 00002137 0874785f 7061636b 65747300 ..!7.tx_packets. 79b70 00001618 02230008 72785f70 61636b65 .....#..rx_packe 79b80 74730000 00161802 23040874 785f6279 ts......#..tx_by 79b90 74657300 00001618 02230808 72785f62 tes......#..rx_b 79ba0 79746573 00000016 1802230c 0874785f ytes......#..tx_ 79bb0 64726f70 70656400 00001618 02231008 dropped......#.. 79bc0 72785f64 726f7070 65640000 00161802 rx_dropped...... 79bd0 23140872 785f6572 726f7273 00000016 #..rx_errors.... 79be0 18022318 0874785f 6572726f 72730000 ..#..tx_errors.. 79bf0 00161802 231c0009 6164665f 6e65745f ....#...adf_net_ 79c00 65746861 6464725f 74000000 1c711600 ethaddr_t....q.. 79c10 00213703 00000021 5c107f00 17616466 .!7....!\....adf 79c20 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. 79c30 03040000 2193086e 656c656d 00000016 ....!..nelem.... 79c40 18022300 086d6361 73740000 00214e02 ..#..mcast...!N. 79c50 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ 79c60 6c696e6b 5f696e66 6f5f7400 00001c0b link_info_t..... 79c70 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol 79c80 6c5f696e 666f5f74 0000001e 79096164 l_info_t....y.ad 79c90 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ 79ca0 696e666f 5f740000 001ff109 6164665f info_t......adf_ 79cb0 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf 79cc0 6f5f7400 00001e3d 09616466 5f6e6574 o_t....=.adf_net 79cd0 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. 79ce0 00001f22 09616466 5f6e6574 5f636d64 ...".adf_net_cmd 79cf0 5f766964 5f740000 001ca709 6164665f _vid_t......adf_ 79d00 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ 79d10 6361705f 74000000 20620961 64665f6e cap_t... b.adf_n 79d20 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. 79d30 00209e09 6164665f 6e65745f 636d645f . ..adf_net_cmd_ 79d40 6d636164 64725f74 00000021 5c0d6164 mcaddr_t...!\.ad 79d50 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 79d60 63617000 04000022 d50e4144 465f4e45 cap...."..ADF_NE 79d70 545f4d43 4153545f 53555000 000e4144 T_MCAST_SUP...AD 79d80 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS 79d90 55500001 00096164 665f6e65 745f636d UP....adf_net_cm 79da0 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... 79db0 228d1803 04000023 a7086c69 6e6b5f69 "......#..link_i 79dc0 6e666f00 00002193 02230008 706f6c6c nfo...!..#..poll 79dd0 5f696e66 6f000000 21b00223 0008636b _info...!..#..ck 79de0 73756d5f 696e666f 00000021 cd022300 sum_info...!..#. 79df0 0872696e 675f696e 666f0000 0021eb02 .ring_info...!.. 79e00 23000864 6d615f69 6e666f00 00002208 #..dma_info...". 79e10 02230008 76696400 00002224 02230008 .#..vid..."$.#.. 79e20 6f66666c 6f61645f 63617000 0000223b offload_cap..."; 79e30 02230008 73746174 73000000 225a0223 .#..stats..."Z.# 79e40 00086d63 6173745f 696e666f 00000022 ..mcast_info..." 79e50 73022300 086d6361 73745f63 61700000 s.#..mcast_cap.. 79e60 0022d502 23000014 04000023 fe0e4144 ."..#......#..AD 79e70 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 79e80 4e4f4e45 00000e41 44465f4e 4255465f NONE...ADF_NBUF_ 79e90 52585f43 4b53554d 5f485700 010e4144 RX_CKSUM_HW...AD 79ea0 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 79eb0 554e4e45 43455353 41525900 02000961 UNNECESSARY....a 79ec0 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 79ed0 5f747970 655f7400 000023a7 12080000 _type_t...#..... 79ee0 243e0872 6573756c 74000000 23fe0223 $>.result...#..# 79ef0 00087661 6c000000 16180223 04001208 ..val......#.... 79f00 0000246e 08747970 65000000 204a0223 ..$n.type... J.# 79f10 00086d73 73000000 1ca70223 04086864 ..mss......#..hd 79f20 725f6f66 66000000 167f0223 0600075f r_off......#..._ 79f30 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. 79f40 0c000024 ad086865 61640000 00143802 ...$..head....8. 79f50 23000874 61696c00 00001438 02230408 #..tail....8.#.. 79f60 716c656e 00000016 18022308 00095f5f qlen......#...__ 79f70 6164665f 6e627566 5f740000 00143803 adf_nbuf_t....8. 79f80 0000168e 04000300 00161804 00020106 ................ 79f90 0000131a 01060000 16180106 0000168e ................ 79fa0 01060000 168e0103 000012fb 0400095f ..............._ 79fb0 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ 79fc0 74000000 246e095f 5f616466 5f6e6275 t...$n.__adf_nbu 79fd0 665f7175 6575655f 74000000 24ee0300 f_queue_t...$... 79fe0 00250604 00060000 24ad0106 000024ad .%......$.....$. 79ff0 01140400 0026260e 415f5354 41545553 .....&&.A_STATUS 7a000 5f4f4b00 000e415f 53544154 55535f46 _OK...A_STATUS_F 7a010 41494c45 4400010e 415f5354 41545553 AILED...A_STATUS 7a020 5f454e4f 454e5400 020e415f 53544154 _ENOENT...A_STAT 7a030 55535f45 4e4f4d45 4d00030e 415f5354 US_ENOMEM...A_ST 7a040 41545553 5f45494e 56414c00 040e415f ATUS_EINVAL...A_ 7a050 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE 7a060 53530005 0e415f53 54415455 535f454e SS...A_STATUS_EN 7a070 4f545355 50500006 0e415f53 54415455 OTSUPP...A_STATU 7a080 535f4542 55535900 070e415f 53544154 S_EBUSY...A_STAT 7a090 55535f45 32424947 00080e41 5f535441 US_E2BIG...A_STA 7a0a0 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI 7a0b0 4c00090e 415f5354 41545553 5f454e58 L...A_STATUS_ENX 7a0c0 494f000a 0e415f53 54415455 535f4546 IO...A_STATUS_EF 7a0d0 41554c54 000b0e41 5f535441 5455535f AULT...A_STATUS_ 7a0e0 45494f00 0c000961 5f737461 7475735f EIO....a_status_ 7a0f0 74000000 25310600 00262601 06000001 t...%1...&&..... 7a100 13010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. 7a110 000024ad 14040000 268b0e41 44465f4f ..$.....&..ADF_O 7a120 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. 7a130 000e4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO 7a140 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ 7a150 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& 7a160 54060000 26260102 01096164 665f6f73 T...&&....adf_os 7a170 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. 7a180 00163503 000026a9 04000201 02010600 ..5...&......... 7a190 00264401 06000024 ad010201 02010600 .&D....$........ 7a1a0 00264401 06000024 ad010600 00264401 .&D....$.....&D. 7a1b0 06000024 ad010600 00264401 02010201 ...$.....&D..... 7a1c0 06000016 18010600 00168e01 02010201 ................ 7a1d0 0600001b 45010600 001a9201 0600001a ....E........... 7a1e0 92010961 64665f6f 735f7367 6c697374 ...adf_os_sglist 7a1f0 5f740000 0016cd03 00002722 04000201 _t........'".... 7a200 02010201 06000016 8e010961 64665f6e ...........adf_n 7a210 6275665f 71756575 655f7400 00002506 buf_queue_t...%. 7a220 03000027 4a040002 01030000 24ee0400 ...'J.......$... 7a230 02010201 02010600 00264401 06000024 .........&D....$ 7a240 ad010600 00161801 06000016 18010600 ................ 7a250 001a9201 0600001a 92010600 001fae01 ................ 7a260 06000016 18010961 64665f6e 6275665f .......adf_nbuf_ 7a270 72785f63 6b73756d 5f740000 00241c03 rx_cksum_t...$.. 7a280 000027a6 04000201 02010961 64665f6e ..'........adf_n 7a290 6275665f 74736f5f 74000000 243e0300 buf_tso_t...$>.. 7a2a0 0027ca04 00020102 01096164 665f6e65 .'........adf_ne 7a2b0 745f6861 6e646c65 5f740000 00040809 t_handle_t...... 7a2c0 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ 7a2d0 74000000 1dba0300 0027ff04 00060000 t........'...... 7a2e0 26260106 00002626 01020102 01075f48 &&....&&......_H 7a2f0 49465f43 4f4e4649 47000400 00284e08 IF_CONFIG....(N. 7a300 64756d6d 79000000 01130223 00000201 dummy......#.... 7a310 03000028 4e040002 01030000 28570400 ...(N.......(W.. 7a320 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. 7a330 000028ac 0873656e 645f6275 665f646f ..(..send_buf_do 7a340 6e650000 00285002 23000872 6563765f ne...(P.#..recv_ 7a350 62756600 00002859 02230408 636f6e74 buf...(Y.#..cont 7a360 65787400 00000408 02230800 09686966 ext......#...hif 7a370 5f68616e 646c655f 74000000 04080948 _handle_t......H 7a380 49465f43 4f4e4649 47000000 282d0300 IF_CONFIG...(-.. 7a390 0028be04 00060000 28ac0103 000028d5 .(......(.....(. 7a3a0 04000201 03000028 e2040009 4849465f .......(....HIF_ 7a3b0 43414c4c 4241434b 00000028 60030000 CALLBACK...(`... 7a3c0 28eb0400 02010300 00290404 00060000 (........)...... 7a3d0 01130103 0000290d 04000201 03000029 ......)........) 7a3e0 1a040006 00000113 01030000 29230400 ............)#.. 7a3f0 02010300 00293004 00060000 01130103 .....)0......... 7a400 00002939 04000201 03000029 46040007 ..)9.......)F... 7a410 6869665f 61706900 3800002a 9f085f69 hif_api.8..*.._i 7a420 6e697400 000028db 02230008 5f736875 nit...(..#.._shu 7a430 74646f77 6e000000 28e40223 04085f72 tdown...(..#.._r 7a440 65676973 7465725f 63616c6c 6261636b egister_callback 7a450 00000029 06022308 085f6765 745f746f ...)..#.._get_to 7a460 74616c5f 63726564 69745f63 6f756e74 tal_credit_count 7a470 00000029 1302230c 085f7374 61727400 ...)..#.._start. 7a480 000028e4 02231008 5f636f6e 6669675f ..(..#.._config_ 7a490 70697065 00000029 1c022314 085f7365 pipe...)..#.._se 7a4a0 6e645f62 75666665 72000000 29290223 nd_buffer...)).# 7a4b0 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b 7a4c0 75660000 00293202 231c085f 69735f70 uf...)2.#.._is_p 7a4d0 6970655f 73757070 6f727465 64000000 ipe_supported... 7a4e0 293f0223 20085f67 65745f6d 61785f6d )?.# ._get_max_m 7a4f0 73675f6c 656e0000 00293f02 2324085f sg_len...)?.#$._ 7a500 6765745f 72657365 72766564 5f686561 get_reserved_hea 7a510 64726f6f 6d000000 29130223 28085f69 droom...)..#(._i 7a520 73725f68 616e646c 65720000 0028e402 sr_handler...(.. 7a530 232c085f 6765745f 64656661 756c745f #,._get_default_ 7a540 70697065 00000029 48022330 08705265 pipe...)H.#0.pRe 7a550 73657276 65640000 00040802 2334000d served......#4.. 7a560 646d615f 656e6769 6e650004 00002b28 dma_engine....+( 7a570 0e444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. 7a580 000e444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 7a590 00010e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 7a5a0 3200020e 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R 7a5b0 58330003 0e444d41 5f454e47 494e455f X3...DMA_ENGINE_ 7a5c0 54583000 040e444d 415f454e 47494e45 TX0...DMA_ENGINE 7a5d0 5f545831 00050e44 4d415f45 4e47494e _TX1...DMA_ENGIN 7a5e0 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng 7a5f0 696e655f 74000000 2a9f0d64 6d615f69 ine_t...*..dma_i 7a600 66747970 65000400 002b750e 444d415f ftype....+u.DMA_ 7a610 49465f47 4d414300 000e444d 415f4946 IF_GMAC...DMA_IF 7a620 5f504349 00010e44 4d415f49 465f5043 _PCI...DMA_IF_PC 7a630 49450002 0009646d 615f6966 74797065 IE....dma_iftype 7a640 5f740000 002b3a06 000012ed 01030000 _t...+:......... 7a650 2b870400 02010300 002b9404 00020103 +........+...... 7a660 00002b9d 04000600 00091f01 0300002b ..+............+ 7a670 a6040006 000012ed 01030000 2bb30400 ............+... 7a680 06000012 ed010300 002bc004 00060000 .........+...... 7a690 14380103 00002bcd 04000201 0300002b .8....+........+ 7a6a0 da040007 646d615f 6c69625f 61706900 ....dma_lib_api. 7a6b0 3400002c e1087478 5f696e69 74000000 4..,..tx_init... 7a6c0 2b8d0223 00087478 5f737461 72740000 +..#..tx_start.. 7a6d0 002b9602 23040872 785f696e 69740000 .+..#..rx_init.. 7a6e0 002b8d02 23080872 785f636f 6e666967 .+..#..rx_config 7a6f0 0000002b 9f02230c 0872785f 73746172 ...+..#..rx_star 7a700 74000000 2b960223 1008696e 74725f73 t...+..#..intr_s 7a710 74617475 73000000 2bac0223 14086861 tatus...+..#..ha 7a720 72645f78 6d697400 00002bb9 02231808 rd_xmit...+..#.. 7a730 666c7573 685f786d 69740000 002b9602 flush_xmit...+.. 7a740 231c0878 6d69745f 646f6e65 0000002b #..xmit_done...+ 7a750 c6022320 08726561 705f786d 69747465 ..# .reap_xmitte 7a760 64000000 2bd30223 24087265 61705f72 d...+..#$.reap_r 7a770 65637600 00002bd3 02232808 72657475 ecv...+..#(.retu 7a780 726e5f72 65637600 00002bdc 02232c08 rn_recv...+..#,. 7a790 72656376 5f706b74 0000002b c6022330 recv_pkt...+..#0 7a7a0 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... 7a7b0 002cff08 73770000 0028eb02 23000009 .,..sw...(..#... 7a7c0 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... 7a7d0 2ce10300 002cff04 00020103 00002d19 ,....,........-. 7a7e0 04000600 0012d901 0300002d 2204000d ...........-"... 7a7f0 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. 7a800 0400002d 820e4849 465f5043 495f5049 ...-..HIF_PCI_PI 7a810 50455f54 58300000 0e484946 5f504349 PE_TX0...HIF_PCI 7a820 5f504950 455f5458 3100010e 4849465f _PIPE_TX1...HIF_ 7a830 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. 7a840 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ 7a850 74785f74 0000002d 2f060000 2b280103 tx_t...-/...+(.. 7a860 00002d99 04000d68 69665f70 63695f70 ..-....hif_pci_p 7a870 6970655f 72780004 00002e1f 0e484946 ipe_rx.......HIF 7a880 5f504349 5f504950 455f5258 3000000e _PCI_PIPE_RX0... 7a890 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 7a8a0 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 7a8b0 52583200 020e4849 465f5043 495f5049 RX2...HIF_PCI_PI 7a8c0 50455f52 58330003 0e484946 5f504349 PE_RX3...HIF_PCI 7a8d0 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... 7a8e0 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ 7a8f0 74000000 2da60600 002b2801 0300002e t...-....+(..... 7a900 36040007 6869665f 7063695f 61706900 6...hif_pci_api. 7a910 2400002f 14087063 695f626f 6f745f69 $../..pci_boot_i 7a920 6e697400 0000010c 02230008 7063695f nit......#..pci_ 7a930 696e6974 00000028 db022304 08706369 init...(..#..pci 7a940 5f726573 65740000 00010c02 23080870 _reset......#..p 7a950 63695f65 6e61626c 65000000 010c0223 ci_enable......# 7a960 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt 7a970 65640000 002d1b02 23100870 63695f72 ed...-..#..pci_r 7a980 6561705f 72656376 0000002d 1b022314 eap_recv...-..#. 7a990 08706369 5f676574 5f706970 65000000 .pci_get_pipe... 7a9a0 2d280223 18087063 695f6765 745f7478 -(.#..pci_get_tx 7a9b0 5f656e67 0000002d 9f02231c 08706369 _eng...-..#..pci 7a9c0 5f676574 5f72785f 656e6700 00002e3c _get_rx_eng....< 7a9d0 02232000 07676d61 635f6170 69000400 .# ..gmac_api... 7a9e0 002f3b08 676d6163 5f626f6f 745f696e ./;.gmac_boot_in 7a9f0 69740000 00010c02 2300000f 00000320 it......#...... 7aa00 0600002f 48100500 075f5f65 74686864 .../H....__ethhd 7aa10 72000e00 002f7e08 64737400 00002f3b r..../~.dst.../; 7aa20 02230008 73726300 00002f3b 02230608 .#..src.../;.#.. 7aa30 65747970 65000000 12ed0223 0c00075f etype......#..._ 7aa40 5f617468 68647200 0400002f cc157265 _athhdr..../..re 7aa50 73000000 12d90100 02022300 1570726f s.........#..pro 7aa60 746f0000 0012d901 02060223 00087265 to.........#..re 7aa70 735f6c6f 00000012 d9022301 08726573 s_lo......#..res 7aa80 5f686900 000012ed 02230200 075f5f67 _hi......#...__g 7aa90 6d61635f 68647200 14000030 08086574 mac_hdr....0..et 7aaa0 68000000 2f480223 00086174 68000000 h.../H.#..ath... 7aab0 2f7e0223 0e08616c 69676e5f 70616400 /~.#..align_pad. 7aac0 000012ed 02231200 095f5f67 6d61635f .....#...__gmac_ 7aad0 6864725f 74000000 2fcc075f 5f676d61 hdr_t.../..__gma 7aae0 635f736f 66746300 24000030 52086864 c_softc.$..0R.hd 7aaf0 72000000 30080223 00086772 616e0000 r...0..#..gran.. 7ab00 0012ed02 23140873 77000000 28eb0223 ....#..sw...(..# 7ab10 18000c5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage 7ab20 5f636865 636b0000 01030000 30520400 _check......0R.. 7ab30 06000001 13010300 00307004 00030000 .........0p..... 7ab40 040b0400 175f415f 636d6e6f 735f696e ....._A_cmnos_in 7ab50 64697265 6374696f 6e5f7461 626c6500 direction_table. 7ab60 01b80000 31c00868 616c5f6c 696e6b61 ....1..hal_linka 7ab70 67655f63 6865636b 00000030 76022300 ge_check...0v.#. 7ab80 08737461 72745f62 73730000 00307d02 .start_bss...0}. 7ab90 23040861 70705f73 74617274 00000001 #..app_start.... 7aba0 0c022308 086d656d 00000004 4b02230c ..#..mem....K.#. 7abb0 086d6973 63000000 056a0223 20087072 .misc....j.# .pr 7abc0 696e7466 00000001 40022344 08756172 intf....@.#D.uar 7abd0 74000000 02090223 4c08676d 61630000 t......#L.gmac.. 7abe0 002f1402 236c0875 73620000 000f9902 ./..#l.usb...... 7abf0 23700863 6c6f636b 0000000a e20323e0 #p.clock......#. 7ac00 01087469 6d657200 00000782 03238402 ..timer......#.. 7ac10 08696e74 72000000 0c320323 98020861 .intr....2.#...a 7ac20 6c6c6f63 72616d00 0000093a 0323c402 llocram....:.#.. 7ac30 08726f6d 70000000 082c0323 d0020877 .romp....,.#...w 7ac40 64745f74 696d6572 0000000e 0f0323e0 dt_timer......#. 7ac50 02086565 70000000 0f3d0323 fc020873 ..eep....=.#...s 7ac60 7472696e 67000000 068e0323 8c030874 tring......#...t 7ac70 61736b6c 65740000 000a3703 23a40300 asklet....7.#... 7ac80 075f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI 7ac90 47001000 00323308 6765745f 636f6d6d G....23.get_comm 7aca0 616e645f 62756600 00001445 02230008 and_buf....E.#.. 7acb0 72656376 5f636f6d 6d616e64 00000014 recv_command.... 7acc0 5b022304 08676574 5f657665 6e745f62 [.#..get_event_b 7acd0 75660000 00144502 23080873 656e645f uf....E.#..send_ 7ace0 6576656e 745f646f 6e650000 00145b02 event_done....[. 7acf0 230c0009 5553425f 4649464f 5f434f4e #...USB_FIFO_CON 7ad00 46494700 000031c0 03000032 33040002 FIG...1....23... 7ad10 01030000 324f0400 07757362 6669666f ....2O...usbfifo 7ad20 5f617069 000c0000 32a5085f 696e6974 _api....2.._init 7ad30 00000032 51022300 085f656e 61626c65 ...2Q.#.._enable 7ad40 5f657665 6e745f69 73720000 00010c02 _event_isr...... 7ad50 23040870 52657365 72766564 00000004 #..pReserved.... 7ad60 08022308 000f0000 167f0200 0032b210 ..#..........2.. 7ad70 0100075f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD 7ad80 52000800 00332408 456e6470 6f696e74 R....3$.Endpoint 7ad90 49440000 00167f02 23000846 6c616773 ID......#..Flags 7ada0 00000016 7f022301 08506179 6c6f6164 ......#..Payload 7adb0 4c656e00 00001ca7 02230208 436f6e74 Len......#..Cont 7adc0 726f6c42 79746573 00000032 a5022304 rolBytes...2..#. 7add0 08486f73 74536571 4e756d00 00001ca7 .HostSeqNum..... 7ade0 02230600 12020000 333d084d 65737361 .#......3=.Messa 7adf0 67654944 0000001c a7022300 00120800 geID......#..... 7ae00 0033a008 4d657373 61676549 44000000 .3..MessageID... 7ae10 1ca70223 00084372 65646974 436f756e ...#..CreditCoun 7ae20 74000000 1ca70223 02084372 65646974 t......#..Credit 7ae30 53697a65 0000001c a7022304 084d6178 Size......#..Max 7ae40 456e6470 6f696e74 73000000 167f0223 Endpoints......# 7ae50 06085f50 61643100 0000167f 02230700 .._Pad1......#.. 7ae60 120a0000 3437084d 65737361 67654944 ....47.MessageID 7ae70 0000001c a7022300 08536572 76696365 ......#..Service 7ae80 49440000 001ca702 23020843 6f6e6e65 ID......#..Conne 7ae90 6374696f 6e466c61 67730000 001ca702 ctionFlags...... 7aea0 23040844 6f776e4c 696e6b50 69706549 #..DownLinkPipeI 7aeb0 44000000 167f0223 06085570 4c696e6b D......#..UpLink 7aec0 50697065 49440000 00167f02 23070853 PipeID......#..S 7aed0 65727669 63654d65 74614c65 6e677468 erviceMetaLength 7aee0 00000016 7f022308 085f5061 64310000 ......#.._Pad1.. 7aef0 00167f02 23090012 0a000034 bf084d65 ....#......4..Me 7af00 73736167 65494400 00001ca7 02230008 ssageID......#.. 7af10 53657276 69636549 44000000 1ca70223 ServiceID......# 7af20 02085374 61747573 00000016 7f022304 ..Status......#. 7af30 08456e64 706f696e 74494400 0000167f .EndpointID..... 7af40 02230508 4d61784d 73675369 7a650000 .#..MaxMsgSize.. 7af50 001ca702 23060853 65727669 63654d65 ....#..ServiceMe 7af60 74614c65 6e677468 00000016 7f022308 taLength......#. 7af70 085f5061 64310000 00167f02 23090012 ._Pad1......#... 7af80 02000034 d8084d65 73736167 65494400 ...4..MessageID. 7af90 00001ca7 02230000 12040000 3514084d .....#......5..M 7afa0 65737361 67654944 0000001c a7022300 essageID......#. 7afb0 08506970 65494400 0000167f 02230208 .PipeID......#.. 7afc0 43726564 6974436f 756e7400 0000167f CreditCount..... 7afd0 02230300 12040000 354b084d 65737361 .#......5K.Messa 7afe0 67654944 0000001c a7022300 08506970 geID......#..Pip 7aff0 65494400 0000167f 02230208 53746174 eID......#..Stat 7b000 75730000 00167f02 23030012 02000035 us......#......5 7b010 72085265 636f7264 49440000 00167f02 r.RecordID...... 7b020 2300084c 656e6774 68000000 167f0223 #..Length......# 7b030 01001202 0000359c 08456e64 706f696e ......5..Endpoin 7b040 74494400 0000167f 02230008 43726564 tID......#..Cred 7b050 69747300 0000167f 02230100 12040000 its......#...... 7b060 35dd0845 6e64706f 696e7449 44000000 5..EndpointID... 7b070 167f0223 00084372 65646974 73000000 ...#..Credits... 7b080 167f0223 01085467 74437265 64697453 ...#..TgtCreditS 7b090 65714e6f 0000001c a7022302 000f0000 eqNo......#..... 7b0a0 167f0400 0035ea10 03001206 00003626 .....5........6& 7b0b0 08507265 56616c69 64000000 167f0223 .PreValid......# 7b0c0 00084c6f 6f6b4168 65616400 000035dd ..LookAhead...5. 7b0d0 02230108 506f7374 56616c69 64000000 .#..PostValid... 7b0e0 167f0223 05000970 6f6f6c5f 68616e64 ...#...pool_hand 7b0f0 6c655f74 00000004 08060000 36260103 le_t........6&.. 7b100 00003639 04000201 03000036 46040014 ..69.......6F... 7b110 04000036 c40e504f 4f4c5f49 445f4854 ...6..POOL_ID_HT 7b120 435f434f 4e54524f 4c00000e 504f4f4c C_CONTROL...POOL 7b130 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ 7b140 5245504c 5900010e 504f4f4c 5f49445f REPLY...POOL_ID_ 7b150 574d495f 5356435f 4556454e 5400020e WMI_SVC_EVENT... 7b160 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ 7b170 42554600 030e504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA 7b180 58000a00 09425546 5f504f4f 4c5f4944 X....BUF_POOL_ID 7b190 00000036 4f020103 000036d5 04000600 ...6O.....6..... 7b1a0 00264401 03000036 de040006 00002644 .&D....6......&D 7b1b0 01030000 36eb0400 02010300 0036f804 ....6........6.. 7b1c0 00076275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. 7b1d0 0000379a 085f696e 69740000 00363f02 ..7.._init...6?. 7b1e0 2300085f 73687574 646f776e 00000036 #.._shutdown...6 7b1f0 48022304 085f6372 65617465 5f706f6f H.#.._create_poo 7b200 6c000000 36d70223 08085f61 6c6c6f63 l...6..#.._alloc 7b210 5f627566 00000036 e402230c 085f616c _buf...6..#.._al 7b220 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... 7b230 36f10223 10085f66 7265655f 62756600 6..#.._free_buf. 7b240 000036fa 02231408 70526573 65727665 ..6..#..pReserve 7b250 64000000 04080223 1800075f 4854435f d......#..._HTC_ 7b260 53455256 49434500 1c000038 7908704e SERVICE....8y.pN 7b270 65787400 00003879 02230008 50726f63 ext...8y.#..Proc 7b280 65737352 6563764d 73670000 00392e02 essRecvMsg...9.. 7b290 23040850 726f6365 73735365 6e644275 #..ProcessSendBu 7b2a0 66666572 436f6d70 6c657465 00000039 fferComplete...9 7b2b0 37022308 0850726f 63657373 436f6e6e 7.#..ProcessConn 7b2c0 65637400 0000394b 02230c08 53657276 ect...9K.#..Serv 7b2d0 69636549 44000000 12ed0223 10085365 iceID......#..Se 7b2e0 72766963 65466c61 67730000 0012ed02 rviceFlags...... 7b2f0 2312084d 61785376 634d7367 53697a65 #..MaxSvcMsgSize 7b300 00000012 ed022314 08547261 696c6572 ......#..Trailer 7b310 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... 7b320 12ed0223 16085365 72766963 65437478 ...#..ServiceCtx 7b330 00000004 08022318 00030000 379a0400 ......#.....7... 7b340 14040000 39171945 4e44504f 494e545f ....9..ENDPOINT_ 7b350 554e5553 454400ff ffffff0e 454e4450 UNUSED......ENDP 7b360 4f494e54 3000000e 454e4450 4f494e54 OINT0...ENDPOINT 7b370 3100010e 454e4450 4f494e54 3200020e 1...ENDPOINT2... 7b380 454e4450 4f494e54 3300030e 454e4450 ENDPOINT3...ENDP 7b390 4f494e54 3400040e 454e4450 4f494e54 OINT4...ENDPOINT 7b3a0 3500050e 454e4450 4f494e54 3600060e 5...ENDPOINT6... 7b3b0 454e4450 4f494e54 3700070e 454e4450 ENDPOINT7...ENDP 7b3c0 4f494e54 3800080e 454e4450 4f494e54 OINT8...ENDPOINT 7b3d0 5f4d4158 00160009 4854435f 454e4450 _MAX....HTC_ENDP 7b3e0 4f494e54 5f494400 00003880 02010300 OINT_ID...8..... 7b3f0 00392c04 00020103 00003935 04000300 .9,.......95.... 7b400 00011304 00060000 12d90103 00003945 ..............9E 7b410 04000300 00379a04 00075f48 54435f43 .....7...._HTC_C 7b420 4f4e4649 47001400 0039ca08 43726564 ONFIG....9..Cred 7b430 69745369 7a650000 00011302 23000843 itSize......#..C 7b440 72656469 744e756d 62657200 00000113 reditNumber..... 7b450 02230408 4f534861 6e646c65 0000001a .#..OSHandle.... 7b460 43022308 08484946 48616e64 6c650000 C.#..HIFHandle.. 7b470 0028ac02 230c0850 6f6f6c48 616e646c .(..#..PoolHandl 7b480 65000000 36260223 1000075f 4854435f e...6&.#..._HTC_ 7b490 4255465f 434f4e54 45585400 0200003a BUF_CONTEXT....: 7b4a0 0608656e 645f706f 696e7400 000012d9 ..end_point..... 7b4b0 02230008 6874635f 666c6167 73000000 .#..htc_flags... 7b4c0 12d90223 01000968 74635f68 616e646c ...#...htc_handl 7b4d0 655f7400 00000408 09485443 5f534554 e_t......HTC_SET 7b4e0 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. 7b4f0 00010c09 4854435f 434f4e46 49470000 ....HTC_CONFIG.. 7b500 00395903 00003a33 04000600 003a0601 .9Y...:3.....:.. 7b510 0300003a 4a040002 01030000 3a570400 ...:J.......:W.. 7b520 09485443 5f534552 56494345 00000037 .HTC_SERVICE...7 7b530 9a030000 3a600400 02010300 003a7804 ....:`.......:x. 7b540 00020103 00003a81 04000201 0300003a ......:........: 7b550 8a040006 00000113 01030000 3a930400 ............:... 7b560 07687463 5f617069 73003400 003c1008 .htc_apis.4..<.. 7b570 5f485443 5f496e69 74000000 3a500223 _HTC_Init...:P.# 7b580 00085f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. 7b590 00003a59 02230408 5f485443 5f526567 ..:Y.#.._HTC_Reg 7b5a0 69737465 72536572 76696365 0000003a isterService...: 7b5b0 7a022308 085f4854 435f5265 61647900 z.#.._HTC_Ready. 7b5c0 00003a59 02230c08 5f485443 5f526574 ..:Y.#.._HTC_Ret 7b5d0 75726e42 75666665 72730000 003a8302 urnBuffers...:.. 7b5e0 2310085f 4854435f 52657475 726e4275 #.._HTC_ReturnBu 7b5f0 66666572 734c6973 74000000 3a8c0223 ffersList...:..# 7b600 14085f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. 7b610 003a8302 2318085f 4854435f 47657452 .:..#.._HTC_GetR 7b620 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. 7b630 00003a99 02231c08 5f485443 5f4d7367 ..:..#.._HTC_Msg 7b640 52656376 48616e64 6c657200 00002859 RecvHandler...(Y 7b650 02232008 5f485443 5f53656e 64446f6e .# ._HTC_SendDon 7b660 6548616e 646c6572 00000028 50022324 eHandler...(P.#$ 7b670 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 7b680 50726f63 6573734d 73670000 00392e02 ProcessMsg...9.. 7b690 2328085f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS 7b6a0 76635072 6f636573 7353656e 64436f6d vcProcessSendCom 7b6b0 706c6574 65000000 39370223 2c087052 plete...97.#,.pR 7b6c0 65736572 76656400 00000408 02233000 eserved......#0. 7b6d0 07686f73 745f6170 705f6172 65615f73 .host_app_area_s 7b6e0 00040000 3c400877 6d695f70 726f746f ....<@.wmi_proto 7b6f0 636f6c5f 76657200 00001618 02230000 col_ver......#.. 7b700 120e0000 3c770864 73744d61 63000000 ......b 7b8b0 6561636f 6e50656e 64696e67 436f756e eaconPendingCoun 7b8c0 74000000 167f0223 0000075f 574d495f t......#..._WMI_ 7b8d0 5356435f 434f4e46 49470010 00003e73 SVC_CONFIG....>s 7b8e0 08487463 48616e64 6c650000 003a0602 .HtcHandle...:.. 7b8f0 23000850 6f6f6c48 616e646c 65000000 #..PoolHandle... 7b900 36260223 04084d61 78436d64 5265706c 6&.#..MaxCmdRepl 7b910 79457674 73000000 01130223 08084d61 yEvts......#..Ma 7b920 78457665 6e744576 74730000 00011302 xEventEvts...... 7b930 230c0002 01030000 3e730400 09574d49 #.......>s...WMI 7b940 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> 7b950 75075f57 4d495f44 49535041 5443485f u._WMI_DISPATCH_ 7b960 454e5452 59000800 003edc08 70436d64 ENTRY....>..pCmd 7b970 48616e64 6c657200 00003e7c 02230008 Handler...>|.#.. 7b980 436d6449 44000000 12ed0223 0408466c CmdID......#..Fl 7b990 61677300 000012ed 02230600 075f574d ags......#..._WM 7b9a0 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 7b9b0 00100000 3f3d0870 4e657874 0000003f ....?=.pNext...? 7b9c0 3d022300 0870436f 6e746578 74000000 =.#..pContext... 7b9d0 04080223 04084e75 6d626572 4f66456e ...#..NumberOfEn 7b9e0 74726965 73000000 01130223 08087054 tries......#..pT 7b9f0 61626c65 0000003f 5c02230c 00030000 able...?\.#..... 7ba00 3edc0400 09574d49 5f444953 50415443 >....WMI_DISPATC 7ba10 485f454e 54525900 00003e91 0300003f H_ENTRY...>....? 7ba20 44040003 00003edc 04000948 54435f42 D.....>....HTC_B 7ba30 55465f43 4f4e5445 58540000 0039ca0d UF_CONTEXT...9.. 7ba40 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... 7ba50 003ff419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS 7ba60 535f4e4f 4e4500ff ffffff0e 574d495f S_NONE......WMI_ 7ba70 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV 7ba80 454e5400 000e574d 495f4556 545f434c ENT...WMI_EVT_CL 7ba90 4153535f 434d445f 5245504c 5900010e ASS_CMD_REPLY... 7baa0 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA 7bab0 58000200 09574d49 5f455654 5f434c41 X....WMI_EVT_CLA 7bac0 53530000 003f7f07 5f574d49 5f425546 SS...?.._WMI_BUF 7bad0 5f434f4e 54455854 000c0000 40520848 _CONTEXT....@R.H 7bae0 74634275 66437478 0000003f 6a022300 tcBufCtx...?j.#. 7baf0 08457665 6e74436c 61737300 00003ff4 .EventClass...?. 7bb00 02230408 466c6167 73000000 12ed0223 .#..Flags......# 7bb10 08000977 6d695f68 616e646c 655f7400 ...wmi_handle_t. 7bb20 00000408 09574d49 5f535643 5f434f4e .....WMI_SVC_CON 7bb30 46494700 00003e0a 03000040 64040006 FIG...>....@d... 7bb40 00004052 01030000 407f0400 09574d49 ..@R....@....WMI 7bb50 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 7bb60 00003edc 03000040 8c040002 01030000 ..>....@........ 7bb70 40ab0400 06000026 44010300 0040b404 @......&D....@.. 7bb80 00020103 000040c1 04000600 00011301 ......@......... 7bb90 03000040 ca040002 01030000 40d70400 ...@........@... 7bba0 06000012 d9010300 0040e004 00075f77 .........@...._w 7bbb0 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B 7bbc0 28085f57 4d495f49 6e697400 00004085 (._WMI_Init...@. 7bbd0 02230008 5f574d49 5f526567 69737465 .#.._WMI_Registe 7bbe0 72446973 70617463 68546162 6c650000 rDispatchTable.. 7bbf0 0040ad02 2304085f 574d495f 416c6c6f .@..#.._WMI_Allo 7bc00 63457665 6e740000 0040ba02 2308085f cEvent...@..#.._ 7bc10 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... 7bc20 40c30223 0c085f57 4d495f47 65745065 @..#.._WMI_GetPe 7bc30 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount 7bc40 00000040 d0022310 085f574d 495f5365 ...@..#.._WMI_Se 7bc50 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle 7bc60 72000000 39370223 14085f57 4d495f47 r...97.#.._WMI_G 7bc70 6574436f 6e74726f 6c457000 000040d0 etControlEp...@. 7bc80 02231808 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow 7bc90 6e000000 40d90223 1c085f57 4d495f52 n...@..#.._WMI_R 7bca0 6563764d 65737361 67654861 6e646c65 ecvMessageHandle 7bcb0 72000000 392e0223 20085f57 4d495f53 r...9..# ._WMI_S 7bcc0 65727669 6365436f 6e6e6563 74000000 erviceConnect... 7bcd0 40e60223 24087052 65736572 76656400 @..#$.pReserved. 7bce0 00000408 02232800 077a7344 6d614465 .....#(..zsDmaDe 7bcf0 73630014 000042aa 08637472 6c000000 sc....B..ctrl... 7bd00 01770223 00087374 61747573 00000001 .w.#..status.... 7bd10 77022302 08746f74 616c4c65 6e000000 w.#..totalLen... 7bd20 01770223 04086461 74615369 7a650000 .w.#..dataSize.. 7bd30 00017702 2306086c 61737441 64647200 ..w.#..lastAddr. 7bd40 000042aa 02230808 64617461 41646472 ..B..#..dataAddr 7bd50 00000001 9b02230c 086e6578 74416464 ......#..nextAdd 7bd60 72000000 42aa0223 10000300 00422804 r...B..#.....B(. 7bd70 00030000 42280400 077a7344 6d615175 ....B(...zsDmaQu 7bd80 65756500 08000042 ea086865 61640000 eue....B..head.. 7bd90 0042b102 23000874 65726d69 6e61746f .B..#..terminato 7bda0 72000000 42b10223 0400077a 73547844 r...B..#...zsTxD 7bdb0 6d615175 65756500 10000043 4e086865 maQueue....CN.he 7bdc0 61640000 0042b102 23000874 65726d69 ad...B..#..termi 7bdd0 6e61746f 72000000 42b10223 0408786d nator...B..#..xm 7bde0 69746564 5f627566 5f686561 64000000 ited_buf_head... 7bdf0 14380223 0808786d 69746564 5f627566 .8.#..xmited_buf 7be00 5f746169 6c000000 14380223 0c000201 _tail....8.#.... 7be10 03000043 4e040003 000042b8 04000201 ...CN.....B..... 7be20 03000043 5e040003 000042ea 04000201 ...C^.....B..... 7be30 03000043 6e040002 01030000 43770400 ...Cn.......Cw.. 7be40 02010300 00438004 00060000 14380103 .....C.......8.. 7be50 00004389 04000201 03000043 96040006 ..C........C.... 7be60 00001438 01030000 439f0400 02010300 ...8....C....... 7be70 0043ac04 00060000 01130103 000043b5 .C............C. 7be80 04000600 0042b101 03000043 c2040002 .....B.....C.... 7be90 01030000 43cf0400 07646d61 5f656e67 ....C....dma_eng 7bea0 696e655f 61706900 40000045 45085f69 ine_api.@..EE._i 7beb0 6e697400 00004350 02230008 5f696e69 nit...CP.#.._ini 7bec0 745f7278 5f717565 75650000 00436002 t_rx_queue...C`. 7bed0 2304085f 696e6974 5f74785f 71756575 #.._init_tx_queu 7bee0 65000000 43700223 08085f63 6f6e6669 e...Cp.#.._confi 7bef0 675f7278 5f717565 75650000 00437902 g_rx_queue...Cy. 7bf00 230c085f 786d6974 5f627566 00000043 #.._xmit_buf...C 7bf10 82022310 085f666c 7573685f 786d6974 ..#.._flush_xmit 7bf20 00000043 60022314 085f7265 61705f72 ...C`.#.._reap_r 7bf30 6563765f 62756600 0000438f 02231808 ecv_buf...C..#.. 7bf40 5f726574 75726e5f 72656376 5f627566 _return_recv_buf 7bf50 00000043 9802231c 085f7265 61705f78 ...C..#.._reap_x 7bf60 6d697465 645f6275 66000000 43a50223 mited_buf...C..# 7bf70 20085f73 7761705f 64617461 00000043 ._swap_data...C 7bf80 ae022324 085f6861 735f636f 6d706c5f ..#$._has_compl_ 7bf90 7061636b 65747300 000043bb 02232808 packets...C..#(. 7bfa0 5f646573 635f6475 6d700000 00436002 _desc_dump...C`. 7bfb0 232c085f 6765745f 7061636b 65740000 #,._get_packet.. 7bfc0 0043c802 2330085f 7265636c 61696d5f .C..#0._reclaim_ 7bfd0 7061636b 65740000 0043d102 2334085f packet...C..#4._ 7bfe0 7075745f 7061636b 65740000 0043d102 put_packet...C.. 7bff0 23380870 52657365 72766564 00000004 #8.pReserved.... 7c000 0802233c 00095f41 5f636d6e 6f735f69 ..#<.._A_cmnos_i 7c010 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 7c020 5f740000 00308409 574d495f 5356435f _t...0..WMI_SVC_ 7c030 41504953 00000040 ed175f41 5f6d6167 APIS...@.._A_mag 7c040 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 7c050 7461626c 6500034c 00004673 08636d6e table..L..Fs.cmn 7c060 6f730000 00454502 23000864 62670000 os...EE.#..dbg.. 7c070 0003d503 23b80308 68696600 0000294f ....#...hif...)O 7c080 0323c003 08687463 0000003a a00323f8 .#...htc...:..#. 7c090 0308776d 695f7376 635f6170 69000000 ..wmi_svc_api... 7c0a0 45670323 ac040875 73626669 666f5f61 Eg.#...usbfifo_a 7c0b0 70690000 00325803 23d80408 6275665f pi...2X.#...buf_ 7c0c0 706f6f6c 00000037 010323e4 04087662 pool...7..#...vb 7c0d0 75660000 00146203 23800508 76646573 uf....b.#...vdes 7c0e0 63000000 13440323 94050861 6c6c6f63 c....D.#...alloc 7c0f0 72616d00 0000093a 0323a805 08646d61 ram....:.#...dma 7c100 5f656e67 696e6500 000043d8 0323b405 _engine...C..#.. 7c110 08646d61 5f6c6962 0000002b e30323f4 .dma_lib...+..#. 7c120 05086869 665f7063 69000000 2e430323 ..hif_pci....C.# 7c130 a8060006 00000408 01095f41 5f6d6167 .........._A_mag 7c140 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 7c150 7461626c 655f7400 00004579 02010201 table_t...Ey.... 7c160 02010600 00011301 0f000014 38280000 ............8(.. 7c170 46b51009 00075f42 55465f50 4f4f4c5f F....._BUF_POOL_ 7c180 53544154 49435f43 4f4e5445 5854002c STATIC_CONTEXT., 7c190 000046f4 08627566 51000000 46a80223 ..F..bufQ...F..# 7c1a0 00087052 65736572 76656400 00000408 ..pReserved..... 7c1b0 02232800 09425546 5f504f4f 4c5f5354 .#(..BUF_POOL_ST 7c1c0 41544943 5f434f4e 54455854 00000046 ATIC_CONTEXT...F 7c1d0 b51a675f 706f6f6c 43747800 000046f4 ..g_poolCtx...F. 7c1e0 05030050 0b180103 00003701 04000201 ...P......7..... 7c1f0 0600003a 06010300 00473004 00030000 ...:.....G0..... 7c200 46f40400 1b012262 75665f70 6f6f6c5f F....."buf_pool_ 7c210 6d6f6475 6c655f69 6e737461 6c6c0001 module_install.. 7c220 01039201 20029000 008e6264 008e6287 .... .....bd..b. 7c230 00004784 1c012270 41504973 00000047 ..G..."pAPIs...G 7c240 27015200 1d012c5f 6275665f 706f6f6c '.R...,_buf_pool 7c250 5f737461 7469635f 696e6974 00000004 _static_init.... 7c260 08010103 92012002 9000008e 6288008e ...... .....b... 7c270 629e0000 47ce1c01 2c68616e 646c6500 b...G...,handle. 7c280 00001a43 01521e69 00000001 13001b01 ...C.R.i........ 7c290 415f6275 665f706f 6f6c5f73 74617469 A_buf_pool_stati 7c2a0 635f7368 7574646f 776e0001 01039201 c_shutdown...... 7c2b0 20029000 008e62a0 008e62a5 00004811 .....b...b...H. 7c2c0 1c014168 616e646c 65000000 36260152 ..Ahandle...6&.R 7c2d0 001f0146 5f627566 5f706f6f 6c5f7374 ...F_buf_pool_st 7c2e0 61746963 5f637265 6174655f 706f6f6c atic_create_pool 7c2f0 00010101 03920130 02900000 8e62a800 .......0.....b.. 7c300 8e62fd00 0048a11c 01466861 6e646c65 .b...H...Fhandle 7c310 00000036 2601521c 0146706f 6f6c4964 ...6&.R..FpoolId 7c320 00000036 c401531c 01466e49 74656d73 ...6..S..FnItems 7c330 00000001 1301541c 01466e53 697a6500 ......T..FnSize. 7c340 00000113 01551e69 00000001 131e6275 .....U.i......bu 7c350 66000000 14381e64 65736300 0000131a f....8.desc..... 7c360 001d0163 5f627566 5f706f6f 6c5f7374 ...c_buf_pool_st 7c370 61746963 5f616c6c 6f635f62 75660000 atic_alloc_buf.. 7c380 00264401 01039201 20029000 008e6300 .&D..... .....c. 7c390 008e6322 00004913 1c016368 616e646c ..c"..I...chandl 7c3a0 65000000 36260152 1c016370 6f6f6c49 e...6&.R..cpoolI 7c3b0 64000000 36c40153 1c016372 65736572 d...6..S..creser 7c3c0 76650000 00011301 541e6275 66000000 ve......T.buf... 7c3d0 1438001d 01745f62 75665f70 6f6f6c5f .8...t_buf_pool_ 7c3e0 73746174 69635f61 6c6c6f63 5f627566 static_alloc_buf 7c3f0 5f616c69 676e0000 00264401 01039201 _align...&D..... 7c400 20029000 008e6324 008e6334 00004991 .....c$..c4..I. 7c410 1c017468 616e646c 65000000 36260152 ..thandle...6&.R 7c420 1c017470 6f6f6c49 64000000 36c40153 ..tpoolId...6..S 7c430 1c017472 65736572 76650000 00011301 ..treserve...... 7c440 541c0174 616c6967 6e000000 01130155 T..talign......U 7c450 00200179 5f627566 5f706f6f 6c5f7374 . .y_buf_pool_st 7c460 61746963 5f667265 655f6275 66000101 atic_free_buf... 7c470 03920120 02900000 8e633400 8e63471c ... .....c4..cG. 7c480 01796861 6e646c65 00000036 2601521c .yhandle...6&.R. 7c490 0179706f 6f6c4964 00000036 c401531c .ypoolId...6..S. 7c4a0 01796275 66000000 26440154 00000000 .ybuf...&D.T.... 7c4b0 0002eb00 0200002c dc040165 78632d73 .......,...exc-s 7c4c0 65746861 6e646c65 722e6300 2f666f6c ethandler.c./fol 7c4d0 6b732f72 6873752f 70726f6a 6563742f ks/rhsu/project/ 7c4e0 7034762f 70657266 6f726365 2f70305f p4v/perforce/p0_ 7c4f0 636f7265 2f70726f 6a656374 2f737263 core/project/src 7c500 2f726f6d 2f6f732f 7372632f 78746f73 /rom/os/src/xtos 7c510 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 7c520 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 7c530 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 7c540 202d6733 00010000 01bab602 6c6f6e67 -g3........long 7c550 20696e74 00050403 0000009c 10000000 int............ 7c560 b5040300 05280000 01140670 63000000 .....(.....pc... 7c570 009c0223 00067073 00000000 9c022304 ...#..ps......#. 7c580 06617265 67000000 00a80223 08067361 .areg......#..sa 7c590 72000000 009c0223 18066c63 6f756e74 r......#..lcount 7c5a0 00000000 9c02231c 066c6265 67000000 ......#..lbeg... 7c5b0 009c0223 20066c65 6e640000 00009c02 ...# .lend...... 7c5c0 23240005 30000001 b0067063 00000000 #$..0.....pc.... 7c5d0 9c022300 06707300 0000009c 02230406 ..#..ps......#.. 7c5e0 73617200 0000009c 02230806 76707269 sar......#..vpri 7c5f0 00000000 9c02230c 06613200 0000009c ......#..a2..... 7c600 02231006 61330000 00009c02 23140661 .#..a3......#..a 7c610 34000000 009c0223 18066135 00000000 4......#..a5.... 7c620 9c02231c 06657863 63617573 65000000 ..#..exccause... 7c630 009c0223 20066c63 6f756e74 00000000 ...# .lcount.... 7c640 9c022324 066c6265 67000000 009c0223 ..#$.lbeg......# 7c650 28066c65 6e640000 00009c02 232c0007 (.lend......#,.. 7c660 01085f78 746f735f 68616e64 6c65725f .._xtos_handler_ 7c670 66756e63 00000001 b0090000 01b20400 func............ 7c680 085f7874 6f735f68 616e646c 65720000 ._xtos_handler.. 7c690 0001ca0a 04000b58 746f7349 6e744861 .......XtosIntHa 7c6a0 6e646c65 72456e74 72790008 0000021e ndlerEntry...... 7c6b0 0668616e 646c6572 00000001 d1022300 .handler......#. 7c6c0 06617267 00000001 e4022304 0002756e .arg......#...un 7c6d0 7369676e 65642069 6e740007 040b5874 signed int....Xt 7c6e0 6f73496e 744d6173 6b456e74 72790008 osIntMaskEntry.. 7c6f0 0000026b 06767072 695f6d61 736b0000 ...k.vpri_mask.. 7c700 00021e02 2300066c 6576656c 5f6d6173 ....#..level_mas 7c710 6b000000 021e0223 04000269 6e740005 k......#...int.. 7c720 040c0000 01d10107 01090000 02780400 .............x.. 7c730 0d000001 d1010000 028d0e00 0d000001 ................ 7c740 d1010000 02990e00 0f01215f 78746f73 ..........!_xtos 7c750 5f736574 5f657863 65707469 6f6e5f68 _set_exception_h 7c760 616e646c 65720000 0001d101 01039201 andler.......... 7c770 20029000 008e6348 008e63a9 1001216e .....cH..c...!n 7c780 00000002 6b015210 01216600 000001d1 ....k.R..!f..... 7c790 01531172 65740000 0001d100 00000000 .S.ret.......... 7c7a0 00750002 00002d98 04010001 bd70008e .u....-......p.. 7c7b0 6950008e 69556578 632d7461 626c652e iP..iUexc-table. 7c7c0 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr 7c7d0 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor 7c7e0 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje 7c7f0 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr 7c800 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. 7c810 31362e31 00800100 00008100 0200002d 16.1...........- 7c820 ac040100 01bdaf00 8e63ac00 8e642565 .........c...d%e 7c830 78632d63 2d777261 70706572 2d68616e xc-c-wrapper-han 7c840 646c6572 2e53002f 666f6c6b 732f7268 dler.S./folks/rh 7c850 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p 7c860 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ 7c870 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ 7c880 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU 7c890 41532032 2e31362e 31008001 000000c5 AS 2.16.1....... 7c8a0 00020000 2dc00401 0001bec2 008e6958 ....-.........iX 7c8b0 008e697e 2f686f6d 652f6375 73746f6d ..i~/home/custom 7c8c0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 7c8d0 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- 7c8e0 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa 7c8f0 2d656c66 2f737263 2f68616c 2f636c6f -elf/src/hal/clo 7c900 636b2e53 002f7072 6f6a6563 742f6375 ck.S./project/cu 7c910 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 7c920 372e322f 6275696c 642f6174 6865726f 7.2/build/athero 7c930 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 7c940 2f383337 34332f78 6275696c 642f4f53 /83743/xbuild/OS 7c950 2f68616c 00474e55 20415320 322e3136 /hal.GNU AS 2.16 7c960 2e310080 0100000c 1c000200 002dd404 .1...........-.. 7c970 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ 7c980 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t 7c990 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 7c9a0 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el 7c9b0 662f7372 632f6861 6c2f6d69 73632e63 f/src/hal/misc.c 7c9c0 002f7072 6f6a6563 742f6375 73742f67 ./project/cust/g 7c9d0 656e6170 702f5242 2d323030 372e322f enapp/RB-2007.2/ 7c9e0 6275696c 642f6174 6865726f 732f7072 build/atheros/pr 7c9f0 6f642f4d 61677069 655f5030 2f383337 od/Magpie_P0/837 7ca00 34332f78 6275696c 642f4f53 2f68616c 43/xbuild/OS/hal 7ca10 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 7ca20 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 7ca30 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 7ca40 202d6733 00010002 756e7369 676e6564 -g3....unsigned 7ca50 20696e74 00070403 000000e2 04587468 int.........Xth 7ca60 616c5f72 656c6561 73655f6d 616a6f72 al_release_major 7ca70 00000000 f2050300 4e87a001 04587468 ........N....Xth 7ca80 616c5f72 656c6561 73655f6d 696e6f72 al_release_minor 7ca90 00000000 f2050300 500b4401 02636861 ........P.D..cha 7caa0 72000701 03000001 37030000 01370500 r.......7....7.. 7cab0 00014404 00030000 01490300 00013705 ..D......I....7. 7cac0 00000155 04000458 7468616c 5f72656c ...U...Xthal_rel 7cad0 65617365 5f6e616d 65000000 01500503 ease_name....P.. 7cae0 004e87a4 01060000 01370600 00018d07 .N.......7...... 7caf0 05000458 7468616c 5f72656c 65617365 ...Xthal_release 7cb00 5f696e74 65726e61 6c000000 01500503 _internal....P.. 7cb10 00500b48 01045874 68616c5f 7265765f .P.H..Xthal_rev_ 7cb20 6e6f0000 0000f205 03004e87 a8010458 no........N....X 7cb30 7468616c 5f6e756d 5f617265 67730000 thal_num_aregs.. 7cb40 0000f205 03004e87 ac010275 6e736967 ......N....unsig 7cb50 6e656420 63686172 00070103 000001e5 ned char........ 7cb60 04587468 616c5f6e 756d5f61 72656773 .Xthal_num_aregs 7cb70 5f6c6f67 32000000 01f60503 004e87b0 _log2........N.. 7cb80 01045874 68616c5f 6d656d6f 72795f6f ..Xthal_memory_o 7cb90 72646572 00000001 f6050300 4e87b101 rder........N... 7cba0 04587468 616c5f68 6176655f 77696e64 .Xthal_have_wind 7cbb0 6f776564 00000001 f6050300 4e87b201 owed........N... 7cbc0 04587468 616c5f68 6176655f 64656e73 .Xthal_have_dens 7cbd0 69747900 000001f6 0503004e 87b30104 ity........N.... 7cbe0 58746861 6c5f6861 76655f62 6f6f6c65 Xthal_have_boole 7cbf0 616e7300 000001f6 05030050 0b4c0104 ans........P.L.. 7cc00 58746861 6c5f6861 76655f6c 6f6f7073 Xthal_have_loops 7cc10 00000001 f6050300 4e87b401 04587468 ........N....Xth 7cc20 616c5f68 6176655f 6e736100 000001f6 al_have_nsa..... 7cc30 0503004e 87b50104 58746861 6c5f6861 ...N....Xthal_ha 7cc40 76655f6d 696e6d61 78000000 01f60503 ve_minmax....... 7cc50 004e87b6 01045874 68616c5f 68617665 .N....Xthal_have 7cc60 5f736578 74000000 01f60503 004e87b7 _sext........N.. 7cc70 01045874 68616c5f 68617665 5f636c61 ..Xthal_have_cla 7cc80 6d707300 000001f6 05030050 0b4d0104 mps........P.M.. 7cc90 58746861 6c5f6861 76655f6d 61633136 Xthal_have_mac16 7cca0 00000001 f6050300 500b4e01 04587468 ........P.N..Xth 7ccb0 616c5f68 6176655f 6d756c31 36000000 al_have_mul16... 7ccc0 01f60503 004e87b8 01045874 68616c5f .....N....Xthal_ 7ccd0 68617665 5f667000 000001f6 05030050 have_fp........P 7cce0 0b4f0104 58746861 6c5f6861 76655f73 .O..Xthal_have_s 7ccf0 70656375 6c617469 6f6e0000 0001f605 peculation...... 7cd00 0300500b 50010458 7468616c 5f686176 ..P.P..Xthal_hav 7cd10 655f6578 63657074 696f6e73 00000001 e_exceptions.... 7cd20 f6050300 4e87b901 04587468 616c5f78 ....N....Xthal_x 7cd30 65615f76 65727369 6f6e0000 0001f605 ea_version...... 7cd40 03004e87 ba010458 7468616c 5f686176 ..N....Xthal_hav 7cd50 655f696e 74657272 75707473 00000001 e_interrupts.... 7cd60 f6050300 4e87bb01 04587468 616c5f68 ....N....Xthal_h 7cd70 6176655f 68696768 6c657665 6c5f696e ave_highlevel_in 7cd80 74657272 75707473 00000001 f6050300 terrupts........ 7cd90 4e87bc01 04587468 616c5f68 6176655f N....Xthal_have_ 7cda0 6e6d6900 000001f6 0503004e 87bd0104 nmi........N.... 7cdb0 58746861 6c5f6861 76655f70 72696400 Xthal_have_prid. 7cdc0 000001f6 0503004e 87be0104 58746861 .......N....Xtha 7cdd0 6c5f6861 76655f72 656c6561 73655f73 l_have_release_s 7cde0 796e6300 000001f6 0503004e 87bf0104 ync........N.... 7cdf0 58746861 6c5f6861 76655f73 33326331 Xthal_have_s32c1 7ce00 69000000 01f60503 004e87c0 01045874 i........N....Xt 7ce10 68616c5f 68617665 5f746872 65616470 hal_have_threadp 7ce20 74720000 0001f605 03004e87 c1010458 tr........N....X 7ce30 7468616c 5f686176 655f7069 66000000 thal_have_pif... 7ce40 01f60503 004e87c2 01027368 6f727420 .....N....short 7ce50 756e7369 676e6564 20696e74 00070203 unsigned int.... 7ce60 000004e4 04587468 616c5f6e 756d5f77 .....Xthal_num_w 7ce70 72697465 62756666 65725f65 6e747269 ritebuffer_entri 7ce80 65730000 0004fa05 03004e87 c4010458 es........N....X 7ce90 7468616c 5f627569 6c645f75 6e697175 thal_build_uniqu 7cea0 655f6964 00000000 f2050300 4e87c801 e_id........N... 7ceb0 04587468 616c5f68 775f636f 6e666967 .Xthal_hw_config 7cec0 69643000 000000f2 0503004e 87cc0104 id0........N.... 7ced0 58746861 6c5f6877 5f636f6e 66696769 Xthal_hw_configi 7cee0 64310000 0000f205 03004e87 d0010458 d1........N....X 7cef0 7468616c 5f68775f 72656c65 6173655f thal_hw_release_ 7cf00 6d616a6f 72000000 00f20503 004e87d4 major........N.. 7cf10 01045874 68616c5f 68775f72 656c6561 ..Xthal_hw_relea 7cf20 73655f6d 696e6f72 00000000 f2050300 se_minor........ 7cf30 500b5401 04587468 616c5f68 775f7265 P.T..Xthal_hw_re 7cf40 6c656173 655f6e61 6d650000 00015005 lease_name....P. 7cf50 03004e87 d8010600 00013708 000005fe ..N.......7..... 7cf60 07070004 58746861 6c5f6877 5f6d696e ....Xthal_hw_min 7cf70 5f766572 73696f6e 5f6d616a 6f720000 _version_major.. 7cf80 0000f205 03004e87 dc010458 7468616c ......N....Xthal 7cf90 5f68775f 6d696e5f 76657273 696f6e5f _hw_min_version_ 7cfa0 6d696e6f 72000000 00f20503 00500b58 minor........P.X 7cfb0 01045874 68616c5f 68775f6d 61785f76 ..Xthal_hw_max_v 7cfc0 65727369 6f6e5f6d 616a6f72 00000000 ersion_major.... 7cfd0 f2050300 4e87e001 04587468 616c5f68 ....N....Xthal_h 7cfe0 775f6d61 785f7665 7273696f 6e5f6d69 w_max_version_mi 7cff0 6e6f7200 000000f2 05030050 0b5c0104 nor........P.\.. 7d000 58746861 6c5f6877 5f72656c 65617365 Xthal_hw_release 7d010 5f696e74 65726e61 6c000000 01500503 _internal....P.. 7d020 00500b60 01045874 68616c5f 68617665 .P.`..Xthal_have 7d030 5f737061 6e6e696e 675f7761 79000000 _spanning_way... 7d040 01f60503 004e87e4 01045874 68616c5f .....N....Xthal_ 7d050 68617665 5f696465 6e746974 795f6d61 have_identity_ma 7d060 70000000 01f60503 004e87e5 01045874 p........N....Xt 7d070 68616c5f 68617665 5f6d696d 69635f63 hal_have_mimic_c 7d080 61636865 61747472 00000001 f6050300 acheattr........ 7d090 4e87e601 04587468 616c5f68 6176655f N....Xthal_have_ 7d0a0 786c745f 63616368 65617474 72000000 xlt_cacheattr... 7d0b0 01f60503 00500b64 01045874 68616c5f .....P.d..Xthal_ 7d0c0 68617665 5f636163 68656174 74720000 have_cacheattr.. 7d0d0 0001f605 0300500b 65010458 7468616c ......P.e..Xthal 7d0e0 5f686176 655f746c 62730000 0001f605 _have_tlbs...... 7d0f0 03004e87 e7010458 7468616c 5f6d6d75 ..N....Xthal_mmu 7d100 5f617369 645f6269 74730000 0001f605 _asid_bits...... 7d110 0300500b 66010458 7468616c 5f6d6d75 ..P.f..Xthal_mmu 7d120 5f617369 645f6b65 726e656c 00000001 _asid_kernel.... 7d130 f6050300 500b6701 04587468 616c5f6d ....P.g..Xthal_m 7d140 6d755f72 696e6773 00000001 f6050300 mu_rings........ 7d150 4e87e801 04587468 616c5f6d 6d755f72 N....Xthal_mmu_r 7d160 696e675f 62697473 00000001 f6050300 ing_bits........ 7d170 500b6801 04587468 616c5f6d 6d755f73 P.h..Xthal_mmu_s 7d180 725f6269 74730000 0001f605 0300500b r_bits........P. 7d190 69010458 7468616c 5f6d6d75 5f63615f i..Xthal_mmu_ca_ 7d1a0 62697473 00000001 f6050300 4e87e901 bits........N... 7d1b0 04587468 616c5f6d 6d755f6d 61785f70 .Xthal_mmu_max_p 7d1c0 74655f70 6167655f 73697a65 00000000 te_page_size.... 7d1d0 f2050300 4e87ec01 04587468 616c5f6d ....N....Xthal_m 7d1e0 6d755f6d 696e5f70 74655f70 6167655f mu_min_pte_page_ 7d1f0 73697a65 00000000 f2050300 4e87f001 size........N... 7d200 04587468 616c5f69 746c625f 7761795f .Xthal_itlb_way_ 7d210 62697473 00000001 f6050300 500b6a01 bits........P.j. 7d220 04587468 616c5f69 746c625f 77617973 .Xthal_itlb_ways 7d230 00000001 f6050300 4e87f401 04587468 ........N....Xth 7d240 616c5f69 746c625f 6172665f 77617973 al_itlb_arf_ways 7d250 00000001 f6050300 500b6b01 04587468 ........P.k..Xth 7d260 616c5f64 746c625f 7761795f 62697473 al_dtlb_way_bits 7d270 00000001 f6050300 500b6c01 04587468 ........P.l..Xth 7d280 616c5f64 746c625f 77617973 00000001 al_dtlb_ways.... 7d290 f6050300 4e87f501 04587468 616c5f64 ....N....Xthal_d 7d2a0 746c625f 6172665f 77617973 00000001 tlb_arf_ways.... 7d2b0 f6050300 500b6d01 04587468 616c5f6e ....P.m..Xthal_n 7d2c0 756d5f69 6e737472 6f6d0000 0001f605 um_instrom...... 7d2d0 0300500b 6e010458 7468616c 5f6e756d ..P.n..Xthal_num 7d2e0 5f696e73 7472616d 00000001 f6050300 _instram........ 7d2f0 4e87f601 04587468 616c5f6e 756d5f64 N....Xthal_num_d 7d300 61746172 6f6d0000 0001f605 0300500b atarom........P. 7d310 6f010458 7468616c 5f6e756d 5f646174 o..Xthal_num_dat 7d320 6172616d 00000001 f6050300 4e87f701 aram........N... 7d330 04587468 616c5f6e 756d5f78 6c6d6900 .Xthal_num_xlmi. 7d340 000001f6 05030050 0b700103 000000e2 .......P.p...... 7d350 06000009 e6040000 09f80700 00030000 ................ 7d360 09eb0300 0000e206 000009fd 0400000a ................ 7d370 0f070000 04587468 616c5f69 6e737472 .....Xthal_instr 7d380 6f6d5f76 61646472 00000009 f8050300 om_vaddr........ 7d390 4e87fc01 04587468 616c5f69 6e737472 N....Xthal_instr 7d3a0 6f6d5f70 61646472 00000009 f8050300 om_paddr........ 7d3b0 4e880001 04587468 616c5f69 6e737472 N....Xthal_instr 7d3c0 6f6d5f73 697a6500 000009f8 0503004e om_size........N 7d3d0 88040104 58746861 6c5f696e 73747261 ....Xthal_instra 7d3e0 6d5f7661 64647200 000009f8 0503004e m_vaddr........N 7d3f0 88080104 58746861 6c5f696e 73747261 ....Xthal_instra 7d400 6d5f7061 64647200 000009f8 0503004e m_paddr........N 7d410 880c0104 58746861 6c5f696e 73747261 ....Xthal_instra 7d420 6d5f7369 7a650000 0009f805 03004e88 m_size........N. 7d430 10010458 7468616c 5f646174 61726f6d ...Xthal_datarom 7d440 5f766164 64720000 0009f805 03004e88 _vaddr........N. 7d450 14010458 7468616c 5f646174 61726f6d ...Xthal_datarom 7d460 5f706164 64720000 0009f805 03004e88 _paddr........N. 7d470 18010458 7468616c 5f646174 61726f6d ...Xthal_datarom 7d480 5f73697a 65000000 09f80503 004e881c _size........N.. 7d490 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ 7d4a0 76616464 72000000 09f80503 004e8820 vaddr........N. 7d4b0 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ 7d4c0 70616464 72000000 09f80503 004e8824 paddr........N.$ 7d4d0 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ 7d4e0 73697a65 00000009 f8050300 4e882801 size........N.(. 7d4f0 04587468 616c5f78 6c6d695f 76616464 .Xthal_xlmi_vadd 7d500 72000000 09f80503 004e882c 01045874 r........N.,..Xt 7d510 68616c5f 786c6d69 5f706164 64720000 hal_xlmi_paddr.. 7d520 0009f805 03004e88 30010458 7468616c ......N.0..Xthal 7d530 5f786c6d 695f7369 7a650000 0009f805 _xlmi_size...... 7d540 03004e88 34010458 7468616c 5f686176 ..N.4..Xthal_hav 7d550 655f6363 6f756e74 00000001 f6050300 e_ccount........ 7d560 4e87f801 04587468 616c5f6e 756d5f63 N....Xthal_num_c 7d570 636f6d70 61726500 000001f6 0503004e compare........N 7d580 87f90100 00000000 cf000200 002e2004 .............. . 7d590 010001c1 59008e64 28008e65 5f2f686f ....Y..d(..e_/ho 7d5a0 6d652f63 7573746f 6d65722f 74726565 me/customer/tree 7d5b0 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools 7d5c0 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin 7d5d0 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr 7d5e0 632f6861 6c2f7769 6e646f77 7370696c c/hal/windowspil 7d5f0 6c5f6173 6d2e5300 2f70726f 6a656374 l_asm.S./project 7d600 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- 7d610 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath 7d620 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie 7d630 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild 7d640 2f4f532f 68616c00 474e5520 41532032 /OS/hal.GNU AS 2 7d650 2e31362e 31008001 000000c7 00020000 .16.1........... 7d660 2e340401 0001c48e 008e6980 008e6988 .4........i...i. 7d670 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t 7d680 7265652f 52422d32 3030372e 322f746f ree/RB-2007.2/to 7d690 6f6c732f 7377746f 6f6c732d 7838362d ols/swtools-x86- 7d6a0 6c696e75 782f7874 656e7361 2d656c66 linux/xtensa-elf 7d6b0 2f737263 2f68616c 2f696e74 5f61736d /src/hal/int_asm 7d6c0 2e53002f 70726f6a 6563742f 63757374 .S./project/cust 7d6d0 2f67656e 6170702f 52422d32 3030372e /genapp/RB-2007. 7d6e0 322f6275 696c642f 61746865 726f732f 2/build/atheros/ 7d6f0 70726f64 2f4d6167 7069655f 50302f38 prod/Magpie_P0/8 7d700 33373433 2f786275 696c642f 4f532f68 3743/xbuild/OS/h 7d710 616c0047 4e552041 5320322e 31362e31 al.GNU AS 2.16.1 7d720 00800100 0000c700 0200002e 48040100 ............H... 7d730 01c51a00 8e698800 8e69902f 686f6d65 .....i...i./home 7d740 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 7d750 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s 7d760 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux 7d770 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ 7d780 68616c2f 696e745f 61736d2e 53002f70 hal/int_asm.S./p 7d790 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena 7d7a0 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui 7d7b0 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ 7d7c0 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ 7d7d0 78627569 6c642f4f 532f6861 6c00474e xbuild/OS/hal.GN 7d7e0 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... 7d7f0 00c70002 00002e5c 04010001 c5a7008e .......\........ 7d800 6990008e 69982f68 6f6d652f 63757374 i...i./home/cust 7d810 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 7d820 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 7d830 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 7d840 73612d65 6c662f73 72632f68 616c2f69 sa-elf/src/hal/i 7d850 6e745f61 736d2e53 002f7072 6f6a6563 nt_asm.S./projec 7d860 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 7d870 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 7d880 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 7d890 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 7d8a0 642f4f53 2f68616c 00474e55 20415320 d/OS/hal.GNU AS 7d8b0 322e3136 2e310080 01000002 25000200 2.16.1......%... 7d8c0 002e7004 012f686f 6d652f63 7573746f ..p../home/custo 7d8d0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 7d8e0 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools 7d8f0 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens 7d900 612d656c 662f7372 632f6861 6c2f696e a-elf/src/hal/in 7d910 74657272 75707473 2e63002f 70726f6a terrupts.c./proj 7d920 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ 7d930 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ 7d940 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag 7d950 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu 7d960 696c642f 4f532f68 616c0078 742d7863 ild/OS/hal.xt-xc 7d970 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT 7d980 3a616c69 676e5f69 6e737472 75637469 :align_instructi 7d990 6f6e733d 3332202d 4f32202d 67330001 ons=32 -O2 -g3.. 7d9a0 0002756e 7369676e 65642063 68617200 ..unsigned char. 7d9b0 07010275 6e736967 6e656420 696e7400 ...unsigned int. 7d9c0 07040300 0000f940 00000116 040f0005 .......@........ 7d9d0 000000f9 01400000 01260404 040f0005 .....@...&...... 7d9e0 000000f9 01000000 01360403 040f0006 .........6...... 7d9f0 58744861 6c565072 69537461 74650002 XtHalVPriState.. 7da00 50000001 de077670 72690000 0000e802 P.....vpri...... 7da10 2300076c 6f636b6c 6576656c 00000000 #..locklevel.... 7da20 e8022301 076c6f63 6b767072 69000000 ..#..lockvpri... 7da30 00e80223 02077061 64300000 0000e802 ...#..pad0...... 7da40 23030765 6e61626c 65640000 0000f902 #..enabled...... 7da50 2304076c 6f636b6d 61736b00 000000f9 #..lockmask..... 7da60 02230807 70616431 00000000 f902230c .#..pad1......#. 7da70 07656e61 626c656d 61700000 00011602 .enablemap...... 7da80 23100772 65736f6c 76656d61 70000000 #..resolvemap... 7da90 01260323 d0020008 000000e8 08000000 .&.#............ 7daa0 e8030000 01e32000 0001f504 1f000800 ...... ......... 7dab0 0001e808 000000e8 03000001 fa200000 ............. .. 7dac0 020c041f 00095874 68616c5f 696e746c ......Xthal_intl 7dad0 6576656c 00000001 f5050300 4e884001 evel........N.@. 7dae0 00000000 00f00002 00002ed2 04010001 ................ 7daf0 c820008e 6998008e 6a002f68 6f6d652f . ..i...j./home/ 7db00 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB 7db10 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw 7db20 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ 7db30 7874656e 73612d65 6c662f73 72632f6c xtensa-elf/src/l 7db40 69626763 632d7863 632f636f 6e666967 ibgcc-xcc/config 7db50 2f787465 6e73612f 6c696231 66756e63 /xtensa/lib1func 7db60 732e6173 6d002f70 726f6a65 63742f63 s.asm./project/c 7db70 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 7db80 30372e32 2f627569 6c642f61 74686572 07.2/build/ather 7db90 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P 7dba0 302f3833 3734332f 78627569 6c642f54 0/83743/xbuild/T 7dbb0 61726765 742d6c69 62732f6c 69626763 arget-libs/libgc 7dbc0 632d7863 6300474e 55204153 20322e31 c-xcc.GNU AS 2.1 7dbd0 362e3100 80010000 00f00002 00002ee6 6.1............. 7dbe0 04010001 c98c008e 6a00008e 6a492f68 ........j...jI/h 7dbf0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 7dc00 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool 7dc10 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li 7dc20 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s 7dc30 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co 7dc40 6e666967 2f787465 6e73612f 6c696231 nfig/xtensa/lib1 7dc50 66756e63 732e6173 6d002f70 726f6a65 funcs.asm./proje 7dc60 63742f63 7573742f 67656e61 70702f52 ct/cust/genapp/R 7dc70 422d3230 30372e32 2f627569 6c642f61 B-2007.2/build/a 7dc80 74686572 6f732f70 726f642f 4d616770 theros/prod/Magp 7dc90 69655f50 302f3833 3734332f 78627569 ie_P0/83743/xbui 7dca0 6c642f54 61726765 742d6c69 62732f6c ld/Target-libs/l 7dcb0 69626763 632d7863 6300474e 55204153 ibgcc-xcc.GNU AS 7dcc0 20322e31 362e3100 80010000 00f00002 2.16.1......... 7dcd0 00002efa 04010001 cab0008e 6a4c008e ............jL.. 7dce0 6a9c2f68 6f6d652f 63757374 6f6d6572 j./home/customer 7dcf0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 7dd00 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 7dd10 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e 7dd20 6c662f73 72632f6c 69626763 632d7863 lf/src/libgcc-xc 7dd30 632f636f 6e666967 2f787465 6e73612f c/config/xtensa/ 7dd40 6c696231 66756e63 732e6173 6d002f70 lib1funcs.asm./p 7dd50 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena 7dd60 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui 7dd70 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ 7dd80 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ 7dd90 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li 7dda0 62732f6c 69626763 632d7863 6300474e bs/libgcc-xcc.GN 7ddb0 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... 7ddc0 00f00002 00002f0e 04010001 cbe6008e ....../......... 7ddd0 6a9c008e 6ad92f68 6f6d652f 63757374 j...j./home/cust 7dde0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 7ddf0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 7de00 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 7de10 73612d65 6c662f73 72632f6c 69626763 sa-elf/src/libgc 7de20 632d7863 632f636f 6e666967 2f787465 c-xcc/config/xte 7de30 6e73612f 6c696231 66756e63 732e6173 nsa/lib1funcs.as 7de40 6d002f70 726f6a65 63742f63 7573742f m./project/cust/ 7de50 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 7de60 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p 7de70 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 7de80 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe 7de90 742d6c69 62732f6c 69626763 632d7863 t-libs/libgcc-xc 7dea0 6300474e 55204153 20322e31 362e3100 c.GNU AS 2.16.1. 7deb0 80010000 0b3c0002 00002f22 04012f68 .....<..../"../h 7dec0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 7ded0 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro 7dee0 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target 7def0 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new 7df00 6c69622f 6c696263 2f737472 696e672f lib/libc/string/ 7df10 6d656d63 6d702e63 002f7072 6f6a6563 memcmp.c./projec 7df20 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 7df30 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 7df40 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 7df50 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 7df60 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne 7df70 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ 7df80 6e65776c 69622f6c 6962632f 73747269 newlib/libc/stri 7df90 6e670078 742d7863 6320666f 7220372e ng.xt-xcc for 7. 7dfa0 312e3020 2d4f5054 3a616c69 676e5f69 1.0 -OPT:align_i 7dfb0 6e737472 75637469 6f6e733d 3332202d nstructions=32 - 7dfc0 4f32202d 67330001 000001cc ec02756e O2 -g3........un 7dfd0 7369676e 65642069 6e740007 04037769 signed int....wi 7dfe0 6e745f74 00000001 1b02756e 7369676e nt_t......unsign 7dff0 65642063 68617200 07010400 00013704 ed char.......7. 7e000 00000155 05030006 04000001 79075f5f ...U........y.__ 7e010 77636800 0000012b 02230007 5f5f7763 wch....+.#..__wc 7e020 68620000 00014802 23000002 696e7400 hb....H.#...int. 7e030 05040808 000001a7 075f5f63 6f756e74 .........__count 7e040 00000001 79022300 075f5f76 616c7565 ....y.#..__value 7e050 00000001 55022304 00095f42 6967696e ....U.#..._Bigin 7e060 74001800 00020507 5f6e6578 74000000 t......._next... 7e070 02050223 00075f6b 00000001 79022304 ...#.._k....y.#. 7e080 075f6d61 78776473 00000001 79022308 ._maxwds....y.#. 7e090 075f7369 676e0000 00017902 230c075f ._sign....y.#.._ 7e0a0 77647300 00000179 02231007 5f780000 wds....y.#.._x.. 7e0b0 00022e02 2314000a 000001a7 0400026c ....#..........l 7e0c0 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 7e0d0 00070403 5f5f554c 6f6e6700 0000020c ....__ULong..... 7e0e0 04000002 21040000 023b0500 000a0000 ....!....;...... 7e0f0 01a70400 095f5f74 6d002400 0002ee07 .....__tm.$..... 7e100 5f5f746d 5f736563 00000001 79022300 __tm_sec....y.#. 7e110 075f5f74 6d5f6d69 6e000000 01790223 .__tm_min....y.# 7e120 04075f5f 746d5f68 6f757200 00000179 ..__tm_hour....y 7e130 02230807 5f5f746d 5f6d6461 79000000 .#..__tm_mday... 7e140 01790223 0c075f5f 746d5f6d 6f6e0000 .y.#..__tm_mon.. 7e150 00017902 2310075f 5f746d5f 79656172 ..y.#..__tm_year 7e160 00000001 79022314 075f5f74 6d5f7764 ....y.#..__tm_wd 7e170 61790000 00017902 2318075f 5f746d5f ay....y.#..__tm_ 7e180 79646179 00000001 7902231c 075f5f74 yday....y.#..__t 7e190 6d5f6973 64737400 00000179 02232000 m_isdst....y.# . 7e1a0 0b040004 000002ee 80000002 fe051f00 ................ 7e1b0 0c5f6f6e 5f657869 745f6172 67730001 ._on_exit_args.. 7e1c0 08000003 5c075f66 6e617267 73000000 ....\._fnargs... 7e1d0 02f10223 00075f64 736f5f68 616e646c ...#.._dso_handl 7e1e0 65000000 02f10323 8001075f 666e7479 e......#..._fnty 7e1f0 70657300 00000221 03238002 075f6973 pes....!.#..._is 7e200 5f637861 00000002 21032384 02000c5f _cxa....!.#...._ 7e210 61746578 69740001 90000003 ab075f6e atexit........_n 7e220 65787400 000003ab 02230007 5f696e64 ext......#.._ind 7e230 00000001 79022304 075f666e 73000000 ....y.#.._fns... 7e240 03bb0223 08075f6f 6e5f6578 69745f61 ...#.._on_exit_a 7e250 72677300 000002fe 03238801 000a0000 rgs......#...... 7e260 035c0400 0d010a00 0003b204 00040000 .\.............. 7e270 03b48000 0003c805 1f000a00 00035c04 ..............\. 7e280 000a0000 01370400 095f5f73 62756600 .....7...__sbuf. 7e290 08000004 00075f62 61736500 000003cf ......_base..... 7e2a0 02230007 5f73697a 65000000 01790223 .#.._size....y.# 7e2b0 04000273 686f7274 20696e74 00050202 ...short int.... 7e2c0 63686172 0007010a 0000040d 04000e00 char............ 7e2d0 00017901 0a000004 1c04000f 0000040d ..y............. 7e2e0 0f000004 0d0a0000 042e0400 0e000001 ................ 7e2f0 79010a00 00043a04 00026c6f 6e672069 y.....:...long i 7e300 6e740005 04035f66 706f735f 74000000 nt...._fpos_t... 7e310 04470e00 00045301 0a000004 6004000e .G....S.....`... 7e320 00000179 010a0000 046d0400 04000001 ...y.....m...... 7e330 37030000 04870502 00040000 01370100 7............7.. 7e340 00049405 00000c5f 7265656e 74000400 ......._reent... 7e350 0000061b 075f6572 726e6f00 00000179 ....._errno....y 7e360 02230007 5f737464 696e0000 0007cf02 .#.._stdin...... 7e370 2304075f 7374646f 75740000 0007cf02 #.._stdout...... 7e380 2308075f 73746465 72720000 0007cf02 #.._stderr...... 7e390 230c075f 696e6300 00000179 02231007 #.._inc....y.#.. 7e3a0 5f656d65 7267656e 63790000 000a7e02 _emergency....~. 7e3b0 2314075f 63757272 656e745f 63617465 #.._current_cate 7e3c0 676f7279 00000001 79022330 075f6375 gory....y.#0._cu 7e3d0 7272656e 745f6c6f 63616c65 00000004 rrent_locale.... 7e3e0 33022334 075f5f73 64696469 6e697400 3.#4.__sdidinit. 7e3f0 00000179 02233807 5f5f636c 65616e75 ...y.#8.__cleanu 7e400 70000000 0a8d0223 3c075f72 6573756c p......#<._resul 7e410 74000000 023b0223 40075f72 6573756c t....;.#@._resul 7e420 745f6b00 00000179 02234407 5f703573 t_k....y.#D._p5s 7e430 00000002 3b022348 075f6672 65656c69 ....;.#H._freeli 7e440 73740000 000a9402 234c075f 6376746c st......#L._cvtl 7e450 656e0000 00017902 2350075f 63767462 en....y.#P._cvtb 7e460 75660000 00041502 2354075f 6e657700 uf......#T._new. 7e470 00000a58 02235807 5f617465 78697400 ...X.#X._atexit. 7e480 000003c8 0323c802 075f6174 65786974 .....#..._atexit 7e490 30000000 035c0323 cc02075f 7369675f 0....\.#..._sig_ 7e4a0 66756e63 0000000a a40323dc 05075f5f func......#...__ 7e4b0 73676c75 65000000 07840323 e005075f sglue......#..._ 7e4c0 5f736600 00000aab 0323ec05 000a0000 _sf......#...... 7e4d0 04940400 035f4c4f 434b5f52 45435552 ....._LOCK_RECUR 7e4e0 53495645 5f540000 00017903 5f666c6f SIVE_T....y._flo 7e4f0 636b5f74 00000006 22095f5f 7346494c ck_t....".__sFIL 7e500 45005c00 00078407 5f700000 0003cf02 E.\....._p...... 7e510 2300075f 72000000 01790223 04075f77 #.._r....y.#.._w 7e520 00000001 79022308 075f666c 61677300 ....y.#.._flags. 7e530 00000400 02230c07 5f66696c 65000000 .....#.._file... 7e540 04000223 0e075f62 66000000 03d60223 ...#.._bf......# 7e550 10075f6c 62667369 7a650000 00017902 .._lbfsize....y. 7e560 2318075f 636f6f6b 69650000 0002ee02 #.._cookie...... 7e570 231c075f 72656164 00000004 22022320 #.._read....".# 7e580 075f7772 69746500 00000440 02232407 ._write....@.#$. 7e590 5f736565 6b000000 04660223 28075f63 _seek....f.#(._c 7e5a0 6c6f7365 00000004 7302232c 075f7562 lose....s.#,._ub 7e5b0 00000003 d6022330 075f7570 00000003 ......#0._up.... 7e5c0 cf022338 075f7572 00000001 7902233c ..#8._ur....y.#< 7e5d0 075f7562 75660000 00047a02 2340075f ._ubuf....z.#@._ 7e5e0 6e627566 00000004 87022343 075f6c62 nbuf......#C._lb 7e5f0 00000003 d6022344 075f626c 6b73697a ......#D._blksiz 7e600 65000000 01790223 4c075f6f 66667365 e....y.#L._offse 7e610 74000000 01790223 50075f64 61746100 t....y.#P._data. 7e620 0000061b 02235407 5f6c6f63 6b000000 .....#T._lock... 7e630 06390223 5800095f 676c7565 000c0000 .9.#X.._glue.... 7e640 07bc075f 6e657874 00000007 bc022300 ..._next......#. 7e650 075f6e69 6f627300 00000179 02230407 ._niobs....y.#.. 7e660 5f696f62 73000000 07cf0223 08000a00 _iobs......#.... 7e670 00078404 00035f5f 46494c45 00000006 ......__FILE.... 7e680 470a0000 07c30400 0a000007 84040002 G............... 7e690 73686f72 7420756e 7369676e 65642069 short unsigned i 7e6a0 6e740007 02040000 07dd0600 00080005 nt.............. 7e6b0 0200095f 72616e64 3438000e 00000838 ..._rand48.....8 7e6c0 075f7365 65640000 0007f302 2300075f ._seed......#.._ 7e6d0 6d756c74 00000007 f3022306 075f6164 mult......#.._ad 7e6e0 64000000 07dd0223 0c000400 00040d1a d......#........ 7e6f0 00000845 05190002 6c6f6e67 206c6f6e ...E....long lon 7e700 6720756e 7369676e 65642069 6e740007 g unsigned int.. 7e710 08035f6d 62737461 74655f74 00000001 .._mbstate_t.... 7e720 80040000 040d0800 00087c05 07000400 ..........|..... 7e730 00040d18 00000889 05170008 d000000a ................ 7e740 17075f75 6e757365 645f7261 6e640000 .._unused_rand.. 7e750 00011b02 2300075f 73747274 6f6b5f6c ....#.._strtok_l 7e760 61737400 00000415 02230407 5f617363 ast......#.._asc 7e770 74696d65 5f627566 00000008 38022308 time_buf....8.#. 7e780 075f6c6f 63616c74 696d655f 62756600 ._localtime_buf. 7e790 00000242 02232407 5f67616d 6d615f73 ...B.#$._gamma_s 7e7a0 69676e67 616d0000 00017902 2348075f igngam....y.#H._ 7e7b0 72616e64 5f6e6578 74000000 08450223 rand_next....E.# 7e7c0 50075f72 34380000 00080002 2358075f P._r48......#X._ 7e7d0 6d626c65 6e5f7374 61746500 0000085f mblen_state...._ 7e7e0 02236807 5f6d6274 6f77635f 73746174 .#h._mbtowc_stat 7e7f0 65000000 085f0223 70075f77 63746f6d e...._.#p._wctom 7e800 625f7374 61746500 0000085f 02237807 b_state...._.#x. 7e810 5f6c3634 615f6275 66000000 086f0323 _l64a_buf....o.# 7e820 8001075f 7369676e 616c5f62 75660000 ..._signal_buf.. 7e830 00087c03 23880107 5f676574 64617465 ..|.#..._getdate 7e840 5f657272 00000001 790323a0 01075f6d _err....y.#..._m 7e850 62726c65 6e5f7374 61746500 0000085f brlen_state...._ 7e860 0323a401 075f6d62 72746f77 635f7374 .#..._mbrtowc_st 7e870 61746500 0000085f 0323ac01 075f6d62 ate...._.#..._mb 7e880 7372746f 7763735f 73746174 65000000 srtowcs_state... 7e890 085f0323 b401075f 77637274 6f6d625f ._.#..._wcrtomb_ 7e8a0 73746174 65000000 085f0323 bc01075f state...._.#..._ 7e8b0 77637372 746f6d62 735f7374 61746500 wcsrtombs_state. 7e8c0 0000085f 0323c401 00040000 03cf7800 ..._.#........x. 7e8d0 000a2405 1d000400 00011b78 00000a31 ..$........x...1 7e8e0 051d0008 f000000a 58075f6e 65787466 ........X._nextf 7e8f0 0000000a 17022300 075f6e6d 616c6c6f ......#.._nmallo 7e900 63000000 0a240223 780006f0 00000a7e c....$.#x......~ 7e910 075f7265 656e7400 00000889 02230007 ._reent......#.. 7e920 5f756e75 73656400 00000a31 02230000 _unused....1.#.. 7e930 04000004 0d190000 0a8b0518 000d010a ................ 7e940 00000a8b 04000a00 00023b04 000d010a ..........;..... 7e950 00000a9b 04000a00 000a9d04 00100000 ................ 7e960 07c30114 00000ab9 05020011 110a0000 ................ 7e970 0aba0400 0373697a 655f7400 0000011b .....size_t..... 7e980 0e000001 79010a00 00020c04 00120139 ....y..........9 7e990 6d656d63 6d700000 00017901 01039201 memcmp....y..... 7e9a0 20029000 008e6adc 008e6b21 1301396d .....j...k!..9m 7e9b0 31000000 0abb0152 1301396d 32000000 1......R..9m2... 7e9c0 0abb0153 1301396e 0000000a c2015414 ...S..9n......T. 7e9d0 73310000 0003cf14 73320000 0003cf14 s1......s2...... 7e9e0 61310000 000ad414 61320000 000ad400 a1......a2...... 7e9f0 00000000 01090002 00002ff9 04010001 ........../..... 7ea00 cfdc008e 6b24008e 6c592f68 6f6d652f ....k$..lY/home/ 7ea10 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB 7ea20 2d323030 372e322f 7034726f 6f742f58 -2007.2/p4root/X 7ea30 74656e73 612f5461 72676574 2d6c6962 tensa/Target-lib 7ea40 732f6e65 776c6962 2f6e6577 6c69622f s/newlib/newlib/ 7ea50 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte 7ea60 6e73612f 6d656d63 70792e53 002f7072 nsa/memcpy.S./pr 7ea70 6f6a6563 742f6375 73742f67 656e6170 oject/cust/genap 7ea80 702f5242 2d323030 372e322f 6275696c p/RB-2007.2/buil 7ea90 642f6174 6865726f 732f7072 6f642f4d d/atheros/prod/M 7eaa0 61677069 655f5030 2f383337 34332f78 agpie_P0/83743/x 7eab0 6275696c 642f5461 72676574 2d6c6962 build/Target-lib 7eac0 732f6e65 776c6962 2f787465 6e73612d s/newlib/xtensa- 7ead0 656c662f 6e65776c 69622f6c 6962632f elf/newlib/libc/ 7eae0 6d616368 696e652f 7874656e 73610047 machine/xtensa.G 7eaf0 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... 7eb00 000b7d00 02000030 0d04012f 686f6d65 ..}....0.../home 7eb10 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 7eb20 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ 7eb30 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li 7eb40 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib 7eb50 2f6c6962 632f7374 72696e67 2f6d656d /libc/string/mem 7eb60 6d6f7665 2e63002f 70726f6a 6563742f move.c./project/ 7eb70 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 7eb80 3030372e 322f6275 696c642f 61746865 007.2/build/athe 7eb90 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ 7eba0 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ 7ebb0 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 7ebc0 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne 7ebd0 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string 7ebe0 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 7ebf0 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 7ec00 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 7ec10 202d6733 00010000 01d33102 756e7369 -g3......1.unsi 7ec20 676e6564 20696e74 00070403 77696e74 gned int....wint 7ec30 5f740000 00011c02 756e7369 676e6564 _t......unsigned 7ec40 20636861 72000701 04000001 38040000 char.......8... 7ec50 01560503 00060400 00017a07 5f5f7763 .V........z.__wc 7ec60 68000000 012c0223 00075f5f 77636862 h....,.#..__wchb 7ec70 00000001 49022300 0002696e 74000504 ....I.#...int... 7ec80 08080000 01a8075f 5f636f75 6e740000 .......__count.. 7ec90 00017a02 2300075f 5f76616c 75650000 ..z.#..__value.. 7eca0 00015602 23040009 5f426967 696e7400 ..V.#..._Bigint. 7ecb0 18000002 06075f6e 65787400 00000206 ......_next..... 7ecc0 02230007 5f6b0000 00017a02 2304075f .#.._k....z.#.._ 7ecd0 6d617877 64730000 00017a02 2308075f maxwds....z.#.._ 7ece0 7369676e 00000001 7a02230c 075f7764 sign....z.#.._wd 7ecf0 73000000 017a0223 10075f78 00000002 s....z.#.._x.... 7ed00 2f022314 000a0000 01a80400 026c6f6e /.#..........lon 7ed10 6720756e 7369676e 65642069 6e740007 g unsigned int.. 7ed20 04035f5f 554c6f6e 67000000 020d0400 ..__ULong....... 7ed30 00022204 0000023c 0500000a 000001a8 .."....<........ 7ed40 0400095f 5f746d00 24000002 ef075f5f ...__tm.$.....__ 7ed50 746d5f73 65630000 00017a02 2300075f tm_sec....z.#.._ 7ed60 5f746d5f 6d696e00 0000017a 02230407 _tm_min....z.#.. 7ed70 5f5f746d 5f686f75 72000000 017a0223 __tm_hour....z.# 7ed80 08075f5f 746d5f6d 64617900 0000017a ..__tm_mday....z 7ed90 02230c07 5f5f746d 5f6d6f6e 00000001 .#..__tm_mon.... 7eda0 7a022310 075f5f74 6d5f7965 61720000 z.#..__tm_year.. 7edb0 00017a02 2314075f 5f746d5f 77646179 ..z.#..__tm_wday 7edc0 00000001 7a022318 075f5f74 6d5f7964 ....z.#..__tm_yd 7edd0 61790000 00017a02 231c075f 5f746d5f ay....z.#..__tm_ 7ede0 69736473 74000000 017a0223 20000b04 isdst....z.# ... 7edf0 00040000 02ef8000 0002ff05 1f000c5f ..............._ 7ee00 6f6e5f65 7869745f 61726773 00010800 on_exit_args.... 7ee10 00035d07 5f666e61 72677300 000002f2 ..]._fnargs..... 7ee20 02230007 5f64736f 5f68616e 646c6500 .#.._dso_handle. 7ee30 000002f2 03238001 075f666e 74797065 .....#..._fntype 7ee40 73000000 02220323 8002075f 69735f63 s....".#..._is_c 7ee50 78610000 00022203 23840200 0c5f6174 xa....".#...._at 7ee60 65786974 00019000 0003ac07 5f6e6578 exit........_nex 7ee70 74000000 03ac0223 00075f69 6e640000 t......#.._ind.. 7ee80 00017a02 2304075f 666e7300 000003bc ..z.#.._fns..... 7ee90 02230807 5f6f6e5f 65786974 5f617267 .#.._on_exit_arg 7eea0 73000000 02ff0323 8801000a 0000035d s......#.......] 7eeb0 04000d01 0a000003 b3040004 000003b5 ................ 7eec0 80000003 c9051f00 0a000003 5d04000a ............]... 7eed0 00000138 0400095f 5f736275 66000800 ...8...__sbuf... 7eee0 00040107 5f626173 65000000 03d00223 ...._base......# 7eef0 00075f73 697a6500 0000017a 02230400 .._size....z.#.. 7ef00 0273686f 72742069 6e740005 02026368 .short int....ch 7ef10 61720007 010a0000 040e0400 0e000001 ar.............. 7ef20 7a010a00 00041d04 000f0000 040e0f00 z............... 7ef30 00040e0a 0000042f 04000e00 00017a01 ......./......z. 7ef40 0a000004 3b040002 6c6f6e67 20696e74 ....;...long int 7ef50 00050403 5f66706f 735f7400 00000448 ...._fpos_t....H 7ef60 0e000004 54010a00 00046104 000e0000 ....T.....a..... 7ef70 017a010a 0000046e 04000400 00013803 .z.....n......8. 7ef80 00000488 05020004 00000138 01000004 ...........8.... 7ef90 95050000 0c5f7265 656e7400 04000000 ....._reent..... 7efa0 061c075f 6572726e 6f000000 017a0223 ..._errno....z.# 7efb0 00075f73 7464696e 00000007 d0022304 .._stdin......#. 7efc0 075f7374 646f7574 00000007 d0022308 ._stdout......#. 7efd0 075f7374 64657272 00000007 d002230c ._stderr......#. 7efe0 075f696e 63000000 017a0223 10075f65 ._inc....z.#.._e 7eff0 6d657267 656e6379 0000000a 7f022314 mergency......#. 7f000 075f6375 7272656e 745f6361 7465676f ._current_catego 7f010 72790000 00017a02 2330075f 63757272 ry....z.#0._curr 7f020 656e745f 6c6f6361 6c650000 00043402 ent_locale....4. 7f030 2334075f 5f736469 64696e69 74000000 #4.__sdidinit... 7f040 017a0223 38075f5f 636c6561 6e757000 .z.#8.__cleanup. 7f050 00000a8e 02233c07 5f726573 756c7400 .....#<._result. 7f060 0000023c 02234007 5f726573 756c745f ...<.#@._result_ 7f070 6b000000 017a0223 44075f70 35730000 k....z.#D._p5s.. 7f080 00023c02 2348075f 66726565 6c697374 ..<.#H._freelist 7f090 0000000a 9502234c 075f6376 746c656e ......#L._cvtlen 7f0a0 00000001 7a022350 075f6376 74627566 ....z.#P._cvtbuf 7f0b0 00000004 16022354 075f6e65 77000000 ......#T._new... 7f0c0 0a590223 58075f61 74657869 74000000 .Y.#X._atexit... 7f0d0 03c90323 c802075f 61746578 69743000 ...#..._atexit0. 7f0e0 0000035d 0323cc02 075f7369 675f6675 ...].#..._sig_fu 7f0f0 6e630000 000aa503 23dc0507 5f5f7367 nc......#...__sg 7f100 6c756500 00000785 0323e005 075f5f73 lue......#...__s 7f110 66000000 0aac0323 ec05000a 00000495 f......#........ 7f120 0400035f 4c4f434b 5f524543 55525349 ..._LOCK_RECURSI 7f130 56455f54 00000001 7a035f66 6c6f636b VE_T....z._flock 7f140 5f740000 00062309 5f5f7346 494c4500 _t....#.__sFILE. 7f150 5c000007 85075f70 00000003 d0022300 \....._p......#. 7f160 075f7200 0000017a 02230407 5f770000 ._r....z.#.._w.. 7f170 00017a02 2308075f 666c6167 73000000 ..z.#.._flags... 7f180 04010223 0c075f66 696c6500 00000401 ...#.._file..... 7f190 02230e07 5f626600 000003d7 02231007 .#.._bf......#.. 7f1a0 5f6c6266 73697a65 00000001 7a022318 _lbfsize....z.#. 7f1b0 075f636f 6f6b6965 00000002 ef02231c ._cookie......#. 7f1c0 075f7265 61640000 00042302 2320075f ._read....#.# ._ 7f1d0 77726974 65000000 04410223 24075f73 write....A.#$._s 7f1e0 65656b00 00000467 02232807 5f636c6f eek....g.#(._clo 7f1f0 73650000 00047402 232c075f 75620000 se....t.#,._ub.. 7f200 0003d702 2330075f 75700000 0003d002 ....#0._up...... 7f210 2338075f 75720000 00017a02 233c075f #8._ur....z.#<._ 7f220 75627566 00000004 7b022340 075f6e62 ubuf....{.#@._nb 7f230 75660000 00048802 2343075f 6c620000 uf......#C._lb.. 7f240 0003d702 2344075f 626c6b73 697a6500 ....#D._blksize. 7f250 0000017a 02234c07 5f6f6666 73657400 ...z.#L._offset. 7f260 0000017a 02235007 5f646174 61000000 ...z.#P._data... 7f270 061c0223 54075f6c 6f636b00 0000063a ...#T._lock....: 7f280 02235800 095f676c 7565000c 000007bd .#X.._glue...... 7f290 075f6e65 78740000 0007bd02 2300075f ._next......#.._ 7f2a0 6e696f62 73000000 017a0223 04075f69 niobs....z.#.._i 7f2b0 6f627300 000007d0 02230800 0a000007 obs......#...... 7f2c0 85040003 5f5f4649 4c450000 0006480a ....__FILE....H. 7f2d0 000007c4 04000a00 00078504 00027368 ..............sh 7f2e0 6f727420 756e7369 676e6564 20696e74 ort unsigned int 7f2f0 00070204 000007de 06000008 01050200 ................ 7f300 095f7261 6e643438 000e0000 0839075f ._rand48.....9._ 7f310 73656564 00000007 f4022300 075f6d75 seed......#.._mu 7f320 6c740000 0007f402 2306075f 61646400 lt......#.._add. 7f330 000007de 02230c00 04000004 0e1a0000 .....#.......... 7f340 08460519 00026c6f 6e67206c 6f6e6720 .F....long long 7f350 756e7369 676e6564 20696e74 00070803 unsigned int.... 7f360 5f6d6273 74617465 5f740000 00018104 _mbstate_t...... 7f370 0000040e 08000008 7d050700 04000004 ........}....... 7f380 0e180000 088a0517 0008d000 000a1807 ................ 7f390 5f756e75 7365645f 72616e64 00000001 _unused_rand.... 7f3a0 1c022300 075f7374 72746f6b 5f6c6173 ..#.._strtok_las 7f3b0 74000000 04160223 04075f61 73637469 t......#.._ascti 7f3c0 6d655f62 75660000 00083902 2308075f me_buf....9.#.._ 7f3d0 6c6f6361 6c74696d 655f6275 66000000 localtime_buf... 7f3e0 02430223 24075f67 616d6d61 5f736967 .C.#$._gamma_sig 7f3f0 6e67616d 00000001 7a022348 075f7261 ngam....z.#H._ra 7f400 6e645f6e 65787400 00000846 02235007 nd_next....F.#P. 7f410 5f723438 00000008 01022358 075f6d62 _r48......#X._mb 7f420 6c656e5f 73746174 65000000 08600223 len_state....`.# 7f430 68075f6d 62746f77 635f7374 61746500 h._mbtowc_state. 7f440 00000860 02237007 5f776374 6f6d625f ...`.#p._wctomb_ 7f450 73746174 65000000 08600223 78075f6c state....`.#x._l 7f460 3634615f 62756600 00000870 03238001 64a_buf....p.#.. 7f470 075f7369 676e616c 5f627566 00000008 ._signal_buf.... 7f480 7d032388 01075f67 65746461 74655f65 }.#..._getdate_e 7f490 72720000 00017a03 23a00107 5f6d6272 rr....z.#..._mbr 7f4a0 6c656e5f 73746174 65000000 08600323 len_state....`.# 7f4b0 a401075f 6d627274 6f77635f 73746174 ..._mbrtowc_stat 7f4c0 65000000 08600323 ac01075f 6d627372 e....`.#..._mbsr 7f4d0 746f7763 735f7374 61746500 00000860 towcs_state....` 7f4e0 0323b401 075f7763 72746f6d 625f7374 .#..._wcrtomb_st 7f4f0 61746500 00000860 0323bc01 075f7763 ate....`.#..._wc 7f500 7372746f 6d62735f 73746174 65000000 srtombs_state... 7f510 08600323 c4010004 000003d0 7800000a .`.#........x... 7f520 25051d00 04000001 1c780000 0a32051d %........x...2.. 7f530 0008f000 000a5907 5f6e6578 74660000 ......Y._nextf.. 7f540 000a1802 2300075f 6e6d616c 6c6f6300 ....#.._nmalloc. 7f550 00000a25 02237800 06f00000 0a7f075f ...%.#x........_ 7f560 7265656e 74000000 088a0223 00075f75 reent......#.._u 7f570 6e757365 64000000 0a320223 00000400 nused....2.#.... 7f580 00040e19 00000a8c 0518000d 010a0000 ................ 7f590 0a8c0400 0a000002 3c04000d 010a0000 ........<....... 7f5a0 0a9c0400 0a00000a 9e040010 000007c4 ................ 7f5b0 01140000 0aba0502 0011110a 00000abb ................ 7f5c0 04000373 697a655f 74000000 011c0e00 ...size_t....... 7f5d0 0002ef01 0a000004 4804000f 00000448 ........H......H 7f5e0 0f000004 480a0000 0ae10400 12013e6d ....H.........>m 7f5f0 656d6d6f 76650000 0002ef01 01039201 emmove.......... 7f600 20029000 008e6c5c 008e6e1e 13013e64 .....l\..n...>d 7f610 73745f76 6f696400 000002ef 01521301 st_void......R.. 7f620 3e737263 5f766f69 64000000 0abc0153 >src_void......S 7f630 13013e6c 656e6774 68000000 0ac30154 ..>length......T 7f640 14647374 00000004 16147372 63000000 .dst......src... 7f650 0434146c 656e0000 00017a14 616c6967 .4.len....z.alig 7f660 6e65645f 64737400 00000ad5 14616c69 ned_dst......ali 7f670 676e6564 5f737263 0000000a e6000000 gned_src........ 7f680 00000109 00020000 30e40401 0001d65e ........0......^ 7f690 008e6e20 008e6e94 2f686f6d 652f6375 ..n ..n./home/cu 7f6a0 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 7f6b0 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte 7f6c0 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ 7f6d0 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li 7f6e0 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens 7f6f0 612f6d65 6d736574 2e53002f 70726f6a a/memset.S./proj 7f700 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ 7f710 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ 7f720 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag 7f730 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu 7f740 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ 7f750 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el 7f760 662f6e65 776c6962 2f6c6962 632f6d61 f/newlib/libc/ma 7f770 6368696e 652f7874 656e7361 00474e55 chine/xtensa.GNU 7f780 20415320 322e3136 2e310080 01000001 AS 2.16.1...... 7f790 09000200 0030f804 010001d7 ea008e65 .....0.........e 7f7a0 60008e66 782f686f 6d652f63 7573746f `..fx/home/custo 7f7b0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 7f7c0 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa 7f7d0 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new 7f7e0 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ 7f7f0 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s 7f800 7472636d 702e5300 2f70726f 6a656374 trcmp.S./project 7f810 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- 7f820 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath 7f830 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie 7f840 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild 7f850 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new 7f860 6c69622f 7874656e 73612d65 6c662f6e lib/xtensa-elf/n 7f870 65776c69 622f6c69 62632f6d 61636869 ewlib/libc/machi 7f880 6e652f78 74656e73 6100474e 55204153 ne/xtensa.GNU AS 7f890 20322e31 362e3100 80010000 01090002 2.16.1......... 7f8a0 0000310c 04010001 dacc008e 6678008e ..1.........fx.. 7f8b0 67102f68 6f6d652f 63757374 6f6d6572 g./home/customer 7f8c0 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 7f8d0 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta 7f8e0 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib 7f8f0 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac 7f900 68696e65 2f787465 6e73612f 73747263 hine/xtensa/strc 7f910 70792e53 002f7072 6f6a6563 742f6375 py.S./project/cu 7f920 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 7f930 372e322f 6275696c 642f6174 6865726f 7.2/build/athero 7f940 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 7f950 2f383337 34332f78 6275696c 642f5461 /83743/xbuild/Ta 7f960 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib 7f970 2f787465 6e73612d 656c662f 6e65776c /xtensa-elf/newl 7f980 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ 7f990 7874656e 73610047 4e552041 5320322e xtensa.GNU AS 2. 7f9a0 31362e31 00800100 00010900 02000031 16.1...........1 7f9b0 20040100 01dca600 8e671000 8e67732f ........g...gs/ 7f9c0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr 7f9d0 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r 7f9e0 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe 7f9f0 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne 7fa00 776c6962 2f6c6962 632f6d61 6368696e wlib/libc/machin 7fa10 652f7874 656e7361 2f737472 6c656e2e e/xtensa/strlen. 7fa20 53002f70 726f6a65 63742f63 7573742f S./project/cust/ 7fa30 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 7fa40 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p 7fa50 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 7fa60 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe 7fa70 742d6c69 62732f6e 65776c69 622f7874 t-libs/newlib/xt 7fa80 656e7361 2d656c66 2f6e6577 6c69622f ensa-elf/newlib/ 7fa90 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte 7faa0 6e736100 474e5520 41532032 2e31362e nsa.GNU AS 2.16. 7fab0 31008001 00000b25 00020000 31340401 1......%....14.. 7fac0 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t 7fad0 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 7fae0 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ 7faf0 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n 7fb00 65776c69 622f6c69 62632f73 7472696e ewlib/libc/strin 7fb10 672f7374 726e636d 702e6300 2f70726f g/strncmp.c./pro 7fb20 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp 7fb30 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build 7fb40 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma 7fb50 67706965 5f50302f 38333734 332f7862 gpie_P0/83743/xb 7fb60 75696c64 2f546172 6765742d 6c696273 uild/Target-libs 7fb70 2f6e6577 6c69622f 7874656e 73612d65 /newlib/xtensa-e 7fb80 6c662f6e 65776c69 622f6c69 62632f73 lf/newlib/libc/s 7fb90 7472696e 67007874 2d786363 20666f72 tring.xt-xcc for 7fba0 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig 7fbb0 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 7fbc0 32202d4f 32202d67 33000100 0001de0e 2 -O2 -g3....... 7fbd0 02756e73 69676e65 6420696e 74000704 .unsigned int... 7fbe0 0377696e 745f7400 0000011c 02756e73 .wint_t......uns 7fbf0 69676e65 64206368 61720007 01040000 igned char...... 7fc00 01380400 00015605 03000604 0000017a .8....V........z 7fc10 075f5f77 63680000 00012c02 2300075f .__wch....,.#.._ 7fc20 5f776368 62000000 01490223 00000269 _wchb....I.#...i 7fc30 6e740005 04080800 0001a807 5f5f636f nt..........__co 7fc40 756e7400 0000017a 02230007 5f5f7661 unt....z.#..__va 7fc50 6c756500 00000156 02230400 095f4269 lue....V.#..._Bi 7fc60 67696e74 00180000 0206075f 6e657874 gint......._next 7fc70 00000002 06022300 075f6b00 0000017a ......#.._k....z 7fc80 02230407 5f6d6178 77647300 0000017a .#.._maxwds....z 7fc90 02230807 5f736967 6e000000 017a0223 .#.._sign....z.# 7fca0 0c075f77 64730000 00017a02 2310075f .._wds....z.#.._ 7fcb0 78000000 022f0223 14000a00 0001a804 x..../.#........ 7fcc0 00026c6f 6e672075 6e736967 6e656420 ..long unsigned 7fcd0 696e7400 0704035f 5f554c6f 6e670000 int....__ULong.. 7fce0 00020d04 00000222 04000002 3c050000 ......."....<... 7fcf0 0a000001 a8040009 5f5f746d 00240000 ........__tm.$.. 7fd00 02ef075f 5f746d5f 73656300 0000017a ...__tm_sec....z 7fd10 02230007 5f5f746d 5f6d696e 00000001 .#..__tm_min.... 7fd20 7a022304 075f5f74 6d5f686f 75720000 z.#..__tm_hour.. 7fd30 00017a02 2308075f 5f746d5f 6d646179 ..z.#..__tm_mday 7fd40 00000001 7a02230c 075f5f74 6d5f6d6f ....z.#..__tm_mo 7fd50 6e000000 017a0223 10075f5f 746d5f79 n....z.#..__tm_y 7fd60 65617200 0000017a 02231407 5f5f746d ear....z.#..__tm 7fd70 5f776461 79000000 017a0223 18075f5f _wday....z.#..__ 7fd80 746d5f79 64617900 0000017a 02231c07 tm_yday....z.#.. 7fd90 5f5f746d 5f697364 73740000 00017a02 __tm_isdst....z. 7fda0 2320000b 04000400 0002ef80 000002ff # .............. 7fdb0 051f000c 5f6f6e5f 65786974 5f617267 ...._on_exit_arg 7fdc0 73000108 0000035d 075f666e 61726773 s......]._fnargs 7fdd0 00000002 f2022300 075f6473 6f5f6861 ......#.._dso_ha 7fde0 6e646c65 00000002 f2032380 01075f66 ndle......#..._f 7fdf0 6e747970 65730000 00022203 23800207 ntypes....".#... 7fe00 5f69735f 63786100 00000222 03238402 _is_cxa....".#.. 7fe10 000c5f61 74657869 74000190 000003ac .._atexit....... 7fe20 075f6e65 78740000 0003ac02 2300075f ._next......#.._ 7fe30 696e6400 0000017a 02230407 5f666e73 ind....z.#.._fns 7fe40 00000003 bc022308 075f6f6e 5f657869 ......#.._on_exi 7fe50 745f6172 67730000 0002ff03 23880100 t_args......#... 7fe60 0a000003 5d04000d 010a0000 03b30400 ....]........... 7fe70 04000003 b5800000 03c9051f 000a0000 ................ 7fe80 035d0400 0a000001 38040009 5f5f7362 .]......8...__sb 7fe90 75660008 00000401 075f6261 73650000 uf......._base.. 7fea0 0003d002 2300075f 73697a65 00000001 ....#.._size.... 7feb0 7a022304 00027368 6f727420 696e7400 z.#...short int. 7fec0 05020263 68617200 07010a00 00040e04 ...char......... 7fed0 000e0000 017a010a 0000041d 04000f00 .....z.......... 7fee0 00040e0f 0000040e 0a000004 2f04000e ............/... 7fef0 0000017a 010a0000 043b0400 026c6f6e ...z.....;...lon 7ff00 6720696e 74000504 035f6670 6f735f74 g int...._fpos_t 7ff10 00000004 480e0000 0454010a 00000461 ....H....T.....a 7ff20 04000e00 00017a01 0a000004 6e040004 ......z.....n... 7ff30 00000138 03000004 88050200 04000001 ...8............ 7ff40 38010000 04950500 000c5f72 65656e74 8........._reent 7ff50 00040000 00061c07 5f657272 6e6f0000 ........_errno.. 7ff60 00017a02 2300075f 73746469 6e000000 ..z.#.._stdin... 7ff70 07d00223 04075f73 74646f75 74000000 ...#.._stdout... 7ff80 07d00223 08075f73 74646572 72000000 ...#.._stderr... 7ff90 07d00223 0c075f69 6e630000 00017a02 ...#.._inc....z. 7ffa0 2310075f 656d6572 67656e63 79000000 #.._emergency... 7ffb0 0a7f0223 14075f63 75727265 6e745f63 ...#.._current_c 7ffc0 61746567 6f727900 0000017a 02233007 ategory....z.#0. 7ffd0 5f637572 72656e74 5f6c6f63 616c6500 _current_locale. 7ffe0 00000434 02233407 5f5f7364 6964696e ...4.#4.__sdidin 7fff0 69740000 00017a02 2338075f 5f636c65 it....z.#8.__cle 80000 616e7570 0000000a 8e02233c 075f7265 anup......#<._re 80010 73756c74 00000002 3c022340 075f7265 sult....<.#@._re 80020 73756c74 5f6b0000 00017a02 2344075f sult_k....z.#D._ 80030 70357300 0000023c 02234807 5f667265 p5s....<.#H._fre 80040 656c6973 74000000 0a950223 4c075f63 elist......#L._c 80050 76746c65 6e000000 017a0223 50075f63 vtlen....z.#P._c 80060 76746275 66000000 04160223 54075f6e vtbuf......#T._n 80070 65770000 000a5902 2358075f 61746578 ew....Y.#X._atex 80080 69740000 0003c903 23c80207 5f617465 it......#..._ate 80090 78697430 00000003 5d0323cc 02075f73 xit0....].#..._s 800a0 69675f66 756e6300 00000aa5 0323dc05 ig_func......#.. 800b0 075f5f73 676c7565 00000007 850323e0 .__sglue......#. 800c0 05075f5f 73660000 000aac03 23ec0500 ..__sf......#... 800d0 0a000004 95040003 5f4c4f43 4b5f5245 ........_LOCK_RE 800e0 43555253 4956455f 54000000 017a035f CURSIVE_T....z._ 800f0 666c6f63 6b5f7400 00000623 095f5f73 flock_t....#.__s 80100 46494c45 005c0000 0785075f 70000000 FILE.\....._p... 80110 03d00223 00075f72 00000001 7a022304 ...#.._r....z.#. 80120 075f7700 0000017a 02230807 5f666c61 ._w....z.#.._fla 80130 67730000 00040102 230c075f 66696c65 gs......#.._file 80140 00000004 0102230e 075f6266 00000003 ......#.._bf.... 80150 d7022310 075f6c62 6673697a 65000000 ..#.._lbfsize... 80160 017a0223 18075f63 6f6f6b69 65000000 .z.#.._cookie... 80170 02ef0223 1c075f72 65616400 00000423 ...#.._read....# 80180 02232007 5f777269 74650000 00044102 .# ._write....A. 80190 2324075f 7365656b 00000004 67022328 #$._seek....g.#( 801a0 075f636c 6f736500 00000474 02232c07 ._close....t.#,. 801b0 5f756200 000003d7 02233007 5f757000 _ub......#0._up. 801c0 000003d0 02233807 5f757200 0000017a .....#8._ur....z 801d0 02233c07 5f756275 66000000 047b0223 .#<._ubuf....{.# 801e0 40075f6e 62756600 00000488 02234307 @._nbuf......#C. 801f0 5f6c6200 000003d7 02234407 5f626c6b _lb......#D._blk 80200 73697a65 00000001 7a02234c 075f6f66 size....z.#L._of 80210 66736574 00000001 7a022350 075f6461 fset....z.#P._da 80220 74610000 00061c02 2354075f 6c6f636b ta......#T._lock 80230 00000006 3a022358 00095f67 6c756500 ....:.#X.._glue. 80240 0c000007 bd075f6e 65787400 000007bd ......_next..... 80250 02230007 5f6e696f 62730000 00017a02 .#.._niobs....z. 80260 2304075f 696f6273 00000007 d0022308 #.._iobs......#. 80270 000a0000 07850400 035f5f46 494c4500 .........__FILE. 80280 00000648 0a000007 c404000a 00000785 ...H............ 80290 04000273 686f7274 20756e73 69676e65 ...short unsigne 802a0 6420696e 74000702 04000007 de060000 d int........... 802b0 08010502 00095f72 616e6434 38000e00 ......_rand48... 802c0 00083907 5f736565 64000000 07f40223 ..9._seed......# 802d0 00075f6d 756c7400 000007f4 02230607 .._mult......#.. 802e0 5f616464 00000007 de02230c 00040000 _add......#..... 802f0 040e1a00 00084605 1900026c 6f6e6720 ......F....long 80300 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 80310 74000708 035f6d62 73746174 655f7400 t...._mbstate_t. 80320 00000181 04000004 0e080000 087d0507 .............}.. 80330 00040000 040e1800 00088a05 170008d0 ................ 80340 00000a18 075f756e 75736564 5f72616e ....._unused_ran 80350 64000000 011c0223 00075f73 7472746f d......#.._strto 80360 6b5f6c61 73740000 00041602 2304075f k_last......#.._ 80370 61736374 696d655f 62756600 00000839 asctime_buf....9 80380 02230807 5f6c6f63 616c7469 6d655f62 .#.._localtime_b 80390 75660000 00024302 2324075f 67616d6d uf....C.#$._gamm 803a0 615f7369 676e6761 6d000000 017a0223 a_signgam....z.# 803b0 48075f72 616e645f 6e657874 00000008 H._rand_next.... 803c0 46022350 075f7234 38000000 08010223 F.#P._r48......# 803d0 58075f6d 626c656e 5f737461 74650000 X._mblen_state.. 803e0 00086002 2368075f 6d62746f 77635f73 ..`.#h._mbtowc_s 803f0 74617465 00000008 60022370 075f7763 tate....`.#p._wc 80400 746f6d62 5f737461 74650000 00086002 tomb_state....`. 80410 2378075f 6c363461 5f627566 00000008 #x._l64a_buf.... 80420 70032380 01075f73 69676e61 6c5f6275 p.#..._signal_bu 80430 66000000 087d0323 8801075f 67657464 f....}.#..._getd 80440 6174655f 65727200 0000017a 0323a001 ate_err....z.#.. 80450 075f6d62 726c656e 5f737461 74650000 ._mbrlen_state.. 80460 00086003 23a40107 5f6d6272 746f7763 ..`.#..._mbrtowc 80470 5f737461 74650000 00086003 23ac0107 _state....`.#... 80480 5f6d6273 72746f77 63735f73 74617465 _mbsrtowcs_state 80490 00000008 600323b4 01075f77 6372746f ....`.#..._wcrto 804a0 6d625f73 74617465 00000008 600323bc mb_state....`.#. 804b0 01075f77 63737274 6f6d6273 5f737461 .._wcsrtombs_sta 804c0 74650000 00086003 23c40100 04000003 te....`.#....... 804d0 d0780000 0a25051d 00040000 011c7800 .x...%........x. 804e0 000a3205 1d0008f0 00000a59 075f6e65 ..2........Y._ne 804f0 78746600 00000a18 02230007 5f6e6d61 xtf......#.._nma 80500 6c6c6f63 0000000a 25022378 0006f000 lloc....%.#x.... 80510 000a7f07 5f726565 6e740000 00088a02 ...._reent...... 80520 2300075f 756e7573 65640000 000a3202 #.._unused....2. 80530 23000004 0000040e 1900000a 8c051800 #............... 80540 0d010a00 000a8c04 000a0000 023c0400 .............<.. 80550 0d010a00 000a9c04 000a0000 0a9e0400 ................ 80560 10000007 c4011400 000aba05 02000373 ...............s 80570 697a655f 74000000 011c0e00 00017a01 ize_t.........z. 80580 0a000002 0d040011 01427374 726e636d .........Bstrncm 80590 70000000 017a0101 03920120 02900000 p....z..... .... 805a0 8e677400 8e680212 01427331 00000004 .gt..h...Bs1.... 805b0 34015212 01427332 00000004 34015312 4.R..Bs2....4.S. 805c0 01426e00 00000aba 01541361 31000000 .Bn......T.a1... 805d0 0acc1361 32000000 0acc0000 00000001 ...a2........... 805e0 0a000200 00320604 010001e1 30008e68 .....2......0..h 805f0 04008e69 1f2f686f 6d652f63 7573746f ...i./home/custo 80600 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 80610 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa 80620 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new 80630 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ 80640 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s 80650 74726e63 70792e53 002f7072 6f6a6563 trncpy.S./projec 80660 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 80670 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 80680 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 80690 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 806a0 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne 806b0 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ 806c0 6e65776c 69622f6c 6962632f 6d616368 newlib/libc/mach 806d0 696e652f 7874656e 73610047 4e552041 ine/xtensa.GNU A 806e0 5320322e 31362e31 008001 S 2.16.1... Contents of section .debug_abbrev: 0000 01110010 06110112 0103081b 08250813 .............%.. 0010 05000000 01110010 06110112 0103081b ................ 0020 08250813 05000000 01110010 06110112 .%.............. 0030 0103081b 08250813 05000000 01110010 .....%.......... 0040 06110112 0103081b 08250813 05000000 .........%...... 0050 01110010 06110112 0103081b 08250813 .............%.. 0060 05000000 01110010 06110112 0103081b ................ 0070 08250813 05000000 01110010 06110112 .%.............. 0080 0103081b 08250813 05000000 01110010 .....%.......... 0090 06110112 0103081b 08250813 05000000 .........%...... 00a0 01110010 06110112 0103081b 08250813 .............%.. 00b0 05000000 01110010 06110112 0103081b ................ 00c0 08250813 05000000 01110010 06110112 .%.............. 00d0 0103081b 08250813 05000000 01110010 .....%.......... 00e0 06110112 0103081b 08250813 05000000 .........%...... 00f0 01110010 06110112 0103081b 08250813 .............%.. 0100 05000000 01110103 081b0825 08130b42 ...........%...B 0110 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... 0120 03010149 130b0b01 13000004 21002f0b ...I........!./. 0130 00000513 010b0b01 13000006 0d000308 ................ 0140 4913380a 00000715 00270c00 00081600 I.8......'...... 0150 03084913 0000090f 0049130b 0b330b00 ..I......I...3.. 0160 000a0f00 0b0b330b 00000b13 0103080b ......3......... 0170 0b011300 000c1500 4913270c 00000d26 ........I.'....& 0180 00491300 000e2e01 3a0b3b0b 03084913 .I......:.;...I. 0190 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 01a0 0f05003a 0b3b0b03 08491302 0a000010 ...:.;...I...... 01b0 34000308 49130000 112e013a 0b3b0b03 4...I......:.;.. 01c0 0849133f 0c270c40 0a2a0a11 01120100 .I.?.'.@.*...... 01d0 00000111 00100611 01120103 081b0825 ...............% 01e0 08130500 00000111 00100611 01120103 ................ 01f0 081b0825 08130500 00000111 00100611 ...%............ 0200 01120103 081b0825 08130500 00000111 .......%........ 0210 00100611 01120103 081b0825 08130500 ...........%.... 0220 00000111 00100611 01120103 081b0825 ...............% 0230 08130500 00000111 0103081b 08250813 .............%.. 0240 0b420b10 06000002 1500270c 0000030f .B........'..... 0250 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. 0260 0b0b0000 05260049 13000006 15004913 .....&.I......I. 0270 270c0000 07130103 080b0b01 13000008 '............... 0280 0d000308 4913380a 00000916 00030849 ....I.8........I 0290 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. 02a0 000c0401 03080b0b 01130000 0d280003 .............(.. 02b0 081c0b00 000e0101 49130b0b 01130000 ........I....... 02c0 0f21002f 0b000010 17010b0b 01130000 .!./............ 02d0 1113010b 0b011300 00120d00 4913380a ............I.8. 02e0 00001304 010b0b01 13000014 0d000308 ................ 02f0 49130b0b 0c0b0d0b 380a0000 15010149 I.......8......I 0300 130b0501 13000016 13010308 0b050113 ................ 0310 00001717 010b0501 13000018 28000308 ............(... 0320 1c060000 19010149 133c0c01 1300001a .......I.<...... 0330 21000000 1b340003 08491302 0a3f0c00 !....4...I...?.. 0340 001c3500 49130000 1d2e013a 0b3b0b03 ..5.I......:.;.. 0350 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ 0360 001e3400 03084913 020a0000 1f340003 ..4...I......4.. 0370 08491300 00200500 3a0b3b0b 03084913 .I... ..:.;...I. 0380 020a0000 212e013a 0b3b0b03 08491327 ....!..:.;...I.' 0390 0c400a2a 0a110112 01011300 00222e01 .@.*.........".. 03a0 3a0b3b0b 03084913 3f0c270c 400a2a0a :.;...I.?.'.@.*. 03b0 11011201 01130000 232e013a 0b3b0503 ........#..:.;.. 03c0 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ 03d0 00240500 3a0b3b05 03084913 020a0000 .$..:.;...I..... 03e0 252e013a 0b3b0503 08270c40 0a2a0a11 %..:.;...'.@.*.. 03f0 01120101 13000026 2e013a0b 3b050308 .......&..:.;... 0400 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 0410 0000272e 003a0b3b 0503083f 0c400a2a ..'..:.;...?.@.* 0420 0a110112 01000028 2e003a0b 3b050308 .......(..:.;... 0430 49133f0c 270c400a 360b2a0a 11011201 I.?.'.@.6.*..... 0440 00000001 11010308 1b082508 130b420b ..........%...B. 0450 10060000 02150027 0c000003 0f004913 .......'......I. 0460 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... 0470 00052600 49130000 06150049 13270c00 ..&.I......I.'.. 0480 00071301 03080b0b 01130000 080d0003 ................ 0490 08491338 0a000009 16000308 49130000 .I.8........I... 04a0 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... 04b0 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... 04c0 01130000 0e280003 081c0b00 000f0101 .....(.......... 04d0 49130b0b 01130000 1021002f 0b000011 I........!./.... 04e0 17010b0b 01130000 1213010b 0b011300 ................ 04f0 00130d00 4913380a 00001404 010b0b01 ....I.8......... 0500 13000015 0d000308 49130b0b 0c0b0d0b ........I....... 0510 380a0000 16010149 130b0501 13000017 8......I........ 0520 13010308 0b050113 00001817 010b0501 ................ 0530 13000019 28000308 1c060000 1a340003 ....(........4.. 0540 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. 0550 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... 0560 01130000 1c05003a 0b3b0b03 08491302 .......:.;...I.. 0570 0a00001d 34000308 49130000 1e2e003a ....4...I......: 0580 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 0590 0100001f 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. 05a0 400a2a0a 11011201 00000001 11010308 @.*............. 05b0 1b082508 130b420b 10060000 02150027 ..%...B........' 05c0 0c000003 0f004913 0b0b330b 00000424 ......I...3....$ 05d0 0003083e 0b0b0b00 00052600 49130000 ...>......&.I... 05e0 06150049 13270c00 00071301 03080b0b ...I.'.......... 05f0 01130000 080d0003 08491338 0a000009 .........I.8.... 0600 16000308 49130000 0a0f000b 0b330b00 ....I........3.. 0610 000b2600 00000c13 0003080b 0b3c0c00 ..&..........<.. 0620 000d0401 03080b0b 01130000 0e280003 .............(.. 0630 081c0b00 000f0101 49130b0b 01130000 ........I....... 0640 1021002f 0b000011 17010b0b 01130000 .!./............ 0650 1213010b 0b011300 00130d00 4913380a ............I.8. 0660 00001404 010b0b01 13000015 0d000308 ................ 0670 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I 0680 130b0501 13000017 13010308 0b050113 ................ 0690 00001817 010b0501 13000019 28000308 ............(... 06a0 1c060000 1a340003 08491302 0a3f0c00 .....4...I...?.. 06b0 001b0101 49133c0c 01130000 1c210000 ....I.<......!.. 06c0 001d2e01 3a0b3b0b 03083f0c 270c400a ....:.;...?.'.@. 06d0 2a0a1101 12010113 00001e05 003a0b3b *............:.; 06e0 0b030849 13020a00 001f3400 03084913 ...I......4...I. 06f0 0000202e 003a0b3b 0b030849 133f0c27 .. ..:.;...I.?.' 0700 0c400a2a 0a110112 01000021 2e003a0b .@.*.......!..:. 0710 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... 0720 00002234 00030849 13020a00 00232e01 .."4...I.....#.. 0730 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 0740 12010000 2405003a 0b3b0503 08491302 ....$..:.;...I.. 0750 0a000000 01110103 081b0825 08130b42 ...........%...B 0760 0b100600 00021500 270c0000 030f0049 ........'......I 0770 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... 0780 00000526 00491300 00061500 4913270c ...&.I......I.'. 0790 00000713 0103080b 0b011300 00080d00 ................ 07a0 03084913 380a0000 09160003 08491300 ..I.8........I.. 07b0 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... 07c0 13000308 0b0b3c0c 00000d04 0103080b ......<......... 07d0 0b011300 000e2800 03081c0b 00000f01 ......(......... 07e0 0149130b 0b011300 00102100 2f0b0000 .I........!./... 07f0 1117010b 0b011300 00121301 0b0b0113 ................ 0800 0000130d 00491338 0a000014 04010b0b .....I.8........ 0810 01130000 150d0003 0849130b 0b0c0b0d .........I...... 0820 0b380a00 00160101 49130b05 01130000 .8......I....... 0830 17130103 080b0501 13000018 17010b05 ................ 0840 01130000 19280003 081c0600 001a3400 .....(........4. 0850 03084913 020a3f0c 00001b35 00491300 ..I...?....5.I.. 0860 001c2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. 0870 400a2a0a 11011201 01130000 1d05003a @.*............: 0880 0b3b0b03 08491302 0a00001e 34000308 .;...I......4... 0890 49130000 1f2e013a 0b3b0b03 083f0c27 I......:.;...?.' 08a0 0c400a2a 0a110112 01011300 00203400 .@.*......... 4. 08b0 03084913 020a0000 212e013a 0b3b0503 ..I.....!..:.;.. 08c0 083f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." 08d0 05003a0b 3b050308 4913020a 00000001 ..:.;...I....... 08e0 11010308 1b082508 130b420b 10060000 ......%...B..... 08f0 02150027 0c000003 0f004913 0b0b330b ...'......I...3. 0900 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. 0910 49130000 06150049 13270c00 00071301 I......I.'...... 0920 03080b0b 01130000 080d0003 08491338 .............I.8 0930 0a000009 16000308 49130000 0a0f000b ........I....... 0940 0b330b00 000b2600 00000c04 0103080b .3....&......... 0950 0b011300 000d2800 03081c0b 00000e01 ......(......... 0960 0149130b 0b011300 000f2100 2f0b0000 .I........!./... 0970 1017010b 0b011300 00111301 0b0b0113 ................ 0980 0000120d 00491338 0a000013 04010b0b .....I.8........ 0990 01130000 140d0003 0849130b 0b0c0b0d .........I...... 09a0 0b380a00 00150101 49130b05 01130000 .8......I....... 09b0 16130103 080b0501 13000017 17010b05 ................ 09c0 01130000 18280003 081c0600 00193400 .....(........4. 09d0 03084913 020a3f0c 00001a35 00491300 ..I...?....5.I.. 09e0 001b2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. 09f0 400a2a0a 11011201 01130000 1c05003a @.*............: 0a00 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. 0a10 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... 0a20 01130000 1e340003 08491300 001f2e01 .....4...I...... 0a30 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 0a40 12010000 00011101 03081b08 2508130b ............%... 0a50 420b1006 00000215 00270c00 00030f00 B........'...... 0a60 49130b0b 330b0000 04240003 083e0b0b I...3....$...>.. 0a70 0b000005 26004913 00000615 00491327 ....&.I......I.' 0a80 0c000007 13010308 0b0b0113 0000080d ................ 0a90 00030849 13380a00 00091600 03084913 ...I.8........I. 0aa0 00000a0f 000b0b33 0b00000b 26000000 .......3....&... 0ab0 0c130003 080b0b3c 0c00000d 04010308 .......<........ 0ac0 0b0b0113 00000e28 0003081c 0b00000f .......(........ 0ad0 01014913 0b0b0113 00001021 002f0b00 ..I........!./.. 0ae0 00111701 0b0b0113 00001213 010b0b01 ................ 0af0 13000013 0d004913 380a0000 1404010b ......I.8....... 0b00 0b011300 00150d00 03084913 0b0b0c0b ..........I..... 0b10 0d0b380a 00001601 0149130b 05011300 ..8......I...... 0b20 00171301 03080b05 01130000 1817010b ................ 0b30 05011300 00192800 03081c06 00001a2e ......(......... 0b40 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 0b50 01120100 001b2e01 3a0b3b0b 03083f0c ........:.;...?. 0b60 270c400a 2a0a1101 12010000 1c05003a '.@.*..........: 0b70 0b3b0b03 08491302 0a000000 01110103 .;...I.......... 0b80 081b0825 08130b42 0b100600 00021500 ...%...B........ 0b90 270c0000 030f0049 130b0b33 0b000004 '......I...3.... 0ba0 24000308 3e0b0b0b 00000526 00491300 $...>......&.I.. 0bb0 00061500 4913270c 00000713 0103080b ....I.'......... 0bc0 0b011300 00080d00 03084913 380a0000 ..........I.8... 0bd0 09160003 08491300 000a0f00 0b0b330b .....I........3. 0be0 00000b26 0000000c 04010308 0b0b0113 ...&............ 0bf0 00000d28 0003081c 0b00000e 01014913 ...(..........I. 0c00 0b0b0113 00000f21 002f0b00 00101701 .......!./...... 0c10 0b0b0113 00001113 010b0b01 13000012 ................ 0c20 0d004913 380a0000 1304010b 0b011300 ..I.8........... 0c30 00140d00 03084913 0b0b0c0b 0d0b380a ......I.......8. 0c40 00001501 0149130b 05011300 00161301 .....I.......... 0c50 03080b05 01130000 1717010b 05011300 ................ 0c60 00182800 03081c06 00001935 00491300 ..(........5.I.. 0c70 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... 0c80 0149133c 0c011300 001c2100 00001d2e .I.<......!..... 0c90 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 0ca0 01120100 001e2e01 3a0b3b0b 03083f0c ........:.;...?. 0cb0 270c400a 2a0a1101 12010113 00001f05 '.@.*........... 0cc0 003a0b3b 0b030849 13020a00 00203400 .:.;...I..... 4. 0cd0 03084913 0000212e 003a0b3b 0b030849 ..I...!..:.;...I 0ce0 133f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." 0cf0 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. 0d00 2a0a1101 12010113 00002334 00030849 *.........#4...I 0d10 13020a00 00242e01 3a0b3b0b 03083f0c .....$..:.;...?. 0d20 270c400a 2a0a1101 12010000 00011101 '.@.*........... 0d30 03081b08 2508130b 420b1006 00000215 ....%...B....... 0d40 00270c00 00030f00 49130b0b 330b0000 .'......I...3... 0d50 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. 0d60 00000615 00491327 0c000007 13010308 .....I.'........ 0d70 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. 0d80 00091600 03084913 00000a0f 000b0b33 ......I........3 0d90 0b00000b 26000000 0c130003 080b0b3c ....&..........< 0da0 0c00000d 04010308 0b0b0113 00000e28 ...............( 0db0 0003081c 0b00000f 01014913 0b0b0113 ..........I..... 0dc0 00001021 002f0b00 00111701 0b0b0113 ...!./.......... 0dd0 00001213 010b0b01 13000013 0d004913 ..............I. 0de0 380a0000 1404010b 0b011300 00150d00 8............... 0df0 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... 0e00 0149130b 05011300 00171301 03080b05 .I.............. 0e10 01130000 1817010b 05011300 00192800 ..............(. 0e20 03081c06 00001a34 00030849 13020a3f .......4...I...? 0e30 0c00001b 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. 0e40 400a2a0a 11011201 01130000 1c05003a @.*............: 0e50 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. 0e60 3b0b0308 4913270c 400a2a0a 11011201 ;...I.'.@.*..... 0e70 01130000 1e340003 08491300 001f3400 .....4...I....4. 0e80 03084913 020a0000 202e013a 0b3b0503 ..I..... ..:.;.. 0e90 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... 0ea0 13000021 05003a0b 3b050308 4913020a ...!..:.;...I... 0eb0 0000222e 003a0b3b 0503083f 0c270c40 .."..:.;...?.'.@ 0ec0 0a2a0a11 01120100 00232e01 3a0b3b05 .*.......#..:.;. 0ed0 03083f0c 270c400a 2a0a1101 12010000 ..?.'.@.*....... 0ee0 00011101 03081b08 2508130b 420b1006 ........%...B... 0ef0 00000215 00270c00 00030f00 49130b0b .....'......I... 0f00 330b0000 04240003 083e0b0b 0b000005 3....$...>...... 0f10 26004913 00000615 00491327 0c000007 &.I......I.'.... 0f20 13010308 0b0b0113 0000080d 00030849 ...............I 0f30 13380a00 00091600 03084913 00000a0f .8........I..... 0f40 000b0b33 0b00000b 26000000 0c130003 ...3....&....... 0f50 080b0b3c 0c00000d 04010308 0b0b0113 ...<............ 0f60 00000e28 0003081c 0b00000f 01014913 ...(..........I. 0f70 0b0b0113 00001021 002f0b00 00111701 .......!./...... 0f80 0b0b0113 00001213 010b0b01 13000013 ................ 0f90 0d004913 380a0000 1404010b 0b011300 ..I.8........... 0fa0 00150d00 03084913 0b0b0c0b 0d0b380a ......I.......8. 0fb0 00001601 0149130b 05011300 00171301 .....I.......... 0fc0 03080b05 01130000 1817010b 05011300 ................ 0fd0 00192800 03081c06 00001a34 00030849 ..(........4...I 0fe0 13020a3f 0c00001b 2e013a0b 3b0b0308 ...?......:.;... 0ff0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 1000 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... 1010 001d3400 03084913 00001e2e 003a0b3b ..4...I......:.; 1020 0b030849 133f0c27 0c400a2a 0a110112 ...I.?.'.@.*.... 1030 0100001f 34000308 4913020a 0000202e ....4...I..... . 1040 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 1050 01120100 00212e01 3a0b3b0b 03083f0c .....!..:.;...?. 1060 270c400a 2a0a1101 12010000 00011101 '.@.*........... 1070 03081b08 2508130b 420b1006 00000215 ....%...B....... 1080 00270c00 00030f00 49130b0b 330b0000 .'......I...3... 1090 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. 10a0 00000615 00491327 0c000007 13010308 .....I.'........ 10b0 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. 10c0 00091600 03084913 00000a0f 000b0b33 ......I........3 10d0 0b00000b 26000000 0c130003 080b0b3c ....&..........< 10e0 0c00000d 04010308 0b0b0113 00000e28 ...............( 10f0 0003081c 0b00000f 01014913 0b0b0113 ..........I..... 1100 00001021 002f0b00 00111701 0b0b0113 ...!./.......... 1110 00001213 010b0b01 13000013 0d004913 ..............I. 1120 380a0000 1404010b 0b011300 00150d00 8............... 1130 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... 1140 0149130b 05011300 00171301 03080b05 .I.............. 1150 01130000 1817010b 05011300 00192800 ..............(. 1160 03081c06 00001a2e 003a0b3b 0b03083f .........:.;...? 1170 0c270c40 0a2a0a11 01120100 001b2e01 .'.@.*.......... 1180 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 1190 12010000 1c05003a 0b3b0b03 08491302 .......:.;...I.. 11a0 0a000000 01110103 081b0825 08130b42 ...........%...B 11b0 0b100600 00021500 270c0000 030f0049 ........'......I 11c0 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... 11d0 00000526 00491300 00061500 4913270c ...&.I......I.'. 11e0 00000713 0103080b 0b011300 00080d00 ................ 11f0 03084913 380a0000 09160003 08491300 ..I.8........I.. 1200 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... 1210 13000308 0b0b3c0c 00000d04 0103080b ......<......... 1220 0b011300 000e2800 03081c0b 00000f01 ......(......... 1230 0149130b 0b011300 00102100 2f0b0000 .I........!./... 1240 1117010b 0b011300 00121301 0b0b0113 ................ 1250 0000130d 00491338 0a000014 04010b0b .....I.8........ 1260 01130000 150d0003 0849130b 0b0c0b0d .........I...... 1270 0b380a00 00160101 49130b05 01130000 .8......I....... 1280 17130103 080b0501 13000018 17010b05 ................ 1290 01130000 19280003 081c0600 001a3400 .....(........4. 12a0 03084913 020a0000 1b2e003a 0b3b0b03 ..I........:.;.. 12b0 083f0c27 0c400a2a 0a110112 0100001c .?.'.@.*........ 12c0 2e013a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. 12d0 11011201 01130000 1d05003a 0b3b0b03 ...........:.;.. 12e0 08491302 0a00001e 34000308 49130000 .I......4...I... 12f0 1f2e013a 0b3b0b03 083f0c27 0c400a2a ...:.;...?.'.@.* 1300 0a110112 01000000 01110103 081b0825 ...............% 1310 08130b42 0b100600 00021500 270c0000 ...B........'... 1320 030f0049 130b0b33 0b000004 24000308 ...I...3....$... 1330 3e0b0b0b 00000526 00491300 00061500 >......&.I...... 1340 4913270c 00000713 0103080b 0b011300 I.'............. 1350 00080d00 03084913 380a0000 09160003 ......I.8....... 1360 08491300 000a0f00 0b0b330b 00000b26 .I........3....& 1370 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... 1380 0103080b 0b011300 000e2800 03081c0b ..........(..... 1390 00000f01 0149130b 0b011300 00102100 .....I........!. 13a0 2f0b0000 1117010b 0b011300 00121301 /............... 13b0 0b0b0113 0000130d 00491338 0a000014 .........I.8.... 13c0 04010b0b 01130000 150d0003 0849130b .............I.. 13d0 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... 13e0 01130000 17130103 080b0501 13000018 ................ 13f0 17010b05 01130000 19280003 081c0600 .........(...... 1400 001a3400 03084913 020a3f0c 00001b2e ..4...I...?..... 1410 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 1420 01120101 1300001c 05003a0b 3b0b0308 ..........:.;... 1430 4913020a 00001d34 00030849 1300001e I......4...I.... 1440 2e003a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. 1450 11011201 00001f2e 013a0b3b 0b03083f .........:.;...? 1460 0c270c40 0a2a0a11 01120100 00000111 .'.@.*.......... 1470 0103081b 08250813 0b420b10 06000002 .....%...B...... 1480 1500270c 0000030f 0049130b 0b330b00 ..'......I...3.. 1490 00042400 03083e0b 0b0b0000 05260049 ..$...>......&.I 14a0 13000006 15004913 270c0000 07130103 ......I.'....... 14b0 080b0b01 13000008 0d000308 4913380a ............I.8. 14c0 00000916 00030849 1300000a 0f000b0b .......I........ 14d0 330b0000 0b260000 000c1300 03080b0b 3....&.......... 14e0 3c0c0000 0d040103 080b0b01 1300000e <............... 14f0 28000308 1c0b0000 0f010149 130b0b01 (..........I.... 1500 13000010 21002f0b 00001117 010b0b01 ....!./......... 1510 13000012 13010b0b 01130000 130d0049 ...............I 1520 13380a00 00140401 0b0b0113 0000150d .8.............. 1530 00030849 130b0b0c 0b0d0b38 0a000016 ...I.......8.... 1540 01014913 0b050113 00001713 0103080b ..I............. 1550 05011300 00181701 0b050113 00001928 ...............( 1560 0003081c 0600001a 34000308 4913020a ........4...I... 1570 3f0c0000 1b2e003a 0b3b0b03 0849133f ?......:.;...I.? 1580 0c270c40 0a2a0a11 01120100 001c2e00 .'.@.*.......... 1590 3a0b3b0b 03083f0c 400a2a0a 11011201 :.;...?.@.*..... 15a0 00001d2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ 15b0 0a2a0a11 01120101 1300001e 05003a0b .*............:. 15c0 3b0b0308 4913020a 00001f2e 013a0b3b ;...I........:.; 15d0 0b03083f 0c400a2a 0a110112 01011300 ...?.@.*........ 15e0 00203400 03084913 020a0000 212e013a . 4...I.....!..: 15f0 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 1600 01000000 01110103 081b0825 08130b42 ...........%...B 1610 0b100600 00021500 270c0000 030f0049 ........'......I 1620 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... 1630 00000526 00491300 00061500 4913270c ...&.I......I.'. 1640 00000713 0103080b 0b011300 00080d00 ................ 1650 03084913 380a0000 09160003 08491300 ..I.8........I.. 1660 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... 1670 13000308 0b0b3c0c 00000d04 0103080b ......<......... 1680 0b011300 000e2800 03081c0b 00000f01 ......(......... 1690 0149130b 0b011300 00102100 2f0b0000 .I........!./... 16a0 1117010b 0b011300 00121301 0b0b0113 ................ 16b0 0000130d 00491338 0a000014 04010b0b .....I.8........ 16c0 01130000 150d0003 0849130b 0b0c0b0d .........I...... 16d0 0b380a00 00160101 49130b05 01130000 .8......I....... 16e0 17130103 080b0501 13000018 17010b05 ................ 16f0 01130000 19280003 081c0600 001a3400 .....(........4. 1700 03084913 020a0000 1b2e013a 0b3b0b03 ..I........:.;.. 1710 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... 1720 1300001c 34000308 49130000 1d2e013a ....4...I......: 1730 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 1740 01011300 001e0500 3a0b3b0b 03084913 ........:.;...I. 1750 020a0000 1f2e003a 0b3b0b03 083f0c27 .......:.;...?.' 1760 0c400a2a 0a110112 01000020 2e003a0b .@.*....... ..:. 1770 3b0b0308 49133f0c 400a2a0a 11011201 ;...I.?.@.*..... 1780 0000212e 013a0b3b 0503083f 0c270c40 ..!..:.;...?.'.@ 1790 0a2a0a11 01120101 13000022 05003a0b .*........."..:. 17a0 3b050308 4913020a 0000232e 013a0b3b ;...I.....#..:.; 17b0 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ 17c0 00242e01 3a0b3b05 03083f0c 270c400a .$..:.;...?.'.@. 17d0 2a0a1101 12010000 00011101 03081b08 *............... 17e0 2508130b 420b1006 00000213 0103080b %...B........... 17f0 0b011300 00030d00 03084913 380a0000 ..........I.8... 1800 040f0049 130b0b33 0b000005 24000308 ...I...3....$... 1810 3e0b0b0b 00000616 00030849 13000007 >..........I.... 1820 01014913 0b0b0113 00000821 002f0b00 ..I........!./.. 1830 00091500 270c0000 0a150049 13270c00 ....'......I.'.. 1840 000b0f00 0b0b330b 00000c13 010b0b01 ......3......... 1850 1300000d 04010b0b 01130000 0e280003 .............(.. 1860 081c0b00 000f1701 0b0b0113 00001004 ................ 1870 0103080b 0b011300 00110d00 03084913 ..............I. 1880 0b0b0c0b 0d0b380a 00001201 0149130b ......8......I.. 1890 05011300 00131301 03080b05 01130000 ................ 18a0 1417010b 05011300 00152600 49130000 ..........&.I... 18b0 16260000 00171300 03080b0b 3c0c0000 .&..........<... 18c0 180d0049 13380a00 00192800 03081c06 ...I.8....(..... 18d0 00001a34 00030849 13020a3f 0c00001b ...4...I...?.... 18e0 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. 18f0 2a0a1101 12010113 00001c05 003a0b3b *............:.; 1900 0b030849 13020a00 001d2e01 3a0b3b0b ...I........:.;. 1910 03083f0c 270c400a 2a0a1101 12010113 ..?.'.@.*....... 1920 00001e34 00030849 1300001f 34000308 ...4...I....4... 1930 4913020a 0000202e 003a0b3b 0b03083f I..... ..:.;...? 1940 0c270c40 0a2a0a11 01120100 00212e01 .'.@.*.......!.. 1950 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 1960 12010113 00002205 003a0b3b 05030849 ......"..:.;...I 1970 13020a00 00232e01 3a0b3b05 03084913 .....#..:.;...I. 1980 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 1990 242e013a 0b3b0503 083f0c27 0c400a2a $..:.;...?.'.@.* 19a0 0a110112 01000000 01110103 081b0825 ...............% 19b0 08130b42 0b100600 00021500 270c0000 ...B........'... 19c0 030f0049 130b0b33 0b000004 24000308 ...I...3....$... 19d0 3e0b0b0b 00000526 00491300 00061500 >......&.I...... 19e0 4913270c 00000713 0103080b 0b011300 I.'............. 19f0 00080d00 03084913 380a0000 09160003 ......I.8....... 1a00 08491300 000a0f00 0b0b330b 00000b26 .I........3....& 1a10 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... 1a20 0103080b 0b011300 000e2800 03081c0b ..........(..... 1a30 00000f01 0149130b 0b011300 00102100 .....I........!. 1a40 2f0b0000 1117010b 0b011300 00121301 /............... 1a50 0b0b0113 0000130d 00491338 0a000014 .........I.8.... 1a60 04010b0b 01130000 150d0003 0849130b .............I.. 1a70 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... 1a80 01130000 17130103 080b0501 13000018 ................ 1a90 17010b05 01130000 19280003 081c0600 .........(...... 1aa0 001a3400 03084913 020a3f0c 00001b35 ..4...I...?....5 1ab0 00491300 001c2e01 3a0b3b0b 03084913 .I......:.;...I. 1ac0 400a2a0a 11011201 01130000 1d340003 @.*..........4.. 1ad0 08491300 001e2e01 3a0b3b0b 0308270c .I......:.;...'. 1ae0 400a2a0a 11011201 01130000 1f05003a @.*............: 1af0 0b3b0b03 08491302 0a000020 2e013a0b .;...I..... ..:. 1b00 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... 1b10 12010113 00002134 00030849 13020a00 ......!4...I.... 1b20 00222e01 3a0b3b0b 03083f0c 270c400a ."..:.;...?.'.@. 1b30 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; 1b40 0b030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... 1b50 13000024 2e013a0b 3b050308 3f0c270c ...$..:.;...?.'. 1b60 8b400c40 0a2a0a11 01120101 13000025 .@.@.*.........% 1b70 05003a0b 3b050308 4913020a 0000262e ..:.;...I.....&. 1b80 013a0b3b 05030849 133f0c27 0c8b400c .:.;...I.?.'..@. 1b90 400a2a0a 11011201 01130000 272e013a @.*.........'..: 1ba0 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 1bb0 01011300 00282e01 3a0b3b05 03084913 .....(..:.;...I. 1bc0 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 1bd0 292e003a 0b3b0503 083f0c27 0c400a2a )..:.;...?.'.@.* 1be0 0a110112 01000000 01110103 081b0825 ...............% 1bf0 08130b42 0b100600 00022400 03083e0b ...B......$...>. 1c00 0b0b0000 03160003 08491300 00041301 .........I...... 1c10 03080b0b 01130000 050d0003 08491338 .............I.8 1c20 0a000006 1500270c 0000070f 0049130b ......'......I.. 1c30 0b330b00 00082600 49130000 09150049 .3....&.I......I 1c40 13270c00 000a0f00 0b0b330b 00000b26 .'........3....& 1c50 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... 1c60 0103080b 0b011300 000e2800 03081c0b ..........(..... 1c70 00000f01 0149130b 0b011300 00102100 .....I........!. 1c80 2f0b0000 1117010b 0b011300 00121301 /............... 1c90 0b0b0113 0000130d 00491338 0a000014 .........I.8.... 1ca0 04010b0b 01130000 150d0003 0849130b .............I.. 1cb0 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... 1cc0 01130000 17130103 080b0501 13000018 ................ 1cd0 17010b05 01130000 19280003 081c0600 .........(...... 1ce0 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... 1cf0 0149133c 0c011300 001c2100 00001d35 .I.<......!....5 1d00 00491300 001e2e00 3a0b3b05 0308270c .I......:.;...'. 1d10 400a2a0a 11011201 00001f2e 013a0b3b @.*..........:.; 1d20 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ 1d30 00203400 03084913 0000212e 013a0b3b . 4...I...!..:.; 1d40 05030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... 1d50 13000022 2e003a0b 3b050308 4913270c ..."..:.;...I.'. 1d60 400a2a0a 11011201 00002334 00030849 @.*.......#4...I 1d70 13020a00 00240500 3a0b3b05 03084913 .....$..:.;...I. 1d80 020a0000 252e013a 0b3b0503 083f0c27 ....%..:.;...?.' 1d90 0c400a2a 0a110112 01011300 00262e01 .@.*.........&.. 1da0 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 1db0 12010000 00011101 03081b08 2508130b ............%... 1dc0 420b0000 02240003 083e0b0b 0b000003 B....$...>...... 1dd0 16000308 49130000 04130103 080b0b01 ....I........... 1de0 13000005 0d000308 4913380a 00000601 ........I.8..... 1df0 0149133c 0c011300 00072100 00000826 .I.<......!....& 1e00 00491300 00093400 03084913 020a3f0c .I....4...I...?. 1e10 00000a21 002f0b00 00000111 0103081b ...!./.......... 1e20 08250813 0b420b10 06000002 24000308 .%...B......$... 1e30 3e0b0b0b 00000316 00030849 13000004 >..........I.... 1e40 13010308 0b0b0113 0000050d 00030849 ...............I 1e50 13380a00 00061500 270c0000 070f0049 .8......'......I 1e60 130b0b33 0b000008 26004913 00000915 ...3....&.I..... 1e70 00491327 0c00000a 0f000b0b 330b0000 .I.'........3... 1e80 0b260000 000c1300 03080b0b 3c0c0000 .&..........<... 1e90 0d040103 080b0b01 1300000e 28000308 ............(... 1ea0 1c0b0000 0f010149 130b0b01 13000010 .......I........ 1eb0 21002f0b 00001117 010b0b01 13000012 !./............. 1ec0 13010b0b 01130000 130d0049 13380a00 ...........I.8.. 1ed0 00140401 0b0b0113 0000150d 00030849 ...............I 1ee0 130b0b0c 0b0d0b38 0a000016 01014913 .......8......I. 1ef0 0b050113 00001713 0103080b 05011300 ................ 1f00 00181701 0b050113 00001928 0003081c ...........(.... 1f10 0600001a 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. 1f20 400a2a0a 11011201 01130000 1b05003a @.*............: 1f30 0b3b0b03 08491302 0a00001c 34000308 .;...I......4... 1f40 49130000 1d2e013a 0b3b0503 083f0c27 I......:.;...?.' 1f50 0c400a2a 0a110112 01000000 01110103 .@.*............ 1f60 081b0825 08130b42 0b100600 00021301 ...%...B........ 1f70 03080b0b 01130000 030d0003 08491338 .............I.8 1f80 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ 1f90 0003083e 0b0b0b00 00061600 03084913 ...>..........I. 1fa0 00000701 0149130b 0b011300 00082100 .....I........!. 1fb0 2f0b0000 09150027 0c00000a 15004913 /......'......I. 1fc0 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. 1fd0 49130000 0d260000 000e1300 03080b0b I....&.......... 1fe0 3c0c0000 0f040103 080b0b01 13000010 <............... 1ff0 28000308 1c0b0000 1117010b 0b011300 (............... 2000 00121301 0b0b0113 0000130d 00491338 .............I.8 2010 0a000014 04010b0b 01130000 150d0003 ................ 2020 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... 2030 49130b05 01130000 17130103 080b0501 I............... 2040 13000018 17010b05 01130000 19280003 .............(.. 2050 081c0600 001a2800 03081c05 00001b34 ......(........4 2060 00030849 13020a3f 0c00001c 2e013a0b ...I...?......:. 2070 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... 2080 12010113 00001d05 003a0b3b 0b030849 .........:.;...I 2090 13020a00 001e2e01 3a0b3b0b 03083f0c ........:.;...?. 20a0 270c400a 2a0a1101 12010113 00001f34 '.@.*..........4 20b0 00030849 13000020 2e013a0b 3b050308 ...I... ..:.;... 20c0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 20d0 00002105 003a0b3b 05030849 13020a00 ..!..:.;...I.... 20e0 00222e01 3a0b3b05 03083f0c 270c400a ."..:.;...?.'.@. 20f0 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; 2100 0503083f 0c270c40 0a2a0a11 01120100 ...?.'.@.*...... 2110 00000111 0103081b 08250813 0b420b10 .........%...B.. 2120 06000002 13010308 0b0b0113 0000030d ................ 2130 00030849 13380a00 00040f00 49130b0b ...I.8......I... 2140 330b0000 05240003 083e0b0b 0b000006 3....$...>...... 2150 16000308 49130000 07010149 130b0b01 ....I......I.... 2160 13000008 21002f0b 00000915 00270c00 ....!./......'.. 2170 000a1500 4913270c 00000b0f 000b0b33 ....I.'........3 2180 0b00000c 26004913 00000d26 0000000e ....&.I....&.... 2190 13000308 0b0b3c0c 00000f04 0103080b ......<......... 21a0 0b011300 00102800 03081c0b 00001117 ......(......... 21b0 010b0b01 13000012 13010b0b 01130000 ................ 21c0 130d0049 13380a00 00140401 0b0b0113 ...I.8.......... 21d0 0000150d 00030849 130b0b0c 0b0d0b38 .......I.......8 21e0 0a000016 01014913 0b050113 00001713 ......I......... 21f0 0103080b 05011300 00181701 0b050113 ................ 2200 00001928 0003081c 0600001a 34000308 ...(........4... 2210 4913020a 3f0c0000 1b2e013a 0b3b0b03 I...?......:.;.. 2220 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ 2230 001c0500 3a0b3b0b 03084913 020a0000 ....:.;...I..... 2240 1d340003 08491300 001e2e01 3a0b3b0b .4...I......:.;. 2250 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... 2260 01130000 1f2e013a 0b3b0b03 083f0c27 .......:.;...?.' 2270 0c400a2a 0a110112 01000000 01110103 .@.*............ 2280 081b0825 08130b42 0b100600 00021301 ...%...B........ 2290 03080b0b 01130000 030d0003 08491338 .............I.8 22a0 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ 22b0 0003083e 0b0b0b00 00061600 03084913 ...>..........I. 22c0 00000701 0149130b 0b011300 00082100 .....I........!. 22d0 2f0b0000 09150027 0c00000a 15004913 /......'......I. 22e0 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. 22f0 49130000 0d260000 000e1300 03080b0b I....&.......... 2300 3c0c0000 0f040103 080b0b01 13000010 <............... 2310 28000308 1c0b0000 1117010b 0b011300 (............... 2320 00121301 0b0b0113 0000130d 00491338 .............I.8 2330 0a000014 04010b0b 01130000 150d0003 ................ 2340 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... 2350 49130b05 01130000 17130103 080b0501 I............... 2360 13000018 17010b05 01130000 19280003 .............(.. 2370 081c0600 001a3400 03084913 020a3f0c ......4...I...?. 2380 00001b2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ 2390 0a2a0a11 01120101 1300001c 05003a0b .*............:. 23a0 3b0b0308 4913020a 00001d34 00030849 ;...I......4...I 23b0 1300001e 2e013a0b 3b0b0308 49133f0c ......:.;...I.?. 23c0 400a2a0a 11011201 01130000 1f2e013a @.*............: 23d0 0b3b0b03 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. 23e0 01120101 13000020 2e013a0b 3b0b0308 ....... ..:.;... 23f0 3f0c270c 400a2a0a 11011201 00000001 ?.'.@.*......... 2400 11010308 1b082508 130b420b 10060000 ......%...B..... 2410 02150027 0c000003 0f004913 0b0b330b ...'......I...3. 2420 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. 2430 49130000 06150049 13270c00 00071301 I......I.'...... 2440 03080b0b 01130000 080d0003 08491338 .............I.8 2450 0a000009 16000308 49130000 0a0f000b ........I....... 2460 0b330b00 000b2600 00000c13 0003080b .3....&......... 2470 0b3c0c00 000d0401 03080b0b 01130000 .<.............. 2480 0e280003 081c0b00 000f0101 49130b0b .(..........I... 2490 01130000 1021002f 0b000011 17010b0b .....!./........ 24a0 01130000 1213010b 0b011300 00130d00 ................ 24b0 4913380a 00001404 010b0b01 13000015 I.8............. 24c0 0d000308 49130b0b 0c0b0d0b 380a0000 ....I.......8... 24d0 16010149 130b0501 13000017 13010308 ...I............ 24e0 0b050113 00001817 010b0501 13000019 ................ 24f0 28000308 1c060000 1a2e013a 0b3b0503 (..........:.;.. 2500 08491327 0c8b400c 400a2a0a 11011201 .I.'..@.@.*..... 2510 01130000 1b05003a 0b3b0503 08491302 .......:.;...I.. 2520 0a00001c 2e013a0b 3b050308 270c8b40 ......:.;...'..@ 2530 0c400a2a 0a110112 01011300 001d2e01 .@.*............ 2540 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 2550 12010113 00001e05 003a0b3b 0b030849 .........:.;...I 2560 13020a00 001f2e01 3a0b3b0b 03084913 ........:.;...I. 2570 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... 2580 13000020 34000308 49130000 21340003 ... 4...I...!4.. 2590 08491302 0a000022 2e013a0b 3b0b0308 .I....."..:.;... 25a0 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... 25b0 13000023 2e013a0b 3b050308 3f0c270c ...#..:.;...?.'. 25c0 8b400c40 0a2a0a11 01120101 13000024 .@.@.*.........$ 25d0 2e013a0b 3b050308 3f0c270c 400a2a0a ..:.;...?.'.@.*. 25e0 11011201 01130000 252e013a 0b3b0503 ........%..:.;.. 25f0 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... 2600 13000026 2e013a0b 3b050308 3f0c270c ...&..:.;...?.'. 2610 400a2a0a 11011201 00000001 11010308 @.*............. 2620 1b082508 130b420b 10060000 02130103 ..%...B......... 2630 080b0b01 13000003 0d000308 4913380a ............I.8. 2640 0000040f 0049130b 0b330b00 00052400 .....I...3....$. 2650 03083e0b 0b0b0000 06160003 08491300 ..>..........I.. 2660 00070101 49130b0b 01130000 0821002f ....I........!./ 2670 0b000009 1500270c 00000a15 00491327 ......'......I.' 2680 0c00000b 0f000b0b 330b0000 0c260049 ........3....&.I 2690 1300000d 26000000 0e130003 080b0b3c ....&..........< 26a0 0c00000f 04010308 0b0b0113 00001028 ...............( 26b0 0003081c 0b000011 17010b0b 01130000 ................ 26c0 1213010b 0b011300 00130d00 4913380a ............I.8. 26d0 00001404 010b0b01 13000015 0d000308 ................ 26e0 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I 26f0 130b0501 13000017 13010308 0b050113 ................ 2700 00001817 010b0501 13000019 28000308 ............(... 2710 1c060000 1a2e003a 0b3b0b03 083f0c40 .......:.;...?.@ 2720 0a2a0a11 01120100 001b2e01 3a0b3b0b .*..........:.;. 2730 03083f0c 270c8b40 0c400a2a 0a110112 ..?.'..@.@.*.... 2740 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. 2750 020a0000 1d340003 08491300 001e2e01 .....4...I...... 2760 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 2770 12010113 00001f2e 013a0b3b 0b030827 .........:.;...' 2780 0c400a2a 0a110112 01011300 00202e01 .@.*......... .. 2790 3a0b3b0b 0308270c 8b400c40 0a2a0a11 :.;...'..@.@.*.. 27a0 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... 27b0 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 27c0 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' 27d0 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. 27e0 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: 27f0 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 2800 01011300 00252e01 3a0b3b05 0308270c .....%..:.;...'. 2810 400a2a0a 11011201 00000001 11010308 @.*............. 2820 1b082508 130b420b 10060000 02240003 ..%...B......$.. 2830 083e0b0b 0b000003 16000308 49130000 .>..........I... 2840 04010149 130b0b01 13000005 21002f0b ...I........!./. 2850 00000617 010b0b01 13000007 0d000308 ................ 2860 4913380a 00000813 010b0b01 13000009 I.8............. 2870 13010308 0b0b0113 00000a0f 0049130b .............I.. 2880 0b330b00 000b0f00 0b0b330b 00000c13 .3........3..... 2890 0103080b 05011300 000d1500 270c0000 ............'... 28a0 0e150049 13270c00 000f2600 49130000 ...I.'....&.I... 28b0 10010149 130b0501 13000011 26000000 ...I........&... 28c0 12130003 080b0b3c 0c000013 04010308 .......<........ 28d0 0b0b0113 00001428 0003081c 0b000015 .......(........ 28e0 0d004913 380a0000 1604010b 0b011300 ..I.8........... 28f0 00170d00 03084913 0b0b0c0b 0d0b380a ......I.......8. 2900 00001817 010b0501 13000019 28000308 ............(... 2910 1c060000 1a2e013a 0b3b0b03 0849133f .......:.;...I.? 2920 0c270c40 0a2a0a11 01120101 1300001b .'.@.*.......... 2930 05003a0b 3b0b0308 4913020a 00001c34 ..:.;...I......4 2940 00030849 1300001d 2e013a0b 3b0b0308 ...I......:.;... 2950 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 2960 1e340003 08491302 0a00001f 2e013a0b .4...I........:. 2970 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... 2980 00000001 11010308 1b082508 130b420b ..........%...B. 2990 10060000 02150027 0c000003 0f004913 .......'......I. 29a0 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... 29b0 00052600 49130000 06150049 13270c00 ..&.I......I.'.. 29c0 00071301 03080b0b 01130000 080d0003 ................ 29d0 08491338 0a000009 16000308 49130000 .I.8........I... 29e0 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... 29f0 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... 2a00 01130000 0e280003 081c0b00 000f0101 .....(.......... 2a10 49130b0b 01130000 1021002f 0b000011 I........!./.... 2a20 17010b0b 01130000 1213010b 0b011300 ................ 2a30 00130d00 4913380a 00001404 010b0b01 ....I.8......... 2a40 13000015 0d000308 49130b0b 0c0b0d0b ........I....... 2a50 380a0000 16010149 130b0501 13000017 8......I........ 2a60 13010308 0b050113 00001817 010b0501 ................ 2a70 13000019 28000308 1c060000 1a340003 ....(........4.. 2a80 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. 2a90 03084913 270c400a 2a0a1101 12010113 ..I.'.@.*....... 2aa0 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... 2ab0 001d2e01 3a0b3b0b 03084913 3f0c400a ....:.;...I.?.@. 2ac0 2a0a1101 12010113 00001e34 00030849 *..........4...I 2ad0 13020a00 001f3400 03084913 0000202e ......4...I... . 2ae0 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 2af0 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... 2b00 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 2b10 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' 2b20 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. 2b30 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: 2b40 0b3b0503 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. 2b50 01120100 00000111 0103081b 08250813 .............%.. 2b60 0b420b10 06000002 1500270c 0000030f .B........'..... 2b70 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. 2b80 0b0b0000 05260049 13000006 15004913 .....&.I......I. 2b90 270c0000 07130103 080b0b01 13000008 '............... 2ba0 0d000308 4913380a 00000916 00030849 ....I.8........I 2bb0 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. 2bc0 000c1300 03080b0b 3c0c0000 0d040103 ........<....... 2bd0 080b0b01 1300000e 28000308 1c0b0000 ........(....... 2be0 0f010149 130b0b01 13000010 21002f0b ...I........!./. 2bf0 00001117 010b0b01 13000012 13010b0b ................ 2c00 01130000 130d0049 13380a00 00140401 .......I.8...... 2c10 0b0b0113 0000150d 00030849 130b0b0c ...........I.... 2c20 0b0d0b38 0a000016 01014913 0b050113 ...8......I..... 2c30 00001713 0103080b 05011300 00181701 ................ 2c40 0b050113 00001928 0003081c 0600001a .......(........ 2c50 34000308 4913020a 3f0c0000 1b2e013a 4...I...?......: 2c60 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 2c70 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. 2c80 020a0000 1d2e013a 0b3b0b03 0849133f .......:.;...I.? 2c90 0c270c40 0a2a0a11 01120101 1300001e .'.@.*.......... 2ca0 34000308 49130000 1f2e013a 0b3b0b03 4...I......:.;.. 2cb0 083f0c27 0c8b400c 400a2a0a 11011201 .?.'..@.@.*..... 2cc0 01130000 202e013a 0b3b0b03 083f0c27 .... ..:.;...?.' 2cd0 0c400a2a 0a110112 01000000 01110103 .@.*............ 2ce0 081b0825 08130b42 0b100600 00022400 ...%...B......$. 2cf0 03083e0b 0b0b0000 03010149 130b0b01 ..>........I.... 2d00 13000004 21002f0b 00000513 010b0b01 ....!./......... 2d10 13000006 0d000308 4913380a 00000715 ........I.8..... 2d20 00270c00 00081600 03084913 0000090f .'........I..... 2d30 0049130b 0b330b00 000a0f00 0b0b330b .I...3........3. 2d40 00000b13 0103080b 0b011300 000c1500 ................ 2d50 4913270c 00000d01 0149133c 0c011300 I.'......I.<.... 2d60 000e2100 00000f2e 013a0b3b 0b030849 ..!......:.;...I 2d70 133f0c27 0c400a2a 0a110112 01000010 .?.'.@.*........ 2d80 05003a0b 3b0b0308 4913020a 00001134 ..:.;...I......4 2d90 00030849 13000000 01110010 06110112 ...I............ 2da0 0103081b 08250813 05000000 01110010 .....%.......... 2db0 06110112 0103081b 08250813 05000000 .........%...... 2dc0 01110010 06110112 0103081b 08250813 .............%.. 2dd0 05000000 01110103 081b0825 08130b42 ...........%...B 2de0 0b000002 24000308 3e0b0b0b 00000326 ....$...>......& 2df0 00491300 00043400 03084913 020a3f0c .I....4...I...?. 2e00 0000050f 0049130b 0b330b00 00060101 .....I...3...... 2e10 49130b0b 01130000 0721002f 0b000000 I........!./.... 2e20 01110010 06110112 0103081b 08250813 .............%.. 2e30 05000000 01110010 06110112 0103081b ................ 2e40 08250813 05000000 01110010 06110112 .%.............. 2e50 0103081b 08250813 05000000 01110010 .....%.......... 2e60 06110112 0103081b 08250813 05000000 .........%...... 2e70 01110103 081b0825 08130b42 0b000002 .......%...B.... 2e80 24000308 3e0b0b0b 00000301 0149130b $...>........I.. 2e90 0b011300 00042100 2f0b0000 05010149 ......!./......I 2ea0 130b0501 13000006 13010308 0b050113 ................ 2eb0 0000070d 00030849 13380a00 00082600 .......I.8....&. 2ec0 49130000 09340003 08491302 0a3f0c00 I....4...I...?.. 2ed0 00000111 00100611 01120103 081b0825 ...............% 2ee0 08130500 00000111 00100611 01120103 ................ 2ef0 081b0825 08130500 00000111 00100611 ...%............ 2f00 01120103 081b0825 08130500 00000111 .......%........ 2f10 00100611 01120103 081b0825 08130500 ...........%.... 2f20 00000111 0103081b 08250813 0b420b10 .........%...B.. 2f30 06000002 24000308 3e0b0b0b 00000316 ....$...>....... 2f40 00030849 13000004 01014913 0b0b0113 ...I......I..... 2f50 00000521 002f0b00 00061701 0b0b0113 ...!./.......... 2f60 0000070d 00030849 13380a00 00081301 .......I.8...... 2f70 0b0b0113 00000913 0103080b 0b011300 ................ 2f80 000a0f00 49130b0b 330b0000 0b0f000b ....I...3....... 2f90 0b330b00 000c1301 03080b05 01130000 .3.............. 2fa0 0d150027 0c00000e 15004913 270c0000 ...'......I.'... 2fb0 0f260049 13000010 01014913 0b050113 .&.I......I..... 2fc0 00001126 00000012 2e013a0b 3b0b0308 ...&......:.;... 2fd0 49133f0c 270c400a 2a0a1101 12010000 I.?.'.@.*....... 2fe0 1305003a 0b3b0b03 08491302 0a000014 ...:.;...I...... 2ff0 34000308 49130000 00011100 10061101 4...I........... 3000 12010308 1b082508 13050000 00011101 ......%......... 3010 03081b08 2508130b 420b1006 00000224 ....%...B......$ 3020 0003083e 0b0b0b00 00031600 03084913 ...>..........I. 3030 00000401 0149130b 0b011300 00052100 .....I........!. 3040 2f0b0000 0617010b 0b011300 00070d00 /............... 3050 03084913 380a0000 0813010b 0b011300 ..I.8........... 3060 00091301 03080b0b 01130000 0a0f0049 ...............I 3070 130b0b33 0b00000b 0f000b0b 330b0000 ...3........3... 3080 0c130103 080b0501 1300000d 1500270c ..............'. 3090 00000e15 00491327 0c00000f 26004913 .....I.'....&.I. 30a0 00001001 0149130b 05011300 00112600 .....I........&. 30b0 0000122e 013a0b3b 0b030849 133f0c27 .....:.;...I.?.' 30c0 0c400a2a 0a110112 01000013 05003a0b .@.*..........:. 30d0 3b0b0308 4913020a 00001434 00030849 ;...I......4...I 30e0 13000000 01110010 06110112 0103081b ................ 30f0 08250813 05000000 01110010 06110112 .%.............. 3100 0103081b 08250813 05000000 01110010 .....%.......... 3110 06110112 0103081b 08250813 05000000 .........%...... 3120 01110010 06110112 0103081b 08250813 .............%.. 3130 05000000 01110103 081b0825 08130b42 ...........%...B 3140 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... 3150 03160003 08491300 00040101 49130b0b .....I......I... 3160 01130000 0521002f 0b000006 17010b0b .....!./........ 3170 01130000 070d0003 08491338 0a000008 .........I.8.... 3180 13010b0b 01130000 09130103 080b0b01 ................ 3190 1300000a 0f004913 0b0b330b 00000b0f ......I...3..... 31a0 000b0b33 0b00000c 13010308 0b050113 ...3............ 31b0 00000d15 00270c00 000e1500 4913270c .....'......I.'. 31c0 00000f26 00491300 00100101 49130b05 ...&.I......I... 31d0 01130000 112e013a 0b3b0b03 0849133f .......:.;...I.? 31e0 0c270c40 0a2a0a11 01120100 00120500 .'.@.*.......... 31f0 3a0b3b0b 03084913 020a0000 13340003 :.;...I......4.. 3200 08491300 00000111 00100611 01120103 .I.............. 3210 081b0825 08130500 0000 ...%...... Contents of section .debug_line: 00000 00000083 00020000 001f0101 fb0e0a00 ................ 00010 01010101 00000001 00637274 312d7469 .........crt1-ti 00020 6e792e53 00000000 00000502 008e1000 ny.S............ 00030 03300103 01090003 01030609 00030103 .0.............. 00040 02090003 01030109 00030103 09090003 ................ 00050 01030109 00030103 01090005 01030109 ................ 00060 00030103 01090002 01030109 00020103 ................ 00070 07090003 01030a09 00030103 02090003 ................ 00080 01090003 00010100 00003e00 02000000 ..........>..... 00090 220101fb 0e0a0001 01010100 00000100 "............... 000a0 64656275 672d7665 63746f72 2e530000 debug-vector.S.. 000b0 00000000 0502008e 0c200329 01030209 ......... .).... 000c0 00030109 00030001 01000000 3f000200 ............?... 000d0 00002301 01fb0e0a 00010101 01000000 ..#............. 000e0 0100646f 75626c65 2d766563 746f722e ..double-vector. 000f0 53000000 00000005 02008e0f 20032e01 S........... ... 00100 03080900 03010900 03000101 0000003f ...............? 00110 00020000 00230101 fb0e0a00 01010101 .....#.......... 00120 00000001 006b6572 6e656c2d 76656374 .....kernel-vect 00130 6f722e53 00000000 00000502 008e0d40 or.S...........@ 00140 032a0103 08090003 01090003 00010100 .*.............. 00150 0001a600 02000000 a20101fb 0e0a0001 ................ 00160 01010100 0000012f 6361642f 74656e73 ......./cad/tens 00170 696c6963 612f746f 6f6c732f 52422d32 ilica/tools/RB-2 00180 3030372e 322f5874 44657654 6f6f6c73 007.2/XtDevTools 00190 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 001a0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 001b0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 001c0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 001d0 656e7361 00007265 7365742d 76656374 ensa..reset-vect 001e0 6f722e53 00000000 63616368 65617474 or.S....cacheatt 001f0 7261736d 2e680001 00000000 0502008e rasm.h.......... 00200 00000337 01031109 00200103 03090002 ...7..... ...... 00210 01033409 00030103 01090003 01030409 ..4............. 00220 00030104 02031c09 00030103 eb010900 ................ 00230 0301038d 7f09001f 01031709 00130103 ................ 00240 dc000900 0f01034b 09001101 040103d5 .......K........ 00250 7e09000c 01030109 00030103 01090002 ~............... 00260 01030109 00020103 01090002 01030109 ................ 00270 00020103 01090003 01030109 00030103 ................ 00280 01090002 01030109 00020103 01090002 ................ 00290 01030109 00020103 01090003 01030109 ................ 002a0 00050103 01090003 0103c400 09000301 ................ 002b0 03010900 03010301 09000301 030a0900 ................ 002c0 0301030f 09000301 03010900 02010304 ................ 002d0 09000301 03010900 03010301 09000301 ................ 002e0 03c10009 00020103 01090006 01031209 ................ 002f0 00060109 00030001 01000000 67000200 ............g... 00300 00002101 01fb0e0a 00010101 01000000 ..!............. 00310 01007573 65722d76 6563746f 722e5300 ..user-vector.S. 00320 00000000 00050200 8e0e2003 18010301 .......... ..... 00330 09000301 03010900 02010301 09000201 ................ 00340 03010900 03010302 09000301 03010900 ................ 00350 03010301 09000201 03010900 02010900 ................ 00360 03000101 00000036 00020000 00200101 .......6..... .. 00370 fb0e0a00 01010101 00000001 006e6d69 .............nmi 00380 2d766563 746f722e 53000000 00000005 -vector.S....... 00390 02008e0c e4032701 09000300 01010000 ......'......... 003a0 01910002 00000024 0101fb0e 0a000101 .......$........ 003b0 01010000 00010077 696e646f 772d7665 .......window-ve 003c0 63746f72 732e5300 00000000 00050200 ctors.S......... 003d0 8e080003 e8000103 01090003 01030109 ................ 003e0 00030103 01090003 01030209 00030103 ................ 003f0 17090034 01030109 00030103 01090003 ...4............ 00400 01030109 00030103 02090003 01031f09 ................ 00410 00340103 01090003 01030109 00030103 .4.............. 00420 01090003 01030109 00030103 01090003 ................ 00430 01030109 00030103 01090003 01030109 ................ 00440 00030103 02090003 01031f09 00250103 .............%.. 00450 01090003 01030109 00030103 01090003 ................ 00460 01030109 00030103 01090003 01030109 ................ 00470 00030103 01090003 01030109 00030103 ................ 00480 02090003 01032309 00250103 01090003 ......#..%...... 00490 01030109 00030103 01090003 01030109 ................ 004a0 00030103 01090003 01030109 00030103 ................ 004b0 01090003 01030109 00030103 01090003 ................ 004c0 01030109 00030103 01090003 01030109 ................ 004d0 00030103 02090003 01032309 00190103 ..........#..... 004e0 01090003 01030109 00030103 01090003 ................ 004f0 01030109 00030103 01090003 01030109 ................ 00500 00030103 01090003 01030109 00030103 ................ 00510 01090003 01030109 00030103 01090003 ................ 00520 01030109 00030103 02090003 01090003 ................ 00530 00010100 00003c00 02000000 200101fb ......<..... ... 00540 0e0a0001 01010100 00000100 696e742d ............int- 00550 76656374 6f722e53 00000000 00000502 vector.S........ 00560 008e0a20 03240103 01090003 01090003 ... .$.......... 00570 00010100 00003c00 02000000 200101fb ......<..... ... 00580 0e0a0001 01010100 00000100 696e742d ............int- 00590 76656374 6f722e53 00000000 00000502 vector.S........ 005a0 008e0b20 03240103 01090003 01090003 ... .$.......... 005b0 00010100 0001e300 02000000 280101fb ............(... 005c0 0e0a0001 01010100 00000100 6578632d ............exc- 005d0 616c6c6f 63612d68 616e646c 65722e53 alloca-handler.S 005e0 00000000 00000502 008e102c 03e40001 ...........,.... 005f0 03010900 03010301 09000201 03070900 ................ 00600 02010301 09000301 03010900 03010301 ................ 00610 09000301 03010900 03010301 09000301 ................ 00620 03010900 03010302 09000301 03080900 ................ 00630 03010301 09000201 03010900 03010301 ................ 00640 09000301 03010900 02010303 09000301 ................ 00650 03010900 03010301 09000301 03060900 ................ 00660 03010303 09000301 03010900 03010301 ................ 00670 09000201 03030900 0301030d 09000301 ................ 00680 03030900 05010301 09000401 03010900 ................ 00690 04010301 09000401 03010900 04010301 ................ 006a0 09000401 03010900 04010301 09000401 ................ 006b0 03010900 04010301 09000401 03010900 ................ 006c0 04010301 09000401 03010900 04010301 ................ 006d0 09000401 03010900 04010301 09000401 ................ 006e0 03070900 02010301 09000301 03050900 ................ 006f0 02010302 09000301 03050900 03010305 ................ 00700 09000301 03010900 03010301 09000301 ................ 00710 03030900 03010301 09000301 03010900 ................ 00720 03010301 09000301 03010900 02010301 ................ 00730 09000201 03010900 03010301 09000301 ................ 00740 03010900 02010305 09000201 03010900 ................ 00750 03010301 09000301 03010900 03010301 ................ 00760 09000301 03010900 03010301 09000301 ................ 00770 03010900 03010310 09000301 03010900 ................ 00780 02010301 09000201 03010900 02010301 ................ 00790 09000201 09000300 01010000 01360002 .............6.. 007a0 00000029 0101fb0e 0a000101 01010000 ...)............ 007b0 00010065 78632d73 79736361 6c6c2d68 ...exc-syscall-h 007c0 616e646c 65722e53 00000000 00000502 andler.S........ 007d0 008e1104 03c90001 03060900 03010301 ................ 007e0 09000301 03010900 02010301 09000301 ................ 007f0 03010900 03010301 09000201 03010900 ................ 00800 02010301 09000301 03010900 03010306 ................ 00810 09000201 03010900 03010304 09000201 ................ 00820 03070900 03010301 09000301 03010900 ................ 00830 03010302 09000301 03010900 03010301 ................ 00840 09000301 03020900 03010301 09000301 ................ 00850 03010900 03010307 09000301 03060900 ................ 00860 02010302 09000301 03010900 03010301 ................ 00870 09000301 03010900 02010303 09000201 ................ 00880 03010900 03010301 09000201 03010900 ................ 00890 02010301 09000301 03010900 03010301 ................ 008a0 09000201 03010900 02010306 09000301 ................ 008b0 03020900 0301030b 09000201 03050900 ................ 008c0 02010301 09000201 03010900 03010900 ................ 008d0 03000101 00000100 00020000 002b0101 .............+.. 008e0 fb0e0a00 01010101 00000001 00696e74 .............int 008f0 2d6c6f77 7072692d 64697370 61746368 -lowpri-dispatch 00900 65722e53 00000000 00000502 008e1174 er.S...........t 00910 03c40001 03100900 02010302 09000301 ................ 00920 03010900 0301030a 09000301 03010900 ................ 00930 020103c7 00090002 01030209 00030103 ................ 00940 02090003 0103d300 09000301 03010900 ................ 00950 03010308 09000301 03310900 0301031e .........1...... 00960 09000301 03030900 02010302 09000301 ................ 00970 03cc0109 00030103 0209000f 01030509 ................ 00980 00030103 01090002 01030809 00020103 ................ 00990 01090002 01030109 00020103 04090003 ................ 009a0 01030209 000f0103 ea000900 02010308 ................ 009b0 09000301 03010900 03010301 09000301 ................ 009c0 03010900 03010303 09000301 03030900 ................ 009d0 03010900 02000101 000002d4 00020000 ................ 009e0 02880101 fb0e0a00 01010101 00000001 ................ 009f0 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj 00a00 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce 00a10 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project 00a20 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ 00a30 78746f73 002f6361 642f7465 6e73696c xtos./cad/tensil 00a40 6963612f 746f6f6c 732f5242 2d323030 ica/tools/RB-200 00a50 372e322f 58744465 76546f6f 6c732f69 7.2/XtDevTools/i 00a60 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 00a70 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 00a80 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 00a90 656c662f 696e636c 7564652f 7874656e elf/include/xten 00aa0 73612f63 6f6e6669 67002f63 61642f74 sa/config./cad/t 00ab0 656e7369 6c696361 2f746f6f 6c732f52 ensilica/tools/R 00ac0 422d3230 30372e32 2f587444 6576546f B-2007.2/XtDevTo 00ad0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool 00ae0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 00af0 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt 00b00 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include 00b10 2f787465 6e736100 2f747265 65732f62 /xtensa./trees/b 00b20 79616e67 2f4d6167 7069655f 50302f63 yang/Magpie_P0/c 00b30 61642f61 7468722f 69702f74 656e7369 ad/athr/ip/tensi 00b40 6c696361 2f6d6167 7069655f 76315f30 lica/magpie_v1_0 00b50 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ 00b60 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 00b70 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa 00b80 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ 00b90 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 00ba0 00696e74 2d736574 68616e64 6c65722e .int-sethandler. 00bb0 63000100 00636f72 652e6800 02000068 c....core.h....h 00bc0 616c2e68 00030000 636f7265 2d697361 al.h....core-isa 00bd0 2e680004 0000636f 72652d6d 61746d61 .h....core-matma 00be0 702e6800 04000074 69652e68 00040000 p.h....tie.h.... 00bf0 73706563 7265672e 68000400 00636f72 specreg.h....cor 00c00 65626974 732e6800 03000078 746f732d ebits.h....xtos- 00c10 696e7465 726e616c 2e680001 00007874 internal.h....xt 00c20 72756e74 696d652e 68000300 00787472 runtime.h....xtr 00c30 756e7469 6d652d66 72616d65 732e6800 untime-frames.h. 00c40 03000078 74656e73 612d7665 7273696f ...xtensa-versio 00c50 6e732e68 00030000 78746f73 2d706172 ns.h....xtos-par 00c60 616d732e 68000100 00000005 02008e11 ams.h........... 00c70 e4031e01 03050900 03010302 09000501 ................ 00c80 03030900 0e010308 09000e01 03000900 ................ 00c90 10010300 09001001 03000900 04010308 ................ 00ca0 09000401 03010900 03010900 0d000101 ................ 00cb0 0000016d 00020000 002b0101 fb0e0a00 ...m.....+...... 00cc0 01010101 00000001 00696e74 2d6d6564 .........int-med 00cd0 7072692d 64697370 61746368 65722e53 pri-dispatcher.S 00ce0 00000000 00000502 008e1240 03340103 ...........@.4.. 00cf0 04090003 01030109 00030103 04090002 ................ 00d00 01030209 00030103 01090002 01030109 ................ 00d10 00020103 01090003 01031109 00030103 ................ 00d20 01090002 01030109 00030103 07090003 ................ 00d30 01032409 00030103 01090003 01030109 ..$............. 00d40 00030103 01090003 01030109 00030103 ................ 00d50 01090003 01030109 00030103 01090003 ................ 00d60 01030109 00020103 05090011 01030109 ................ 00d70 00030103 01090003 01030109 00030103 ................ 00d80 01090003 01030109 00080103 01090006 ................ 00d90 01030109 00030103 06090002 01030109 ................ 00da0 00030103 01090003 01030209 00030103 ................ 00db0 01090003 01030109 00030103 01090003 ................ 00dc0 01030109 00030103 01090003 01030609 ................ 00dd0 00030103 0109000f 01031909 00030103 ................ 00de0 01090003 01030109 00030103 01090003 ................ 00df0 01030109 00030103 06090003 01030209 ................ 00e00 00030103 02090002 01030109 00020103 ................ 00e10 01090002 01030109 00020109 00030001 ................ 00e20 01000001 67000200 00002b01 01fb0e0a ....g.....+..... 00e30 00010101 01000000 0100696e 742d6d65 ..........int-me 00e40 64707269 2d646973 70617463 6865722e dpri-dispatcher. 00e50 53000000 00000005 02008e12 f4033401 S.............4. 00e60 03040900 03010301 09000301 03040900 ................ 00e70 02010302 09000301 03010900 02010301 ................ 00e80 09000201 03010900 03010311 09000301 ................ 00e90 03010900 02010301 09000301 03070900 ................ 00ea0 03010324 09000301 03010900 03010301 ...$............ 00eb0 09000301 03010900 03010301 09000301 ................ 00ec0 03010900 03010301 09000301 03010900 ................ 00ed0 03010301 09000201 03050900 11010301 ................ 00ee0 09000301 03010900 03010301 09000301 ................ 00ef0 03010900 03010301 09000801 03010900 ................ 00f00 06010301 09000301 03060900 02010301 ................ 00f10 09000301 03010900 03010302 09000301 ................ 00f20 03010900 03010301 09000301 03010900 ................ 00f30 03010301 09000301 03010900 03010306 ................ 00f40 09000301 03010900 0f010319 09000301 ................ 00f50 03010900 03010301 09000301 03010900 ................ 00f60 03010301 09000301 03080900 03010302 ................ 00f70 09000201 03010900 02010301 09000201 ................ 00f80 03010900 02010900 03000101 00000048 ...............H 00f90 00020000 00250101 fb0e0a00 01010101 .....%.......... 00fa0 00000001 00696e74 65727275 70742d74 .....interrupt-t 00fb0 61626c65 2e530000 00000000 0502008e able.S.......... 00fc0 692003e5 00010306 09000301 03070900 i .............. 00fd0 03010900 02000101 00000051 00020000 ...........Q.... 00fe0 00230101 fb0e0a00 01010101 00000001 .#.............. 00ff0 00657863 2d756e68 616e646c 65642e53 .exc-unhandled.S 01000 00000000 00000502 008e6928 03300103 ..........i(.0.. 01010 01090002 01030209 00020103 02090003 ................ 01020 01030709 00030109 00030001 01000000 ................ 01030 6c000200 00002001 01fb0e0a 00010101 l..... ......... 01040 01000000 01006578 632d7265 7475726e ......exc-return 01050 2e530000 00000000 0502008e 6938033c .S..........i8.< 01060 01030109 00020103 01090002 01030909 ................ 01070 00030103 01090002 01030109 00020103 ................ 01080 0e090003 01030809 00030103 01090002 ................ 01090 01030209 00020109 00030001 01000015 ................ 010a0 5e000200 000da401 01fb0e0a 00010101 ^............... 010b0 01000000 012f726f 6f742f57 6f726b73 ...../root/Works 010c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 010d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 010e0 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 010f0 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 01100 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 01110 6f6d2f61 74686f73 2f737263 002f726f om/athos/src./ro 01120 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 01130 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 01140 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 01150 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 01160 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 01170 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 01180 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ 01190 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 011a0 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 011b0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 011c0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 011d0 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 011e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 011f0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 01200 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 01210 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o 01220 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 01230 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 01240 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 01250 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 01260 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 01270 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 01280 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 01290 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 012a0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 012b0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 012c0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 012d0 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 012e0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 012f0 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 01300 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 01310 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 01320 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 01330 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 01340 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 01350 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 01360 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 01370 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 01380 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 01390 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 013a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 013b0 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 013c0 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 013d0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 013e0 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 013f0 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 01400 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 01410 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 01420 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 01430 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 01440 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 01450 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 01460 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 01470 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 01480 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 01490 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 014a0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 014b0 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 014c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 014d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 014e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 014f0 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 01500 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 01510 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 01520 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 01530 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 01540 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 01550 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 01560 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 01570 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 01580 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 01590 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 015a0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 015b0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 015c0 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 015d0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 015e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 015f0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 01600 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 01610 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 01620 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 01630 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 01640 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 01650 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 01660 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 01670 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 01680 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 01690 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 016a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 016b0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 016c0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 016d0 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 016e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 016f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 01700 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 01710 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 01720 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 01730 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 01740 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 01750 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 01760 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 01770 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 01780 73706163 652f3034 31325f76 3230322f space/0412_v202/ 01790 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 017a0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 017b0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 017c0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 017d0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 017e0 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 017f0 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 01800 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 01810 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 01820 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 01830 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 01840 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 01850 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 01860 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 01870 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 01880 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 01890 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne 018a0 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac 018b0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 018c0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 018d0 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in 018e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 018f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 01900 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 01910 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro 01920 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 01930 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl 01940 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 01950 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 01960 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 01970 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ 01980 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 01990 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 019a0 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc 019b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 019c0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 019d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 019e0 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i 019f0 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X 01a00 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 01a10 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 01a20 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 01a30 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 01a40 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 01a50 612f7469 65002f72 6f6f742f 576f726b a/tie./root/Work 01a60 73706163 652f3034 31325f76 3230322f space/0412_v202/ 01a70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 01a80 5f315f31 2f726f6d 2f667764 00006174 _1_1/rom/fwd..at 01a90 686f735f 6d61696e 2e630001 00007379 hos_main.c....sy 01aa0 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c 01ab0 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m 01ac0 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap 01ad0 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. 01ae0 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... 01af0 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn 01b00 6f735f61 70692e68 00060000 636f7265 os_api.h....core 01b10 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c 01b20 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor 01b30 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti 01b40 652e6800 09000078 7472756e 74696d65 e.h....xtruntime 01b50 2e680008 00007370 65637265 672e6800 .h....specreg.h. 01b60 09000063 6f726562 6974732e 68000800 ...corebits.h... 01b70 00707269 6e74665f 6170692e 68000a00 .printf_api.h... 01b80 00756172 745f6170 692e6800 0b000072 .uart_api.h....r 01b90 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg 01ba0 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap 01bb0 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. 01bc0 68000e00 00737472 696e675f 6170692e h....string_api. 01bd0 68000f00 0074696d 65725f61 70692e68 h....timer_api.h 01be0 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. 01bf0 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h 01c00 00120000 7461736b 6c65745f 6170692e ....tasklet_api. 01c10 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h 01c20 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. 01c30 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e 01c40 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u 01c50 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ 01c60 7063692e 68001800 00686966 5f617069 pci.h....hif_api 01c70 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h 01c80 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. 01c90 68001a00 00616466 5f6f735f 7574696c h....adf_os_util 01ca0 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os 01cb0 5f747970 65732e68 001a0000 6164665f _types.h....adf_ 01cc0 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... 01cd0 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv 01ce0 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. 01cf0 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... 01d00 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... 01d10 73746461 72672e68 00040000 76612d78 stdarg.h....va-x 01d20 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o 01d30 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o 01d40 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a 01d50 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. 01d60 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h 01d70 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... 01d80 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M 01d90 61677069 655f6170 692e6800 02000075 agpie_api.h....u 01da0 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... 01db0 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc 01dc0 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a 01dd0 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ 01de0 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." 01df0 00006174 68646566 732e6800 22000064 ..athdefs.h."..d 01e00 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. 01e10 25000072 65676475 6d702e68 00020000 %..regdump.h.... 01e20 6d616770 69655f72 65676475 6d702e68 magpie_regdump.h 01e30 00020000 78745f63 6f72652e 68002600 ....xt_core.h.&. 01e40 00667764 2e680027 00000000 0502008e .fwd.h.'........ 01e50 13a403f6 00010302 09000301 031b0900 ................ 01e60 0a010311 09001001 03010900 0b010301 ................ 01e70 09000601 03030900 03010301 09000901 ................ 01e80 03020900 0f010367 09000501 03200900 .......g..... .. 01e90 03010308 09000301 03030900 03010301 ................ 01ea0 09000b01 03010900 05010305 09000601 ................ 01eb0 037d0900 0201037e 09000501 03010900 .}.....~........ 01ec0 02010304 09000201 037c0900 03010301 .........|...... 01ed0 09000201 03030900 02010304 09000301 ................ 01ee0 03070900 02010301 09000301 03040900 ................ 01ef0 03010305 09000201 037b0900 05010305 .........{...... 01f00 09000301 03080900 08010301 09000901 ................ 01f10 037b0900 02010310 09000901 03080900 .{.............. 01f20 03010302 09000301 03050900 06010303 ................ 01f30 09000301 03010900 03010304 09000201 ................ 01f40 03000900 03010302 09000201 03020900 ................ 01f50 03010306 09000401 03000900 03010305 ................ 01f60 09000301 03050900 09010301 09000b01 ................ 01f70 03010900 05010302 09000401 03030900 ................ 01f80 02010308 09000301 03010900 0c010301 ................ 01f90 09000801 03010900 06010301 09000601 ................ 01fa0 03050900 0801037f 09000301 03040900 ................ 01fb0 02010302 09000701 03040900 04010304 ................ 01fc0 09000301 03010900 0b010301 09000501 ................ 01fd0 03060900 0601037c 09000201 037e0900 .......|.....~.. 01fe0 05010301 09000201 03050900 0201037b ...............{ 01ff0 09000301 03010900 02010304 09000201 ................ 02000 03010900 03010300 09000301 03000900 ................ 02010 03010300 09000301 03050900 2a010308 ............*... 02020 09000701 03030900 060103e6 00090006 ................ 02030 01030009 00030103 03090003 01031209 ................ 02040 00070103 77090009 01030a09 00050103 ....w........... 02050 71090002 01030f09 00050103 7c090002 q...........|... 02060 01030409 00050103 04090003 01030909 ................ 02070 00030103 01090011 01030109 00030103 ................ 02080 09090005 01030109 00040103 01090005 ................ 02090 01037d09 00020103 0c09001b 01030609 ..}............. 020a0 00020103 01090002 01030109 00020103 ................ 020b0 01090002 01030209 00030103 7f090002 ................ 020c0 01030509 00020103 0109000b 01030109 ................ 020d0 00070103 01090007 01030109 00070103 ................ 020e0 01090007 01030109 00070103 01090007 ................ 020f0 01030109 00070103 0e090003 01030009 ................ 02100 00030103 01090003 01037f09 00030103 ................ 02110 01090002 01030109 00030103 05090002 ................ 02120 01030309 00030103 7d090005 01030309 ........}....... 02130 00030103 0209000f 01037e09 00050103 ..........~..... 02140 02090003 01030109 00020103 01090007 ................ 02150 01037e09 00020103 04090003 01030109 ..~............. 02160 00140103 7f090003 01030109 00030103 ................ 02170 03090003 01030109 00140103 02090003 ................ 02180 0103ec02 09000401 03000900 03010304 ................ 02190 09000301 03030900 09010301 09000601 ................ 021a0 03060900 09010302 09000c01 03020900 ................ 021b0 06010304 09000401 03000900 03010305 ................ 021c0 09000301 03080900 0c01037d 09000201 ...........}.... 021d0 03030900 0301037d 09000501 03030900 .......}........ 021e0 0c010301 09000301 03020900 09010303 ................ 021f0 09000401 03010900 0301037f 09000701 ................ 02200 03030900 03010301 09001701 03020900 ................ 02210 10010302 09000501 03010900 17010304 ................ 02220 09001201 03010900 0b010301 09000b01 ................ 02230 03010900 0b010301 09000b01 03010900 ................ 02240 0b010302 09000801 03040900 03010300 ................ 02250 09000301 03050900 0b010301 09000601 ................ 02260 03030900 09010301 09000901 03020900 ................ 02270 09010302 09000601 03010900 06010303 ................ 02280 09000601 03020900 14010301 09000901 ................ 02290 03020900 03010303 09001101 03010900 ................ 022a0 08010301 09000d01 03010900 10010302 ................ 022b0 09000c01 03050900 0b010301 09000901 ................ 022c0 03060900 0901037c 09000501 03020900 .......|........ 022d0 03010302 09000801 03050900 04010300 ................ 022e0 09000301 03010900 06010301 09001a01 ................ 022f0 03010900 0301037f 09000301 03010900 ................ 02300 06010307 09000301 037a0900 0601030e .........z...... 02310 09000401 03000900 03010301 09000901 ................ 02320 03010900 0301037f 09000301 03010900 ................ 02330 06010302 09000301 03010900 0801037e ...............~ 02340 09000601 030f0900 03010300 09000301 ................ 02350 03070900 0601037d 09000301 03030900 .......}........ 02360 05010303 09000f01 03010900 11010304 ................ 02370 09000301 03080900 03010302 09000301 ................ 02380 03010900 1d010302 09000601 03020900 ................ 02390 03010302 09000601 03030900 06010324 ...............$ 023a0 09000801 031a0900 11010366 09000201 ...........f.... 023b0 031a0900 0301030f 09000301 03710900 .............q.. 023c0 0601030f 09000201 03010900 0f010306 ................ 023d0 09000601 03020900 0f010305 09001401 ................ 023e0 03080900 15010319 09001101 03010900 ................ 023f0 1101037f 09000601 03010900 03010301 ................ 02400 09000301 030b0900 03010301 09001101 ................ 02410 037f0900 06010301 09000301 03020900 ................ 02420 03010303 09000a01 03020900 1101037e ...............~ 02430 09000601 03020900 0301030b 09000801 ................ 02440 03060900 09010304 09000301 03030900 ................ 02450 09010301 09000b01 03690900 0901031b .........i...... 02460 09001201 03010900 03010302 09001101 ................ 02470 037e0900 06010302 09000301 03040900 .~.............. 02480 03010305 09001401 03050900 09010303 ................ 02490 09000601 03050900 06010304 09000901 ................ 024a0 03090900 03010301 09001101 037f0900 ................ 024b0 02010301 09000301 03020900 05010302 ................ 024c0 09000b01 03020900 02010304 09001601 ................ 024d0 03030900 06010303 09000301 03030900 ................ 024e0 03010302 09000601 03010900 140103c1 ................ 024f0 00090003 01034209 00020103 1209000c ......B......... 02500 01030209 00030103 0109000c 01030109 ................ 02510 00090103 02090009 01030609 00090103 ................ 02520 01090009 01030109 00030103 03090005 ................ 02530 01030909 00080103 02090005 01031009 ................ 02540 00030103 75090002 01030209 00030103 ....u........... 02550 01090011 01037f09 00060103 01090003 ................ 02560 01030309 00060103 01090008 01030209 ................ 02570 00060103 46090003 01030109 000c0103 ....F........... 02580 01090009 01030209 00090103 02090009 ................ 02590 01030209 00030103 02090003 01030109 ................ 025a0 00060103 31090003 0103f27e 09000201 ....1......~.... 025b0 03010900 03010301 09001101 037f0900 ................ 025c0 06010301 09000301 03770900 06010302 .........w...... 025d0 09000301 039a0109 000c0103 00090003 ................ 025e0 01030309 00030103 0109000b 01030309 ................ 025f0 00060103 04090003 01090004 00010100 ................ 02600 000d9200 0200000c e00101fb 0e0a0001 ................ 02610 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor 02620 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 02630 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 02640 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp 02650 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// 02660 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 02670 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 02680 72616d2f 73726300 2f726f6f 742f576f ram/src./root/Wo 02690 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 026a0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 026b0 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root 026c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 026d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 026e0 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma 026f0 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works 02700 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 02710 67742f74 61726765 742f696e 63002f72 gt/target/inc./r 02720 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 02730 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 02740 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo 02750 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 02760 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 02770 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 02780 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt 02790 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 027a0 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 027b0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 027c0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 027d0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 027e0 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ 027f0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 02800 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 02810 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 02820 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 02830 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 02840 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens 02850 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 02860 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 02870 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp 02880 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf 02890 2f617263 682f696e 636c7564 652f7874 /arch/include/xt 028a0 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo 028b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 028c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 028d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 028e0 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. 028f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 02900 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 02910 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 02920 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in 02930 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 02940 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 02950 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg 02960 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 02970 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 02980 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 02990 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me 029a0 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work 029b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 029c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 029d0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 029e0 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo 029f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 02a00 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 02a10 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 02a20 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo 02a30 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 02a40 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 02a50 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 02a60 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ 02a70 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 02a80 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 02a90 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 02aa0 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch 02ab0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 02ac0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 02ad0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 02ae0 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al 02af0 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root 02b00 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 02b10 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 02b20 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 02b30 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. 02b40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 02b50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 02b60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 02b70 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i 02b80 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 02b90 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 02ba0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 02bb0 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr 02bc0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 02bd0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 02be0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 02bf0 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd 02c00 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 02c10 73706163 652f3034 31325f76 3230322f space/0412_v202/ 02c20 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 02c30 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 02c40 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ 02c50 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 02c60 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 02c70 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 02c80 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 02c90 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 02ca0 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 02cb0 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp 02cc0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 02cd0 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os 02ce0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 02cf0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 02d00 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc 02d10 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 02d20 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 02d30 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 02d40 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 02d50 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in 02d60 636c7564 65002f72 6f6f742f 576f726b clude./root/Work 02d70 73706163 652f3034 31325f76 3230322f space/0412_v202/ 02d80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 02d90 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in 02da0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 02db0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 02dc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 02dd0 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ 02de0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 02df0 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 02e00 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro 02e10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 02e20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 02e30 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 02e40 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro 02e50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 02e60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 02e70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 02e80 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo 02e90 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 02ea0 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ 02eb0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 02ec0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 02ed0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 02ee0 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ 02ef0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 02f00 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 02f10 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo 02f20 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 02f30 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 02f40 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d 02f50 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c 02f60 6d6e6f73 5f616c6c 6f637261 6d2e6300 mnos_allocram.c. 02f70 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 02f80 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag 02f90 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath 02fa0 6f735f61 70692e68 00020000 6f736170 os_api.h....osap 02fb0 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. 02fc0 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. 02fd0 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... 02fe0 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h 02ff0 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. 03000 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. 03010 09000074 69652e68 00090000 78747275 ...tie.h....xtru 03020 6e74696d 652e6800 08000073 70656372 ntime.h....specr 03030 65672e68 00090000 636f7265 62697473 eg.h....corebits 03040 2e680008 00007072 696e7466 5f617069 .h....printf_api 03050 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h 03060 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. 03070 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m 03080 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc 03090 5f617069 2e68000e 00007374 72696e67 _api.h....string 030a0 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ 030b0 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap 030c0 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ 030d0 6170692e 68001200 00746173 6b6c6574 api.h....tasklet 030e0 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ 030f0 6170692e 68001400 00696e74 725f6170 api.h....intr_ap 03100 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h 03110 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h 03120 00170000 7573625f 6170692e 68001800 ....usb_api.h... 03130 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi 03140 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n 03150 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ 03160 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os 03170 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a 03180 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... 03190 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes 031a0 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ 031b0 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd 031c0 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api 031d0 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. 031e0 68001e00 00737464 6172672e 68000400 h....stdarg.h... 031f0 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... 03200 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... 03210 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h 03220 001b0000 6164665f 6e65745f 74797065 ....adf_net_type 03230 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ 03240 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib 03250 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h 03260 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h 03270 00020000 75736266 69666f5f 6170692e ....usbfifo_api. 03280 68001800 00687463 5f617069 2e680021 h....htc_api.h.! 03290 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p 032a0 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi 032b0 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm 032c0 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h 032d0 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a 032e0 70692e68 00250000 00000502 008e1c34 pi.h.%.........4 032f0 031a0103 00090003 01031e09 00050103 ................ 03300 7e090002 01030909 00020103 0a090004 ~............... 03310 01030009 00030103 04090003 01037d09 ..............}. 03320 00070103 03090002 01030209 00060103 ................ 03330 7f090003 01030109 00050103 07090002 ................ 03340 01037b09 00050103 02090009 01030809 ..{............. 03350 00030103 00090003 01030109 00060103 ................ 03360 0109000b 01030109 000b0103 04090005 ................ 03370 01030009 00030103 03090009 01037f09 ................ 03380 00020103 7f090002 01030309 00020109 ................ 03390 00020001 0100000e 17000200 000cda01 ................ 033a0 01fb0e0a 00010101 01000000 012f726f ............./ro 033b0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 033c0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 033d0 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 033e0 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 033f0 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 03400 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 03410 2f636c6f 636b2f73 7263002f 726f6f74 /clock/src./root 03420 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 03430 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 03440 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r 03450 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 03460 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 03470 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 03480 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo 03490 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 034a0 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 034b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 034c0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 034d0 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ 034e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 034f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 03500 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 03510 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt 03520 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 03530 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 03540 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 03550 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 03560 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 03570 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o 03580 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 03590 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 035a0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 035b0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 035c0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 035d0 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt 035e0 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 035f0 696e7374 616c6c2f 6275696c 64732f52 install/builds/R 03600 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M 03610 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- 03620 656c662f 61726368 2f696e63 6c756465 elf/arch/include 03630 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ 03640 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 03650 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 03660 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 03670 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i 03680 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 03690 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 036a0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 036b0 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart 036c0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 036d0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 036e0 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ 036f0 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo 03700 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 03710 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 03720 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 03730 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W 03740 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 03750 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 03760 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 03770 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root 03780 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 03790 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 037a0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 037b0 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ 037c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 037d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 037e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 037f0 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in 03800 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 03810 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 03820 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 03830 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa 03840 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo 03850 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 03860 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 03870 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 03880 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r 03890 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 038a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 038b0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 038c0 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i 038d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 038e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 038f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 03900 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc 03910 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work 03920 73706163 652f3034 31325f76 3230322f space/0412_v202/ 03930 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 03940 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i 03950 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo 03960 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 03970 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 03980 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 03990 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W 039a0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 039b0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 039c0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 039d0 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro 039e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 039f0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 03a00 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 03a10 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo 03a20 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 03a30 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 03a40 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor 03a50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 03a60 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 03a70 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp 03a80 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 03a90 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ 03aa0 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ 03ab0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 03ac0 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 03ad0 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 03ae0 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib 03af0 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 03b00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 03b10 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 03b20 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf 03b30 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 03b40 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 03b50 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 03b60 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in 03b70 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 03b80 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 03b90 6164662f 696e636c 7564652f 6e657400 adf/include/net. 03ba0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 03bb0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 03bc0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 03bd0 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. 03be0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 03bf0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 03c00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 03c10 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root 03c20 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 03c30 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ 03c40 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac 03c50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 03c60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 03c70 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in 03c80 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 03c90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 03ca0 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ 03cb0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 03cc0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 03cd0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 03ce0 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc 03cf0 0000636d 6e6f735f 636c6f63 6b2e6300 ..cmnos_clock.c. 03d00 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 03d10 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag 03d20 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath 03d30 6f735f61 70692e68 00020000 6f736170 os_api.h....osap 03d40 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. 03d50 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. 03d60 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... 03d70 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h 03d80 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. 03d90 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. 03da0 09000074 69652e68 00090000 78747275 ...tie.h....xtru 03db0 6e74696d 652e6800 08000073 70656372 ntime.h....specr 03dc0 65672e68 00090000 636f7265 62697473 eg.h....corebits 03dd0 2e680008 00007072 696e7466 5f617069 .h....printf_api 03de0 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h 03df0 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. 03e00 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m 03e10 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc 03e20 5f617069 2e68000e 00007374 72696e67 _api.h....string 03e30 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ 03e40 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap 03e50 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ 03e60 6170692e 68001200 00746173 6b6c6574 api.h....tasklet 03e70 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ 03e80 6170692e 68001400 00696e74 725f6170 api.h....intr_ap 03e90 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h 03ea0 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h 03eb0 00170000 7573625f 6170692e 68001800 ....usb_api.h... 03ec0 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi 03ed0 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n 03ee0 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ 03ef0 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os 03f00 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a 03f10 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... 03f20 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes 03f30 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ 03f40 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd 03f50 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api 03f60 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. 03f70 68001e00 00737464 6172672e 68000400 h....stdarg.h... 03f80 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... 03f90 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... 03fa0 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h 03fb0 001b0000 6164665f 6e65745f 74797065 ....adf_net_type 03fc0 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ 03fd0 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib 03fe0 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h 03ff0 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h 04000 00020000 75736266 69666f5f 6170692e ....usbfifo_api. 04010 68001800 00687463 5f617069 2e680021 h....htc_api.h.! 04020 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p 04030 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi 04040 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm 04050 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h 04060 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a 04070 70692e68 00250000 00000502 008e1cac pi.h.%.......... 04080 03ec0001 03000900 03010304 09000301 ................ 04090 03010900 07010303 09000801 03030900 ................ 040a0 09010309 09000201 030e0900 03010302 ................ 040b0 09000601 03090900 07010300 09000301 ................ 040c0 03010900 03010300 09000201 03060900 ................ 040d0 0401030b 09000301 03090900 05010302 ................ 040e0 09000301 03050900 05010303 09000301 ................ 040f0 030a0900 05010303 09000301 03040900 ................ 04100 05010303 09000301 03040900 05010307 ................ 04110 09000301 03060900 12010304 09000201 ................ 04120 037c0900 02010304 09000a01 03760900 .|...........v.. 04130 0301030c 09000901 03040900 02010306 ................ 04140 09000301 03090900 03010310 09001601 ................ 04150 037d0900 02010303 09000501 03020900 .}.............. 04160 04010306 09000401 03000900 0301030a ................ 04170 09001b01 037e0900 0201037f 09000201 .....~.......... 04180 037f0900 0201037f 09000201 037f0900 ................ 04190 0201037f 09000201 037f0900 0201037f ................ 041a0 09000201 030a0900 02010900 02000101 ................ 041b0 00001028 00020000 0cdc0101 fb0e0a00 ...(............ 041c0 01010101 00000001 2f726f6f 742f576f ......../root/Wo 041d0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 041e0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 041f0 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag 04200 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ 04210 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 04220 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 04230 6f6d2f73 7263002f 726f6f74 2f576f72 om/src./root/Wor 04240 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 04250 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 04260 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ 04270 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 04280 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 04290 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 042a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 042b0 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 042c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 042d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 042e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 042f0 726f6d2f 636d6e6f 732f696e 63002f72 rom/cmnos/inc./r 04300 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 04310 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 04320 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 04330 2f6d6167 70696500 2f6f7074 2f787465 /magpie./opt/xte 04340 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 04350 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 04360 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 04370 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 04380 656c662f 696e636c 7564652f 7874656e elf/include/xten 04390 73612f63 6f6e6669 67002f6f 70742f78 sa/config./opt/x 043a0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 043b0 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 043c0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 043d0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 043e0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 043f0 656e7361 002f6f70 742f7874 656e7361 ensa./opt/xtensa 04400 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst 04410 616c6c2f 6275696c 64732f52 422d3230 all/builds/RB-20 04420 30372e32 2d6c696e 75782f4d 61677069 07.2-linux/Magpi 04430 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ 04440 61726368 2f696e63 6c756465 2f787465 arch/include/xte 04450 6e73612f 636f6e66 6967002f 726f6f74 nsa/config./root 04460 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 04470 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 04480 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 04490 6e6f732f 7072696e 74662f69 6e63002f nos/printf/inc./ 044a0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 044b0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 044c0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 044d0 6d2f636d 6e6f732f 75617274 2f696e63 m/cmnos/uart/inc 044e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 044f0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 04500 61726765 742f636d 6e6f732f 6462672f arget/cmnos/dbg/ 04510 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 04520 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 04530 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 04540 5f312f72 6f6d2f63 6d6e6f73 2f6d656d _1/rom/cmnos/mem 04550 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 04560 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 04570 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 04580 315f312f 726f6d2f 636d6e6f 732f6d69 1_1/rom/cmnos/mi 04590 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor 045a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 045b0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 045c0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 045d0 73747269 6e672f69 6e63002f 726f6f74 string/inc./root 045e0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 045f0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 04600 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 04610 6e6f732f 74696d65 722f696e 63002f72 nos/timer/inc./r 04620 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 04630 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 04640 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 04650 2f636d6e 6f732f72 6f6d7061 7463682f /cmnos/rompatch/ 04660 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 04670 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 04680 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 04690 5f312f72 6f6d2f63 6d6e6f73 2f616c6c _1/rom/cmnos/all 046a0 6f637261 6d2f696e 63002f72 6f6f742f ocram/inc./root/ 046b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 046c0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 046d0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 046e0 6f732f74 61736b6c 65742f69 6e63002f os/tasklet/inc./ 046f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 04700 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 04710 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 04720 6d2f636d 6e6f732f 636c6f63 6b2f696e m/cmnos/clock/in 04730 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 04740 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 04750 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 04760 2f726f6d 2f636d6e 6f732f69 6e74722f /rom/cmnos/intr/ 04770 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 04780 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 04790 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 047a0 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt 047b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 047c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 047d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 047e0 315f312f 726f6d2f 636d6e6f 732f6565 1_1/rom/cmnos/ee 047f0 70726f6d 2f696e63 002f726f 6f742f57 prom/inc./root/W 04800 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 04810 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 04820 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ 04830 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 04840 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 04850 742f6164 662f696e 636c7564 652f6e62 t/adf/include/nb 04860 7566002f 726f6f74 2f576f72 6b737061 uf./root/Workspa 04870 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 04880 2f616466 2f696e63 6c756465 2f6f7300 /adf/include/os. 04890 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 048a0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 048b0 72676574 2f616466 2f6f732f 696e6300 rget/adf/os/inc. 048c0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 048d0 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 048e0 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 048f0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 04900 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc 04910 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works 04920 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 04930 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 04940 315f312f 726f6d2f 76627566 2f696e63 1_1/rom/vbuf/inc 04950 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 04960 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 04970 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 04980 726f6d2f 76646573 632f696e 63002f72 rom/vdesc/inc./r 04990 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 049a0 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 049b0 696e636c 7564652f 6e657400 2f726f6f include/net./roo 049c0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 049d0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 049e0 6d616770 69655f31 5f312f72 6f6d2f61 magpie_1_1/rom/a 049f0 64662f6e 6275662f 696e6300 2f726f6f df/nbuf/inc./roo 04a00 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 04a10 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 04a20 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 04a30 74632f69 6e63002f 726f6f74 2f576f72 tc/inc./root/Wor 04a40 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 04a50 2f776c61 6e2f696e 636c7564 65002f72 /wlan/include./r 04a60 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 04a70 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 04a80 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 04a90 2f627566 5f706f6f 6c2f696e 63002f72 /buf_pool/inc./r 04aa0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 04ab0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 04ac0 65742f77 6d692f69 6e63002f 726f6f74 et/wmi/inc./root 04ad0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 04ae0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 04af0 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm 04b00 615f656e 67696e65 2f696e63 0000636d a_engine/inc..cm 04b10 6e6f735f 65657072 6f6d2e63 00010000 nos_eeprom.c.... 04b20 6174686f 735f6170 692e6800 0200006f athos_api.h....o 04b30 73617069 2e680003 00004f54 55535f73 sapi.h....OTUS_s 04b40 6f632e68 00040000 64745f64 6566732e oc.h....dt_defs. 04b50 68000300 00636d6e 6f735f61 70692e68 h....cmnos_api.h 04b60 00050000 7379735f 6366672e 68000200 ....sys_cfg.h... 04b70 00726f6d 5f636667 2e680006 00006d61 .rom_cfg.h....ma 04b80 67706965 5f6d656d 2e680002 0000636f gpie_mem.h....co 04b90 72652e68 00070000 68616c2e 68000800 re.h....hal.h... 04ba0 00636f72 652d6973 612e6800 09000063 .core-isa.h....c 04bb0 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... 04bc0 7469652e 68000900 00787472 756e7469 tie.h....xtrunti 04bd0 6d652e68 00080000 73706563 7265672e me.h....specreg. 04be0 68000900 00636f72 65626974 732e6800 h....corebits.h. 04bf0 08000070 72696e74 665f6170 692e6800 ...printf_api.h. 04c00 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... 04c10 00726567 5f646566 732e6800 06000064 .reg_defs.h....d 04c20 62675f61 70692e68 000c0000 6d656d5f bg_api.h....mem_ 04c30 6170692e 68000d00 006d6973 635f6170 api.h....misc_ap 04c40 692e6800 0e000073 7472696e 675f6170 i.h....string_ap 04c50 692e6800 0f000074 696d6572 5f617069 i.h....timer_api 04c60 2e680010 0000726f 6d705f61 70692e68 .h....romp_api.h 04c70 00110000 616c6c6f 6372616d 5f617069 ....allocram_api 04c80 2e680012 00007461 736b6c65 745f6170 .h....tasklet_ap 04c90 692e6800 13000063 6c6f636b 5f617069 i.h....clock_api 04ca0 2e680014 0000696e 74725f61 70692e68 .h....intr_api.h 04cb0 00150000 7764745f 6170692e 68001600 ....wdt_api.h... 04cc0 00656570 726f6d5f 6170692e 68001700 .eeprom_api.h... 04cd0 00757362 5f617069 2e680018 00006869 .usb_api.h....hi 04ce0 665f7063 692e6800 18000068 69665f61 f_pci.h....hif_a 04cf0 70692e68 00180000 6164665f 6e627566 pi.h....adf_nbuf 04d00 2e680019 00006164 665f6f73 5f757469 .h....adf_os_uti 04d10 6c2e6800 1a000061 64665f6f 735f7574 l.h....adf_os_ut 04d20 696c5f70 76742e68 001b0000 6164665f il_pvt.h....adf_ 04d30 6f735f74 79706573 2e68001a 00006164 os_types.h....ad 04d40 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. 04d50 1a000061 64665f6f 735f7479 7065735f ...adf_os_types_ 04d60 7076742e 68001b00 00737464 6465662e pvt.h....stddef. 04d70 68001c00 00766275 665f6170 692e6800 h....vbuf_api.h. 04d80 1d000076 64657363 5f617069 2e68001e ...vdesc_api.h.. 04d90 00007374 64617267 2e680003 00007661 ..stdarg.h....va 04da0 2d787465 6e73612e 68001c00 00616466 -xtensa.h....adf 04db0 5f6f735f 646d612e 68001a00 00616466 _os_dma.h....adf 04dc0 5f6f735f 646d615f 7076742e 68001b00 _os_dma_pvt.h... 04dd0 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h 04de0 001f0000 6164665f 6e627566 5f707674 ....adf_nbuf_pvt 04df0 2e680020 0000646d 615f6c69 622e6800 .h. ..dma_lib.h. 04e00 18000068 69665f67 6d61632e 68001800 ...hif_gmac.h... 04e10 004d6167 7069655f 6170692e 68000200 .Magpie_api.h... 04e20 00757362 6669666f 5f617069 2e680018 .usbfifo_api.h.. 04e30 00006874 635f6170 692e6800 21000068 ..htc_api.h.!..h 04e40 74632e68 00220000 6275665f 706f6f6c tc.h."..buf_pool 04e50 5f617069 2e680023 0000776d 695f7376 _api.h.#..wmi_sv 04e60 635f6170 692e6800 24000077 6d692e68 c_api.h.$..wmi.h 04e70 00220000 61746864 6566732e 68002200 ."..athdefs.h.". 04e80 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. 04e90 68002500 00000005 02008e1d a0032c01 h.%...........,. 04ea0 03000900 0301030c 09000801 03010900 ................ 04eb0 0f010301 09000601 03030900 06010303 ................ 04ec0 09000901 03030900 09010305 09000f01 ................ 04ed0 03020900 0c01030f 09000501 03000900 ................ 04ee0 03010309 09001501 03020900 06010302 ................ 04ef0 09000601 03010900 03010312 09000501 ................ 04f00 03000900 03010301 09000301 03020900 ................ 04f10 03010302 09000a01 03020900 09010301 ................ 04f20 09000301 030c0900 02010378 09000201 ...........x.... 04f30 03010900 05010307 09000201 03750900 .............u.. 04f40 06010301 09000301 030a0900 0201030b ................ 04f50 09000301 03000900 03010309 09000301 ................ 04f60 03020900 0b010307 09000801 03020900 ................ 04f70 05010305 09000e01 03010900 09010378 ...............x 04f80 09000a01 03110900 0501037c 09000201 ...........|.... 04f90 03010900 09010303 09000201 036a0900 .............j.. 04fa0 02010301 09000901 03150900 0201030b ................ 04fb0 09000201 03000900 03010308 09000301 ................ 04fc0 03020900 08010307 09000801 03020900 ................ 04fd0 03010304 09000701 037e0900 0201037e .........~.....~ 04fe0 09000201 03040900 0301037a 09000301 ...........z.... 04ff0 03080900 03010306 09000201 037e0900 .............~.. 05000 02010302 09000201 036d0900 05010301 .........m...... 05010 09000901 03120900 02010313 09000501 ................ 05020 03020900 0301037e 09000901 03020900 .......~........ 05030 02010301 09000501 03030900 0301037d ...............} 05040 09000301 03050900 07010325 09001d01 ...........%.... 05050 03010900 14010301 09000f01 037f0900 ................ 05060 05010301 09000301 03030900 03010301 ................ 05070 09001401 03010900 0f01037f 09000601 ................ 05080 03010900 0301030b 09000301 03010900 ................ 05090 14010302 09000e01 037e0900 05010302 .........~...... 050a0 09000301 03040900 03010305 09001701 ................ 050b0 03010900 17010304 09000c01 037c0900 .............|.. 050c0 03010304 09000301 03040900 06010301 ................ 050d0 09001701 03010900 0e01037f 09000301 ................ 050e0 03010900 03010303 09000501 03010900 ................ 050f0 0e01037f 09000501 03010900 03010303 ................ 05100 09000301 03010900 1701030a 09000f01 ................ 05110 03020900 12010301 09000b01 03020900 ................ 05120 0b01037b 09000801 030f0900 03010301 ...{............ 05130 09001701 03010900 0f01037f 09000501 ................ 05140 03010900 03010302 09000301 03010900 ................ 05150 17010301 09000f01 03080900 08010307 ................ 05160 09000c01 03030900 06010302 09000301 ................ 05170 03140900 03010370 09000201 03080900 .......p........ 05180 0501036d 09000901 030d0900 03010301 ...m............ 05190 09000501 030d0900 0701034c 09000201 ...........L.... 051a0 03020900 0e010332 09000f01 03020900 .......2........ 051b0 04010300 09000301 03040900 0c01037f ................ 051c0 09000201 037f0900 0201037f 09000201 ................ 051d0 03040900 02010900 02000101 00000e7d ...............} 051e0 00020000 0cf90101 fb0e0a00 01010101 ................ 051f0 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp 05200 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 05210 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 05220 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ 05230 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui 05240 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 05250 6d2f636d 6e6f732f 696e7472 2f737263 m/cmnos/intr/src 05260 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 05270 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 05280 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 05290 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 052a0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 052b0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 052c0 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r 052d0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 052e0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 052f0 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor 05300 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 05310 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ 05320 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works 05330 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 05340 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 05350 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in 05360 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 05370 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 05380 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 05390 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 053a0 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in 053b0 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con 053c0 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ 053d0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 053e0 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 053f0 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 05400 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ 05410 696e636c 7564652f 7874656e 7361002f include/xtensa./ 05420 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 05430 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu 05440 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l 05450 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x 05460 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i 05470 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co 05480 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works 05490 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 054a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 054b0 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr 054c0 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W 054d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 054e0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 054f0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 05500 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root 05510 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 05520 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 05530 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r 05540 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 05550 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 05560 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 05570 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ 05580 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 05590 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 055a0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 055b0 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc 055c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 055d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 055e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 055f0 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string 05600 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 05610 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 05620 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 05630 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti 05640 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo 05650 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 05660 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 05670 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 05680 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r 05690 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 056a0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 056b0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 056c0 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ 056d0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 056e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 056f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 05700 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas 05710 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W 05720 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 05730 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 05740 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 05750 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo 05760 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 05770 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 05780 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 05790 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r 057a0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 057b0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 057c0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 057d0 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ 057e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 057f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 05800 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 05810 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i 05820 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 05830 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 05840 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 05850 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r 05860 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 05870 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 05880 696e636c 7564652f 6e627566 002f726f include/nbuf./ro 05890 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 058a0 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 058b0 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ 058c0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 058d0 3230322f 7467742f 74617267 65742f61 202/tgt/target/a 058e0 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x 058f0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 05900 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 05910 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 05920 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x 05930 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ 05940 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 05950 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 05960 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 05970 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root 05980 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 05990 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 059a0 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd 059b0 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo 059c0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 059d0 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 059e0 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work 059f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 05a00 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 05a10 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu 05a20 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 05a30 73706163 652f3034 31325f76 3230322f space/0412_v202/ 05a40 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 05a50 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc 05a60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 05a70 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ 05a80 696e636c 75646500 2f726f6f 742f576f include./root/Wo 05a90 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 05aa0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 05ab0 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p 05ac0 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo 05ad0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 05ae0 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi 05af0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 05b00 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 05b10 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 05b20 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi 05b30 6e652f69 6e630000 636d6e6f 735f696e ne/inc..cmnos_in 05b40 74722e63 00010000 7379735f 6366672e tr.c....sys_cfg. 05b50 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. 05b60 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. 05b70 00006174 686f735f 6170692e 68000200 ..athos_api.h... 05b80 006f7361 70692e68 00040000 4f545553 .osapi.h....OTUS 05b90 5f736f63 2e680005 00006474 5f646566 _soc.h....dt_def 05ba0 732e6800 04000063 6d6e6f73 5f617069 s.h....cmnos_api 05bb0 2e680006 0000636f 72652e68 00070000 .h....core.h.... 05bc0 68616c2e 68000800 00636f72 652d6973 hal.h....core-is 05bd0 612e6800 09000063 6f72652d 6d61746d a.h....core-matm 05be0 61702e68 00090000 7469652e 68000900 ap.h....tie.h... 05bf0 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... 05c00 73706563 7265672e 68000900 00636f72 specreg.h....cor 05c10 65626974 732e6800 08000070 72696e74 ebits.h....print 05c20 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ 05c30 6170692e 68000b00 00726567 5f646566 api.h....reg_def 05c40 732e6800 03000064 62675f61 70692e68 s.h....dbg_api.h 05c50 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... 05c60 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s 05c70 7472696e 675f6170 692e6800 0f000074 tring_api.h....t 05c80 696d6572 5f617069 2e680010 0000726f imer_api.h....ro 05c90 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo 05ca0 6372616d 5f617069 2e680012 00007461 cram_api.h....ta 05cb0 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c 05cc0 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in 05cd0 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ 05ce0 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ 05cf0 6170692e 68001700 00757362 5f617069 api.h....usb_api 05d00 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. 05d10 18000068 69665f61 70692e68 00180000 ...hif_api.h.... 05d20 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad 05d30 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a 05d40 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h 05d50 001b0000 6164665f 6f735f74 79706573 ....adf_os_types 05d60 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std 05d70 74797065 732e6800 1a000061 64665f6f types.h....adf_o 05d80 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... 05d90 00737464 6465662e 68001c00 00766275 .stddef.h....vbu 05da0 665f6170 692e6800 1d000076 64657363 f_api.h....vdesc 05db0 5f617069 2e68001e 00007374 64617267 _api.h....stdarg 05dc0 2e680004 00007661 2d787465 6e73612e .h....va-xtensa. 05dd0 68001c00 00616466 5f6f735f 646d612e h....adf_os_dma. 05de0 68001a00 00616466 5f6f735f 646d615f h....adf_os_dma_ 05df0 7076742e 68001b00 00616466 5f6e6574 pvt.h....adf_net 05e00 5f747970 65732e68 001f0000 6164665f _types.h....adf_ 05e10 6e627566 5f707674 2e680020 0000646d nbuf_pvt.h. ..dm 05e20 615f6c69 622e6800 18000068 69665f67 a_lib.h....hif_g 05e30 6d61632e 68001800 004d6167 7069655f mac.h....Magpie_ 05e40 6170692e 68000200 00757362 6669666f api.h....usbfifo 05e50 5f617069 2e680018 00006874 635f6170 _api.h....htc_ap 05e60 692e6800 21000068 74632e68 00220000 i.h.!..htc.h.".. 05e70 6275665f 706f6f6c 5f617069 2e680023 buf_pool_api.h.# 05e80 0000776d 695f7376 635f6170 692e6800 ..wmi_svc_api.h. 05e90 24000077 6d692e68 00220000 61746864 $..wmi.h."..athd 05ea0 6566732e 68002200 00646d61 5f656e67 efs.h."..dma_eng 05eb0 696e655f 6170692e 68002500 00726567 ine_api.h.%..reg 05ec0 64756d70 2e680002 00006d61 67706965 dump.h....magpie 05ed0 5f726567 64756d70 2e680002 00000000 _regdump.h...... 05ee0 0502008e 2188031d 01030209 00030103 ....!........... 05ef0 0a090005 01030009 00030103 04090003 ................ 05f00 01030309 00060103 01090009 01030309 ................ 05f10 00070103 02090008 01030509 00040103 ................ 05f20 00090003 01030509 00030103 01090006 ................ 05f30 01037f09 00030103 01090002 01030309 ................ 05f40 00030103 7e090003 01030209 00120103 ....~........... 05f50 7e090003 01030209 00050103 01090003 ~............... 05f60 01030109 00080103 04090004 01030009 ................ 05f70 00030103 05090003 01030109 00060103 ................ 05f80 7f090003 01030109 00020103 05090003 ................ 05f90 01037c09 00060103 0409000a 01037c09 ..|...........|. 05fa0 00030103 04090005 01030109 00030103 ................ 05fb0 01090008 01030509 00050103 00090003 ................ 05fc0 01030309 00030103 00090020 01030109 ........... .... 05fd0 00050103 0009001b 01030209 00060103 ................ 05fe0 05090009 01037d09 00030103 01090005 ......}......... 05ff0 01030209 00020103 01090003 01030d09 ................ 06000 00020103 00090003 01030109 00030103 ................ 06010 00090020 01030109 00060103 00090027 ... ...........' 06020 01030409 00030103 0809000a 01030009 ................ 06030 00030103 0509000f 01037f09 00020103 ................ 06040 7f090002 01037f09 00020103 7f090002 ................ 06050 01030f09 00020109 00020001 0100000d ................ 06060 22000200 000cd601 01fb0e0a 00010101 "............... 06070 01000000 012f726f 6f742f57 6f726b73 ...../root/Works 06080 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 06090 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 060a0 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 060b0 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 060c0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 060d0 6f6d2f63 6d6e6f73 2f6d656d 2f737263 om/cmnos/mem/src 060e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 060f0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 06100 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 06110 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 06120 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 06130 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 06140 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r 06150 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 06160 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 06170 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor 06180 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 06190 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ 061a0 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works 061b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 061c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 061d0 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in 061e0 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 061f0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 06200 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 06210 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 06220 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in 06230 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con 06240 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ 06250 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 06260 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 06270 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 06280 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ 06290 696e636c 7564652f 7874656e 7361002f include/xtensa./ 062a0 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 062b0 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu 062c0 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l 062d0 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x 062e0 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i 062f0 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co 06300 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works 06310 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 06320 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 06330 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr 06340 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W 06350 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 06360 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 06370 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 06380 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root 06390 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 063a0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 063b0 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r 063c0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 063d0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 063e0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 063f0 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ 06400 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 06410 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 06420 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 06430 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc 06440 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 06450 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 06460 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 06470 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string 06480 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 06490 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 064a0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 064b0 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti 064c0 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo 064d0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 064e0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 064f0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 06500 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r 06510 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 06520 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 06530 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 06540 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ 06550 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 06560 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 06570 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 06580 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas 06590 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W 065a0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 065b0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 065c0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 065d0 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo 065e0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 065f0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 06600 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 06610 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r 06620 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 06630 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 06640 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 06650 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ 06660 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 06670 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 06680 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 06690 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i 066a0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 066b0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 066c0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 066d0 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r 066e0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 066f0 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 06700 696e636c 7564652f 6e627566 002f726f include/nbuf./ro 06710 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 06720 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 06730 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ 06740 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 06750 3230322f 7467742f 74617267 65742f61 202/tgt/target/a 06760 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x 06770 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 06780 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 06790 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 067a0 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x 067b0 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ 067c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 067d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 067e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 067f0 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root 06800 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 06810 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 06820 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd 06830 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo 06840 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 06850 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 06860 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work 06870 73706163 652f3034 31325f76 3230322f space/0412_v202/ 06880 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 06890 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu 068a0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 068b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 068c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 068d0 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc 068e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 068f0 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ 06900 696e636c 75646500 2f726f6f 742f576f include./root/Wo 06910 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 06920 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 06930 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p 06940 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo 06950 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 06960 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi 06970 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 06980 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 06990 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 069a0 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi 069b0 6e652f69 6e630000 636d6e6f 735f6d65 ne/inc..cmnos_me 069c0 6d2e6300 01000073 79735f63 66672e68 m.c....sys_cfg.h 069d0 00020000 726f6d5f 6366672e 68000300 ....rom_cfg.h... 069e0 006d6167 7069655f 6d656d2e 68000200 .magpie_mem.h... 069f0 00617468 6f735f61 70692e68 00020000 .athos_api.h.... 06a00 6f736170 692e6800 0400004f 5455535f osapi.h....OTUS_ 06a10 736f632e 68000500 0064745f 64656673 soc.h....dt_defs 06a20 2e680004 0000636d 6e6f735f 6170692e .h....cmnos_api. 06a30 68000600 00636f72 652e6800 07000068 h....core.h....h 06a40 616c2e68 00080000 636f7265 2d697361 al.h....core-isa 06a50 2e680009 0000636f 72652d6d 61746d61 .h....core-matma 06a60 702e6800 09000074 69652e68 00090000 p.h....tie.h.... 06a70 78747275 6e74696d 652e6800 08000073 xtruntime.h....s 06a80 70656372 65672e68 00090000 636f7265 pecreg.h....core 06a90 62697473 2e680008 00007072 696e7466 bits.h....printf 06aa0 5f617069 2e68000a 00007561 72745f61 _api.h....uart_a 06ab0 70692e68 000b0000 7265675f 64656673 pi.h....reg_defs 06ac0 2e680003 00006462 675f6170 692e6800 .h....dbg_api.h. 06ad0 0c00006d 656d5f61 70692e68 000d0000 ...mem_api.h.... 06ae0 6d697363 5f617069 2e68000e 00007374 misc_api.h....st 06af0 72696e67 5f617069 2e68000f 00007469 ring_api.h....ti 06b00 6d65725f 6170692e 68001000 00726f6d mer_api.h....rom 06b10 705f6170 692e6800 11000061 6c6c6f63 p_api.h....alloc 06b20 72616d5f 6170692e 68001200 00746173 ram_api.h....tas 06b30 6b6c6574 5f617069 2e680013 0000636c klet_api.h....cl 06b40 6f636b5f 6170692e 68001400 00696e74 ock_api.h....int 06b50 725f6170 692e6800 15000077 64745f61 r_api.h....wdt_a 06b60 70692e68 00160000 65657072 6f6d5f61 pi.h....eeprom_a 06b70 70692e68 00170000 7573625f 6170692e pi.h....usb_api. 06b80 68001800 00686966 5f706369 2e680018 h....hif_pci.h.. 06b90 00006869 665f6170 692e6800 18000061 ..hif_api.h....a 06ba0 64665f6e 6275662e 68001900 00616466 df_nbuf.h....adf 06bb0 5f6f735f 7574696c 2e68001a 00006164 _os_util.h....ad 06bc0 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. 06bd0 1b000061 64665f6f 735f7479 7065732e ...adf_os_types. 06be0 68001a00 00616466 5f6f735f 73746474 h....adf_os_stdt 06bf0 79706573 2e68001a 00006164 665f6f73 ypes.h....adf_os 06c00 5f747970 65735f70 76742e68 001b0000 _types_pvt.h.... 06c10 73746464 65662e68 001c0000 76627566 stddef.h....vbuf 06c20 5f617069 2e68001d 00007664 6573635f _api.h....vdesc_ 06c30 6170692e 68001e00 00737464 6172672e api.h....stdarg. 06c40 68000400 0076612d 7874656e 73612e68 h....va-xtensa.h 06c50 001c0000 6164665f 6f735f64 6d612e68 ....adf_os_dma.h 06c60 001a0000 6164665f 6f735f64 6d615f70 ....adf_os_dma_p 06c70 76742e68 001b0000 6164665f 6e65745f vt.h....adf_net_ 06c80 74797065 732e6800 1f000061 64665f6e types.h....adf_n 06c90 6275665f 7076742e 68002000 00646d61 buf_pvt.h. ..dma 06ca0 5f6c6962 2e680018 00006869 665f676d _lib.h....hif_gm 06cb0 61632e68 00180000 4d616770 69655f61 ac.h....Magpie_a 06cc0 70692e68 00020000 75736266 69666f5f pi.h....usbfifo_ 06cd0 6170692e 68001800 00687463 5f617069 api.h....htc_api 06ce0 2e680021 00006874 632e6800 22000062 .h.!..htc.h."..b 06cf0 75665f70 6f6f6c5f 6170692e 68002300 uf_pool_api.h.#. 06d00 00776d69 5f737663 5f617069 2e680024 .wmi_svc_api.h.$ 06d10 0000776d 692e6800 22000061 74686465 ..wmi.h."..athde 06d20 66732e68 00220000 646d615f 656e6769 fs.h."..dma_engi 06d30 6e655f61 70692e68 00250000 00000502 ne_api.h.%...... 06d40 008e2318 03090103 01090003 01030b09 ..#............. 06d50 00050103 00090003 01030509 000f0103 ................ 06d60 7f090002 01037f09 00020103 7f090002 ................ 06d70 01037f09 00020103 05090002 01090002 ................ 06d80 00010100 000ea700 0200000c f90101fb ................ 06d90 0e0a0001 01010100 0000012f 726f6f74 .........../root 06da0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 06db0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 06dc0 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ 06dd0 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ 06de0 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie 06df0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 06e00 6973632f 73726300 2f726f6f 742f576f isc/src./root/Wo 06e10 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 06e20 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 06e30 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root 06e40 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 06e50 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 06e60 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma 06e70 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works 06e80 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 06e90 67742f74 61726765 742f696e 63002f72 gt/target/inc./r 06ea0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 06eb0 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 06ec0 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo 06ed0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 06ee0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 06ef0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 06f00 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt 06f10 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 06f20 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 06f30 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 06f40 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 06f50 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 06f60 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ 06f70 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 06f80 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 06f90 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 06fa0 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 06fb0 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 06fc0 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens 06fd0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 06fe0 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 06ff0 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp 07000 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf 07010 2f617263 682f696e 636c7564 652f7874 /arch/include/xt 07020 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo 07030 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 07040 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 07050 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 07060 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. 07070 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 07080 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 07090 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 070a0 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in 070b0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 070c0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 070d0 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg 070e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 070f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 07100 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 07110 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me 07120 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work 07130 73706163 652f3034 31325f76 3230322f space/0412_v202/ 07140 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 07150 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 07160 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo 07170 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 07180 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 07190 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 071a0 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo 071b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 071c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 071d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 071e0 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ 071f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 07200 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 07210 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 07220 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch 07230 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 07240 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 07250 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 07260 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al 07270 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root 07280 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 07290 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 072a0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 072b0 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. 072c0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 072d0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 072e0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 072f0 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i 07300 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 07310 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 07320 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 07330 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr 07340 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 07350 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 07360 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 07370 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd 07380 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 07390 73706163 652f3034 31325f76 3230322f space/0412_v202/ 073a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 073b0 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 073c0 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ 073d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 073e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 073f0 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 07400 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 07410 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 07420 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 07430 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp 07440 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 07450 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os 07460 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 07470 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 07480 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc 07490 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 074a0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 074b0 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 074c0 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 074d0 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in 074e0 636c7564 65002f72 6f6f742f 576f726b clude./root/Work 074f0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 07500 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 07510 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in 07520 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 07530 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 07540 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 07550 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ 07560 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 07570 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 07580 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro 07590 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 075a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 075b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 075c0 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro 075d0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 075e0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 075f0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 07600 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo 07610 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 07620 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ 07630 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 07640 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 07650 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 07660 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ 07670 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 07680 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 07690 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo 076a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 076b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 076c0 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d 076d0 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c 076e0 6d6e6f73 5f6d6973 632e6300 01000073 mnos_misc.c....s 076f0 79735f63 66672e68 00020000 726f6d5f ys_cfg.h....rom_ 07700 6366672e 68000300 006d6167 7069655f cfg.h....magpie_ 07710 6d656d2e 68000200 00617468 6f735f61 mem.h....athos_a 07720 70692e68 00020000 6f736170 692e6800 pi.h....osapi.h. 07730 0400004f 5455535f 736f632e 68000500 ...OTUS_soc.h... 07740 0064745f 64656673 2e680004 0000636d .dt_defs.h....cm 07750 6e6f735f 6170692e 68000600 00636f72 nos_api.h....cor 07760 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... 07770 636f7265 2d697361 2e680009 0000636f core-isa.h....co 07780 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t 07790 69652e68 00090000 78747275 6e74696d ie.h....xtruntim 077a0 652e6800 08000073 70656372 65672e68 e.h....specreg.h 077b0 00090000 636f7265 62697473 2e680008 ....corebits.h.. 077c0 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. 077d0 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... 077e0 7265675f 64656673 2e680003 00006462 reg_defs.h....db 077f0 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a 07800 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api 07810 2e68000e 00007374 72696e67 5f617069 .h....string_api 07820 2e68000f 00007469 6d65725f 6170692e .h....timer_api. 07830 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. 07840 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 07850 68001200 00746173 6b6c6574 5f617069 h....tasklet_api 07860 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. 07870 68001400 00696e74 725f6170 692e6800 h....intr_api.h. 07880 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... 07890 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... 078a0 7573625f 6170692e 68001800 00686966 usb_api.h....hif 078b0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap 078c0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. 078d0 68001900 00616466 5f6f735f 7574696c h....adf_os_util 078e0 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti 078f0 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o 07900 735f7479 7065732e 68001a00 00616466 s_types.h....adf 07910 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. 07920 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 07930 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h 07940 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. 07950 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... 07960 00737464 6172672e 68000400 0076612d .stdarg.h....va- 07970 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ 07980 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ 07990 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... 079a0 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. 079b0 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. 079c0 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. 079d0 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... 079e0 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... 079f0 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... 07a00 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht 07a10 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ 07a20 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc 07a30 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. 07a40 22000061 74686465 66732e68 00220000 "..athdefs.h.".. 07a50 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h 07a60 00250000 72656764 756d702e 68000200 .%..regdump.h... 07a70 006d6167 7069655f 72656764 756d702e .magpie_regdump. 07a80 68000200 00000005 02008e23 40031401 h..........#@... 07a90 03000900 03010305 09000601 03010900 ................ 07aa0 06010311 09000501 03050900 03010309 ................ 07ab0 09000501 03000900 03010302 09000301 ................ 07ac0 03060900 0e01037f 09000401 03010900 ................ 07ad0 0501037f 09000301 03050900 06010302 ................ 07ae0 09000a01 037f0900 04010301 09000401 ................ 07af0 03040900 06010301 09000801 03020900 ................ 07b00 0801030b 09000601 03000900 03010301 ................ 07b10 09000301 03030900 08010302 09000201 ................ 07b20 03020900 02010304 09000b01 03050900 ................ 07b30 0c010303 09000b01 03010900 09010301 ................ 07b40 09000401 03010900 05010302 09000901 ................ 07b50 03020900 0b01037a 09000401 03070900 .......z........ 07b60 03010309 09000901 03070900 02010302 ................ 07b70 09000301 03070900 05010302 09000301 ................ 07b80 03070900 05010304 09000301 03060900 ................ 07b90 1101037d 09000901 03090900 0b010308 ...}............ 07ba0 09000801 03000900 0301030b 09000301 ................ 07bb0 030e0900 0601037e 09000401 03020900 .......~........ 07bc0 0601030e 09000301 03790900 02010307 .........y...... 07bd0 09000201 03090900 03010300 09000301 ................ 07be0 03030900 03010308 09000601 03000900 ................ 07bf0 03010309 09001801 037f0900 0201037f ................ 07c00 09000201 037e0900 0201037f 09000201 .....~.......... 07c10 037f0900 0201037f 09000201 037f0900 ................ 07c20 02010309 09000201 09000200 01010000 ................ 07c30 10c30002 00000cdc 0101fb0e 0a000101 ................ 07c40 01010000 00012f72 6f6f742f 576f726b ....../root/Work 07c50 73706163 652f3034 31325f76 3230322f space/0412_v202/ 07c60 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 07c70 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 07c80 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 07c90 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 07ca0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 07cb0 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works 07cc0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 07cd0 67742f74 61726765 742f696e 63002f72 gt/target/inc./r 07ce0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 07cf0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 07d00 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 07d10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 07d20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 07d30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 07d40 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root 07d50 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 07d60 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 07d70 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W 07d80 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 07d90 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 07da0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 07db0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 07dc0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 07dd0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 07de0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 07df0 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 07e00 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 07e10 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte 07e20 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 07e30 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 07e40 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 07e50 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 07e60 656c662f 696e636c 7564652f 7874656e elf/include/xten 07e70 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X 07e80 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 07e90 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 07ea0 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 07eb0 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 07ec0 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 07ed0 612f636f 6e666967 002f726f 6f742f57 a/config./root/W 07ee0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 07ef0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 07f00 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 07f10 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro 07f20 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 07f30 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 07f40 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 07f50 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ 07f60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 07f70 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 07f80 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in 07f90 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 07fa0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 07fb0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 07fc0 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i 07fd0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 07fe0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 07ff0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 08000 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 08010 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 08020 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 08030 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 08040 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st 08050 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W 08060 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 08070 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 08080 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 08090 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo 080a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 080b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 080c0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 080d0 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in 080e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 080f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 08100 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 08110 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 08120 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo 08130 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 08140 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 08150 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 08160 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro 08170 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 08180 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 08190 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 081a0 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. 081b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 081c0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 081d0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 081e0 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in 081f0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 08200 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 08210 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 08220 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i 08230 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 08240 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 08250 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 08260 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 08270 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor 08280 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 08290 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 082a0 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in 082b0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 082c0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 082d0 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf 082e0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 082f0 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a 08300 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r 08310 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 08320 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 08330 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o 08340 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 08350 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 08360 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 08370 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l 08380 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu 08390 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa 083a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 083b0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 083c0 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ 083d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 083e0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 083f0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 08400 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo 08410 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 08420 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in 08430 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ 08440 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 08450 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 08460 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf 08470 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ 08480 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 08490 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 084a0 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc 084b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 084c0 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w 084d0 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo 084e0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 084f0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 08500 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b 08510 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo 08520 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 08530 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 08540 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W 08550 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 08560 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 08570 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 08580 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno 08590 735f7072 696e7466 2e630001 00006474 s_printf.c....dt 085a0 5f646566 732e6800 02000073 79735f63 _defs.h....sys_c 085b0 66672e68 00030000 726f6d5f 6366672e fg.h....rom_cfg. 085c0 68000400 006d6167 7069655f 6d656d2e h....magpie_mem. 085d0 68000300 00617468 6f735f61 70692e68 h....athos_api.h 085e0 00030000 6f736170 692e6800 0200004f ....osapi.h....O 085f0 5455535f 736f632e 68000500 00636d6e TUS_soc.h....cmn 08600 6f735f61 70692e68 00060000 636f7265 os_api.h....core 08610 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c 08620 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor 08630 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti 08640 652e6800 09000078 7472756e 74696d65 e.h....xtruntime 08650 2e680008 00007370 65637265 672e6800 .h....specreg.h. 08660 09000063 6f726562 6974732e 68000800 ...corebits.h... 08670 00707269 6e74665f 6170692e 68000a00 .printf_api.h... 08680 00756172 745f6170 692e6800 0b000072 .uart_api.h....r 08690 65675f64 6566732e 68000400 00646267 eg_defs.h....dbg 086a0 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap 086b0 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. 086c0 68000e00 00737472 696e675f 6170692e h....string_api. 086d0 68000f00 0074696d 65725f61 70692e68 h....timer_api.h 086e0 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. 086f0 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h 08700 00120000 7461736b 6c65745f 6170692e ....tasklet_api. 08710 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h 08720 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. 08730 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e 08740 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u 08750 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ 08760 7063692e 68001800 00686966 5f617069 pci.h....hif_api 08770 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h 08780 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. 08790 68001a00 00616466 5f6f735f 7574696c h....adf_os_util 087a0 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os 087b0 5f747970 65732e68 001a0000 6164665f _types.h....adf_ 087c0 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... 087d0 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv 087e0 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. 087f0 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... 08800 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... 08810 73746461 72672e68 00020000 76612d78 stdarg.h....va-x 08820 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o 08830 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o 08840 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a 08850 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. 08860 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h 08870 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... 08880 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M 08890 61677069 655f6170 692e6800 03000075 agpie_api.h....u 088a0 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... 088b0 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc 088c0 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a 088d0 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ 088e0 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." 088f0 00006174 68646566 732e6800 22000064 ..athdefs.h."..d 08900 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. 08910 25000000 00050200 8e24b803 3a010300 %........$..:... 08920 09000301 03010900 03010301 09000301 ................ 08930 03010900 08010305 09000801 037c0900 .............|.. 08940 02010302 09000501 03020900 08010305 ................ 08950 09000401 03000900 03010307 09000201 ................ 08960 03020900 0c010301 09000201 03010900 ................ 08970 0701037f 09000201 03010900 0501037f ................ 08980 09000201 03010900 0501037e 09000501 ...........~.... 08990 03090900 03010377 09000201 03050900 .......w........ 089a0 02010301 09000c01 037f0900 0d010304 ................ 089b0 09000201 03010900 03010305 09000201 ................ 089c0 03000900 03010306 09000201 037a0900 .............z.. 089d0 02010306 09000801 03020900 0f010301 ................ 089e0 09000801 037f0900 02010301 09000301 ................ 089f0 03010900 03010302 09000301 037e0900 .............~.. 08a00 02010302 09000201 03010900 030103af ................ 08a10 0109000c 01034009 00080103 0109000c ......@......... 08a20 01030109 000e0103 01090002 01038e7f ................ 08a30 09000301 03030900 04010302 09000501 ................ 08a40 03020900 0c010302 09000401 03010900 ................ 08a50 0d010301 09000b01 037f0900 0501037f ................ 08a60 09000201 030f0900 12010375 09000301 ...........u.... 08a70 03010900 05010301 09000301 037f0900 ................ 08a80 02010302 09000201 037f0900 03010301 ................ 08a90 09000401 03020900 0601037e 09000501 ...........~.... 08aa0 03350900 09010353 09000201 03020900 .5.....S........ 08ab0 03010304 09000301 037c0900 02010304 .........|...... 08ac0 09000801 03270900 0e01036a 09005301 .....'.....j..S. 08ad0 03060900 0f01037a 09000501 03060900 .......z........ 08ae0 08010301 09000601 03020900 030103d2 ................ 08af0 00090008 01035709 004e0103 7b090015 ......W..N..{... 08b00 01030109 000e0103 68090003 01036909 ........h.....i. 08b10 00020103 01090003 0103db00 09001701 ................ 08b20 036b0900 36010309 09000201 03780900 .k..6........x.. 08b30 0201037f 09000201 03010900 02010308 ................ 08b40 09000301 03010900 08010301 09000801 ................ 08b50 037f0900 02010301 09000901 03630900 .............c.. 08b60 02010328 09000401 03040900 0201037d ...(...........} 08b70 09000201 037f0900 03010302 09000301 ................ 08b80 037f0900 02010305 09000701 03010900 ................ 08b90 07010301 09000301 03040900 0c010302 ................ 08ba0 09000201 03020900 0b010301 09000701 ................ 08bb0 037e0900 02010305 09000d01 03010900 .~.............. 08bc0 09010301 09000301 03030900 07010301 ................ 08bd0 09000501 03010900 0601037d 09000201 ...........}.... 08be0 03050900 0a0103f6 7e090010 01031209 ........~....... 08bf0 00050103 01090003 0103d900 09001601 ................ 08c00 03bf7f09 00150103 01090005 01030209 ................ 08c10 00060103 3e09000b 01035c09 00050103 ....>.....\..... 08c20 24090014 01037d09 00060103 01090005 $.....}......... 08c30 01030109 00050103 01090005 01037a09 ..............z. 08c40 00050103 01090005 01032509 00030103 ..........%..... 08c50 01090005 01037e09 00020103 887f0900 ......~......... 08c60 0c010335 09000d01 03050900 2001031f ...5........ ... 08c70 09001901 03650900 050103c4 00090017 .....e.......... 08c80 0103a57f 09000201 035b0900 1a01032c .........[....., 08c90 09002301 03010900 0e01030b 09000301 ..#............. 08ca0 03cc0009 00080103 00090003 01030809 ................ 08cb0 000c0103 78090005 01030809 00100103 ....x........... 08cc0 0709000b 01030509 00050103 01090003 ................ 08cd0 01030309 00050103 00090003 01030209 ................ 08ce0 00060103 7f090002 01030209 00020109 ................ 08cf0 00020001 0100000e 76000200 000ce001 ........v....... 08d00 01fb0e0a 00010101 01000000 012f726f ............./ro 08d10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 08d20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 08d30 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 08d40 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 08d50 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 08d60 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 08d70 2f726f6d 70617463 682f7372 63002f72 /rompatch/src./r 08d80 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 08d90 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 08da0 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 08db0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 08dc0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 08dd0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 08de0 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root 08df0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 08e00 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 08e10 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 08e20 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 08e30 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU 08e40 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac 08e50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 08e60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 08e70 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ 08e80 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 08e90 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 08ea0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 08eb0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 08ec0 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu 08ed0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 08ee0 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 08ef0 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 08f00 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 08f10 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 08f20 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc 08f30 6c756465 2f787465 6e736100 2f6f7074 lude/xtensa./opt 08f40 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 08f50 6c732f69 6e737461 6c6c2f62 75696c64 ls/install/build 08f60 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 08f70 782f4d61 67706965 5f50302f 7874656e x/Magpie_P0/xten 08f80 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl 08f90 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi 08fa0 67002f72 6f6f742f 576f726b 73706163 g./root/Workspac 08fb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 08fc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 08fd0 2f726f6d 2f636d6e 6f732f70 72696e74 /rom/cmnos/print 08fe0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 08ff0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 09000 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 09010 5f315f31 2f726f6d 2f636d6e 6f732f75 _1_1/rom/cmnos/u 09020 6172742f 696e6300 2f726f6f 742f576f art/inc./root/Wo 09030 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 09040 322f7467 742f7461 72676574 2f636d6e 2/tgt/target/cmn 09050 6f732f64 62672f69 6e63002f 726f6f74 os/dbg/inc./root 09060 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 09070 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 09080 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 09090 6e6f732f 6d656d2f 696e6300 2f726f6f nos/mem/inc./roo 090a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 090b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 090c0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 090d0 6d6e6f73 2f6d6973 632f696e 63002f72 mnos/misc/inc./r 090e0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 090f0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 09100 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 09110 2f636d6e 6f732f73 7472696e 672f696e /cmnos/string/in 09120 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 09130 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 09140 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 09150 2f726f6d 2f636d6e 6f732f74 696d6572 /rom/cmnos/timer 09160 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 09170 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 09180 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 09190 315f312f 726f6d2f 636d6e6f 732f726f 1_1/rom/cmnos/ro 091a0 6d706174 63682f69 6e63002f 726f6f74 mpatch/inc./root 091b0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 091c0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 091d0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 091e0 6e6f732f 616c6c6f 6372616d 2f696e63 nos/allocram/inc 091f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 09200 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 09210 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 09220 726f6d2f 636d6e6f 732f7461 736b6c65 rom/cmnos/taskle 09230 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 09240 73706163 652f3034 31325f76 3230322f space/0412_v202/ 09250 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 09260 5f315f31 2f726f6d 2f636d6e 6f732f63 _1_1/rom/cmnos/c 09270 6c6f636b 2f696e63 002f726f 6f742f57 lock/inc./root/W 09280 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 09290 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 092a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 092b0 732f696e 74722f69 6e63002f 726f6f74 s/intr/inc./root 092c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 092d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 092e0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 092f0 6e6f732f 7764742f 696e6300 2f726f6f nos/wdt/inc./roo 09300 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 09310 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 09320 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 09330 6d6e6f73 2f656570 726f6d2f 696e6300 mnos/eeprom/inc. 09340 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 09350 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 09360 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 09370 6f6d2f68 69662f69 6e63002f 726f6f74 om/hif/inc./root 09380 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 09390 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc 093a0 6c756465 2f6e6275 66002f72 6f6f742f lude/nbuf./root/ 093b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 093c0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 093d0 7564652f 6f73002f 726f6f74 2f576f72 ude/os./root/Wor 093e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 093f0 2f746774 2f746172 6765742f 6164662f /tgt/target/adf/ 09400 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten 09410 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 09420 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 09430 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 09440 7361546f 6f6c732f 6c69622f 7863632d saTools/lib/xcc- 09450 6c69622f 696e636c 75646500 2f726f6f lib/include./roo 09460 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 09470 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 09480 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v 09490 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo 094a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 094b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 094c0 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc 094d0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 094e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 094f0 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 09500 6574002f 726f6f74 2f576f72 6b737061 et./root/Workspa 09510 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 09520 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 09530 312f726f 6d2f6164 662f6e62 75662f69 1/rom/adf/nbuf/i 09540 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 09550 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 09560 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 09570 312f726f 6d2f6874 632f696e 63002f72 1/rom/htc/inc./r 09580 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 09590 31325f76 3230322f 776c616e 2f696e63 12_v202/wlan/inc 095a0 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works 095b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 095c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 095d0 315f312f 726f6d2f 6275665f 706f6f6c 1_1/rom/buf_pool 095e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 095f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 09600 67742f74 61726765 742f776d 692f696e gt/target/wmi/in 09610 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 09620 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 09630 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 09640 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ 09650 696e6300 00636d6e 6f735f72 6f6d7061 inc..cmnos_rompa 09660 7463682e 63000100 00737973 5f636667 tch.c....sys_cfg 09670 2e680002 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. 09680 0300006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. 09690 02000061 74686f73 5f617069 2e680002 ...athos_api.h.. 096a0 00006f73 6170692e 68000400 004f5455 ..osapi.h....OTU 096b0 535f736f 632e6800 05000064 745f6465 S_soc.h....dt_de 096c0 66732e68 00040000 636d6e6f 735f6170 fs.h....cmnos_ap 096d0 692e6800 06000063 6f72652e 68000700 i.h....core.h... 096e0 0068616c 2e680008 0000636f 72652d69 .hal.h....core-i 096f0 73612e68 00090000 636f7265 2d6d6174 sa.h....core-mat 09700 6d61702e 68000900 00746965 2e680009 map.h....tie.h.. 09710 00007874 72756e74 696d652e 68000800 ..xtruntime.h... 09720 00737065 63726567 2e680009 0000636f .specreg.h....co 09730 72656269 74732e68 00080000 7072696e rebits.h....prin 09740 74665f61 70692e68 000a0000 75617274 tf_api.h....uart 09750 5f617069 2e68000b 00007265 675f6465 _api.h....reg_de 09760 66732e68 00030000 6462675f 6170692e fs.h....dbg_api. 09770 68000c00 006d656d 5f617069 2e68000d h....mem_api.h.. 09780 00006d69 73635f61 70692e68 000e0000 ..misc_api.h.... 09790 73747269 6e675f61 70692e68 000f0000 string_api.h.... 097a0 74696d65 725f6170 692e6800 10000072 timer_api.h....r 097b0 6f6d705f 6170692e 68001100 00616c6c omp_api.h....all 097c0 6f637261 6d5f6170 692e6800 12000074 ocram_api.h....t 097d0 61736b6c 65745f61 70692e68 00130000 asklet_api.h.... 097e0 636c6f63 6b5f6170 692e6800 14000069 clock_api.h....i 097f0 6e74725f 6170692e 68001500 00776474 ntr_api.h....wdt 09800 5f617069 2e680016 00006565 70726f6d _api.h....eeprom 09810 5f617069 2e680017 00007573 625f6170 _api.h....usb_ap 09820 692e6800 18000068 69665f70 63692e68 i.h....hif_pci.h 09830 00180000 6869665f 6170692e 68001800 ....hif_api.h... 09840 00616466 5f6e6275 662e6800 19000061 .adf_nbuf.h....a 09850 64665f6f 735f7574 696c2e68 001a0000 df_os_util.h.... 09860 6164665f 6f735f75 74696c5f 7076742e adf_os_util_pvt. 09870 68001b00 00616466 5f6f735f 74797065 h....adf_os_type 09880 732e6800 1a000061 64665f6f 735f7374 s.h....adf_os_st 09890 64747970 65732e68 001a0000 6164665f dtypes.h....adf_ 098a0 6f735f74 79706573 5f707674 2e68001b os_types_pvt.h.. 098b0 00007374 64646566 2e68001c 00007662 ..stddef.h....vb 098c0 75665f61 70692e68 001d0000 76646573 uf_api.h....vdes 098d0 635f6170 692e6800 1e000073 74646172 c_api.h....stdar 098e0 672e6800 04000076 612d7874 656e7361 g.h....va-xtensa 098f0 2e68001c 00006164 665f6f73 5f646d61 .h....adf_os_dma 09900 2e68001a 00006164 665f6f73 5f646d61 .h....adf_os_dma 09910 5f707674 2e68001b 00006164 665f6e65 _pvt.h....adf_ne 09920 745f7479 7065732e 68001f00 00616466 t_types.h....adf 09930 5f6e6275 665f7076 742e6800 20000064 _nbuf_pvt.h. ..d 09940 6d615f6c 69622e68 00180000 6869665f ma_lib.h....hif_ 09950 676d6163 2e680018 00004d61 67706965 gmac.h....Magpie 09960 5f617069 2e680002 00007573 62666966 _api.h....usbfif 09970 6f5f6170 692e6800 18000068 74635f61 o_api.h....htc_a 09980 70692e68 00210000 6874632e 68002200 pi.h.!..htc.h.". 09990 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. 099a0 23000077 6d695f73 76635f61 70692e68 #..wmi_svc_api.h 099b0 00240000 776d692e 68002200 00617468 .$..wmi.h."..ath 099c0 64656673 2e680022 0000646d 615f656e defs.h."..dma_en 099d0 67696e65 5f617069 2e680025 00000000 gine_api.h.%.... 099e0 0502008e 29cc0323 01030009 00030103 ....)..#........ 099f0 06090003 01030109 00100103 06090002 ................ 09a00 01030009 00030103 0b090003 01030109 ................ 09a10 00080103 03090005 01030109 000b0103 ................ 09a20 10090002 01037309 00020103 02090008 ......s......... 09a30 01030109 000d0103 02090007 01030109 ................ 09a40 000a0103 01090006 01030109 00060103 ................ 09a50 7b090006 01030609 00030103 7a090005 {...........z... 09a60 01030809 00030103 02090002 01030c09 ................ 09a70 00050103 00090003 01030f09 00030103 ................ 09a80 7c090003 01030409 00030103 0109000c |............... 09a90 01037f09 000a0103 0e090004 01037e09 ..............~. 09aa0 00020103 02090002 01030509 00080103 ................ 09ab0 11090004 01036209 00020103 1e090002 ......b......... 09ac0 01030c09 00020103 00090003 01030b09 ................ 09ad0 00030103 02090005 01030909 00050103 ................ 09ae0 00090003 01031809 00050103 0309000f ................ 09af0 01030209 000f0103 01090003 01037f09 ................ 09b00 00030103 01090003 01030409 00080103 ................ 09b10 05090008 01030209 00090103 7009000b ............p... 09b20 01031a09 00020103 78090002 01030809 ........x....... 09b30 00080103 08090005 01030309 00030103 ................ 09b40 04090005 01030009 00030103 0409000c ................ 09b50 01037f09 00020103 7f090002 01037f09 ................ 09b60 00020103 05090002 01090002 00010100 ................ 09b70 000d2e00 0200000c dc0101fb 0e0a0001 ................ 09b80 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor 09b90 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 09ba0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 09bb0 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp 09bc0 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// 09bd0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 09be0 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin 09bf0 672f7372 63002f72 6f6f742f 576f726b g/src./root/Work 09c00 73706163 652f3034 31325f76 3230322f space/0412_v202/ 09c10 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 09c20 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W 09c30 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 09c40 30322f74 67742f74 61726765 742f696e 02/tgt/target/in 09c50 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 09c60 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 09c70 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. 09c80 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 09c90 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 09ca0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 09cb0 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro 09cc0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 09cd0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 09ce0 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ 09cf0 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten 09d00 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 09d10 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 09d20 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 09d30 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e 09d40 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens 09d50 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt 09d60 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 09d70 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 09d80 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 09d90 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 09da0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 09db0 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ 09dc0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 09dd0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 09de0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 09df0 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a 09e00 7263682f 696e636c 7564652f 7874656e rch/include/xten 09e10 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ 09e20 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 09e30 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 09e40 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 09e50 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r 09e60 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 09e70 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 09e80 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 09e90 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. 09ea0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 09eb0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 09ec0 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i 09ed0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 09ee0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 09ef0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 09f00 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ 09f10 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 09f20 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 09f30 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 09f40 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 09f50 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 09f60 73706163 652f3034 31325f76 3230322f space/0412_v202/ 09f70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 09f80 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s 09f90 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ 09fa0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 09fb0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 09fc0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 09fd0 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro 09fe0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 09ff0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0a000 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0a010 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i 0a020 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0a030 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0a040 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0a050 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo 0a060 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W 0a070 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0a080 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0a090 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0a0a0 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r 0a0b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0a0c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0a0d0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 0a0e0 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc 0a0f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0a100 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0a110 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0a120 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i 0a130 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0a140 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0a150 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0a160 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ 0a170 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0a180 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0a190 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0a1a0 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep 0a1b0 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo 0a1c0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0a1d0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0a1e0 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i 0a1f0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0a200 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0a210 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu 0a220 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac 0a230 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0a240 6164662f 696e636c 7564652f 6f73002f adf/include/os./ 0a250 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0a260 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 0a270 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ 0a280 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 0a290 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 0a2a0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 0a2b0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 0a2c0 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl 0a2d0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 0a2e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0a2f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0a300 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 0a310 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0a320 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0a330 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0a340 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 0a350 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0a360 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 0a370 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root 0a380 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0a390 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0a3a0 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad 0a3b0 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root 0a3c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0a3d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0a3e0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 0a3f0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 0a400 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0a410 776c616e 2f696e63 6c756465 002f726f wlan/include./ro 0a420 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0a430 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0a440 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0a450 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro 0a460 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0a470 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 0a480 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ 0a490 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0a4a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0a4b0 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 0a4c0 5f656e67 696e652f 696e6300 00636d6e _engine/inc..cmn 0a4d0 6f735f73 7472696e 672e6300 01000061 os_string.c....a 0a4e0 74686f73 5f617069 2e680002 00006f73 thos_api.h....os 0a4f0 6170692e 68000300 004f5455 535f736f api.h....OTUS_so 0a500 632e6800 04000064 745f6465 66732e68 c.h....dt_defs.h 0a510 00030000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. 0a520 05000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 0a530 726f6d5f 6366672e 68000600 006d6167 rom_cfg.h....mag 0a540 7069655f 6d656d2e 68000200 00636f72 pie_mem.h....cor 0a550 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... 0a560 636f7265 2d697361 2e680009 0000636f core-isa.h....co 0a570 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t 0a580 69652e68 00090000 78747275 6e74696d ie.h....xtruntim 0a590 652e6800 08000073 70656372 65672e68 e.h....specreg.h 0a5a0 00090000 636f7265 62697473 2e680008 ....corebits.h.. 0a5b0 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. 0a5c0 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... 0a5d0 7265675f 64656673 2e680006 00006462 reg_defs.h....db 0a5e0 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a 0a5f0 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api 0a600 2e68000e 00007374 72696e67 5f617069 .h....string_api 0a610 2e68000f 00007469 6d65725f 6170692e .h....timer_api. 0a620 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. 0a630 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 0a640 68001200 00746173 6b6c6574 5f617069 h....tasklet_api 0a650 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. 0a660 68001400 00696e74 725f6170 692e6800 h....intr_api.h. 0a670 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... 0a680 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... 0a690 7573625f 6170692e 68001800 00686966 usb_api.h....hif 0a6a0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap 0a6b0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. 0a6c0 68001900 00616466 5f6f735f 7574696c h....adf_os_util 0a6d0 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti 0a6e0 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o 0a6f0 735f7479 7065732e 68001a00 00616466 s_types.h....adf 0a700 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. 0a710 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 0a720 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h 0a730 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. 0a740 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... 0a750 00737464 6172672e 68000300 0076612d .stdarg.h....va- 0a760 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ 0a770 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ 0a780 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... 0a790 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. 0a7a0 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. 0a7b0 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. 0a7c0 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... 0a7d0 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... 0a7e0 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... 0a7f0 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht 0a800 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ 0a810 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc 0a820 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. 0a830 22000061 74686465 66732e68 00220000 "..athdefs.h.".. 0a840 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h 0a850 00250000 00000502 008e2b24 030c0103 .%........+$.... 0a860 01090003 01030409 00050103 00090003 ................ 0a870 01030609 00120103 7f090002 01037f09 ................ 0a880 00020103 7f090002 01037f09 00020103 ................ 0a890 7f090002 01030609 00020109 00020001 ................ 0a8a0 0100000e 50000200 000cde01 01fb0e0a ....P........... 0a8b0 00010101 01000000 012f726f 6f742f57 ........./root/W 0a8c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0a8d0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0a8e0 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma 0a8f0 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. 0a900 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 0a910 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas 0a920 6b6c6574 2f737263 002f726f 6f742f57 klet/src./root/W 0a930 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0a940 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0a950 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo 0a960 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0a970 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0a980 6d616770 69655f31 5f312f69 6e632f6d magpie_1_1/inc/m 0a990 61677069 65002f72 6f6f742f 576f726b agpie./root/Work 0a9a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0a9b0 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ 0a9c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0a9d0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 0a9e0 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro 0a9f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0aa00 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0aa10 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0aa20 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x 0aa30 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 0aa40 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 0aa50 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 0aa60 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 0aa70 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 0aa80 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt 0aa90 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 0aaa0 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 0aab0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 0aac0 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 0aad0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 0aae0 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten 0aaf0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 0ab00 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 0ab10 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 0ab20 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 0ab30 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x 0ab40 74656e73 612f636f 6e666967 002f726f tensa/config./ro 0ab50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0ab60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0ab70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0ab80 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc 0ab90 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0aba0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0abb0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0abc0 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i 0abd0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0abe0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0abf0 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db 0ac00 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work 0ac10 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0ac20 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0ac30 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 0ac40 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor 0ac50 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0ac60 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0ac70 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 0ac80 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W 0ac90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0aca0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0acb0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0acc0 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro 0acd0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0ace0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0acf0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0ad00 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. 0ad10 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0ad20 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0ad30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0ad40 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc 0ad50 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work 0ad60 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0ad70 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0ad80 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a 0ad90 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo 0ada0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0adb0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0adc0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 0add0 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc 0ade0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0adf0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0ae00 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0ae10 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ 0ae20 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0ae30 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0ae40 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0ae50 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int 0ae60 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work 0ae70 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0ae80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0ae90 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w 0aea0 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor 0aeb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0aec0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0aed0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 0aee0 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root 0aef0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0af00 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0af10 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi 0af20 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 0af30 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0af40 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ 0af50 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works 0af60 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0af70 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o 0af80 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac 0af90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0afa0 74617267 65742f61 64662f6f 732f696e target/adf/os/in 0afb0 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 0afc0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 0afd0 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 0afe0 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 0aff0 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i 0b000 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 0b010 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0b020 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0b030 655f315f 312f726f 6d2f7662 75662f69 e_1_1/rom/vbuf/i 0b040 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0b050 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0b060 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0b070 312f726f 6d2f7664 6573632f 696e6300 1/rom/vdesc/inc. 0b080 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0b090 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad 0b0a0 662f696e 636c7564 652f6e65 74002f72 f/include/net./r 0b0b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0b0c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0b0d0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 0b0e0 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r 0b0f0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0b100 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0b110 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 0b120 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W 0b130 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0b140 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. 0b150 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0b160 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0b170 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0b180 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. 0b190 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0b1a0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 0b1b0 72676574 2f776d69 2f696e63 002f726f rget/wmi/inc./ro 0b1c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0b1d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0b1e0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0b1f0 646d615f 656e6769 6e652f69 6e630000 dma_engine/inc.. 0b200 636d6e6f 735f7461 736b6c65 742e6300 cmnos_tasklet.c. 0b210 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 0b220 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag 0b230 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath 0b240 6f735f61 70692e68 00020000 6f736170 os_api.h....osap 0b250 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. 0b260 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. 0b270 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... 0b280 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h 0b290 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. 0b2a0 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. 0b2b0 09000074 69652e68 00090000 78747275 ...tie.h....xtru 0b2c0 6e74696d 652e6800 08000073 70656372 ntime.h....specr 0b2d0 65672e68 00090000 636f7265 62697473 eg.h....corebits 0b2e0 2e680008 00007072 696e7466 5f617069 .h....printf_api 0b2f0 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h 0b300 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. 0b310 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m 0b320 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc 0b330 5f617069 2e68000e 00007374 72696e67 _api.h....string 0b340 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ 0b350 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap 0b360 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ 0b370 6170692e 68001200 00746173 6b6c6574 api.h....tasklet 0b380 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ 0b390 6170692e 68001400 00696e74 725f6170 api.h....intr_ap 0b3a0 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h 0b3b0 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h 0b3c0 00170000 7573625f 6170692e 68001800 ....usb_api.h... 0b3d0 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi 0b3e0 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n 0b3f0 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ 0b400 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os 0b410 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a 0b420 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... 0b430 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes 0b440 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ 0b450 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd 0b460 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api 0b470 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. 0b480 68001e00 00737464 6172672e 68000400 h....stdarg.h... 0b490 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... 0b4a0 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... 0b4b0 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h 0b4c0 001b0000 6164665f 6e65745f 74797065 ....adf_net_type 0b4d0 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ 0b4e0 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib 0b4f0 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h 0b500 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h 0b510 00020000 75736266 69666f5f 6170692e ....usbfifo_api. 0b520 68001800 00687463 5f617069 2e680021 h....htc_api.h.! 0b530 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p 0b540 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi 0b550 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm 0b560 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h 0b570 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a 0b580 70692e68 00250000 00000502 008e2b50 pi.h.%........+P 0b590 03100103 00090003 01030209 00030103 ................ 0b5a0 00090002 01030109 00020103 04090002 ................ 0b5b0 01030109 00030103 7f090002 01030209 ................ 0b5c0 00020103 01090002 01030109 00020103 ................ 0b5d0 01090002 01030409 00030103 01090003 ................ 0b5e0 01030409 00050103 7c090002 01030509 ........|....... 0b5f0 00030103 7f090002 01030409 00020103 ................ 0b600 03090006 01030409 00050103 04090003 ................ 0b610 01030409 00080103 01090002 01030209 ................ 0b620 00020103 0d090004 01037209 00020103 ..........r..... 0b630 02090005 01030809 00050103 01090002 ................ 0b640 01037409 00020103 05090006 01030309 ..t............. 0b650 00040103 07090002 01030409 00050103 ................ 0b660 00090003 01030309 00030103 7d090002 ............}... 0b670 01030409 00020103 04090004 01030109 ................ 0b680 00020103 7c090002 01030409 00020103 ....|........... 0b690 7c090002 01030109 00020103 03090002 |............... 0b6a0 01030109 00030103 02090002 01037809 ..............x. 0b6b0 00020103 0b090003 01030109 00020103 ................ 0b6c0 04090002 01030009 00030103 0509000f ................ 0b6d0 01037f09 00020103 7f090002 01037f09 ................ 0b6e0 00020103 7f090002 01030509 00020109 ................ 0b6f0 00020001 0100000e 28000200 000cda01 ........(....... 0b700 01fb0e0a 00010101 01000000 012f726f ............./ro 0b710 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0b720 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0b730 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 0b740 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 0b750 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 0b760 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 0b770 2f74696d 65722f73 7263002f 726f6f74 /timer/src./root 0b780 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0b790 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0b7a0 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r 0b7b0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0b7c0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0b7d0 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 0b7e0 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo 0b7f0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0b800 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 0b810 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0b820 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 0b830 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ 0b840 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0b850 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0b860 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0b870 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt 0b880 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 0b890 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 0b8a0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 0b8b0 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 0b8c0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 0b8d0 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o 0b8e0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 0b8f0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 0b900 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 0b910 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 0b920 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 0b930 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt 0b940 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 0b950 696e7374 616c6c2f 6275696c 64732f52 install/builds/R 0b960 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M 0b970 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- 0b980 656c662f 61726368 2f696e63 6c756465 elf/arch/include 0b990 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ 0b9a0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0b9b0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0b9c0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0b9d0 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i 0b9e0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0b9f0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0ba00 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0ba10 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart 0ba20 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0ba30 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0ba40 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ 0ba50 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo 0ba60 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0ba70 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0ba80 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 0ba90 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W 0baa0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0bab0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0bac0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0bad0 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root 0bae0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0baf0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0bb00 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 0bb10 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ 0bb20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0bb30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0bb40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0bb50 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in 0bb60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0bb70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0bb80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0bb90 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa 0bba0 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo 0bbb0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0bbc0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0bbd0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 0bbe0 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r 0bbf0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0bc00 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0bc10 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 0bc20 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i 0bc30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0bc40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0bc50 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0bc60 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc 0bc70 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work 0bc80 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0bc90 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0bca0 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i 0bcb0 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo 0bcc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0bcd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0bce0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 0bcf0 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W 0bd00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0bd10 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0bd20 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0bd30 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro 0bd40 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0bd50 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0bd60 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0bd70 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo 0bd80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0bd90 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 0bda0 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor 0bdb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0bdc0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 0bdd0 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp 0bde0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0bdf0 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ 0be00 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ 0be10 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 0be20 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 0be30 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 0be40 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib 0be50 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 0be60 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0be70 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0be80 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf 0be90 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0bea0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0beb0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 0bec0 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in 0bed0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0bee0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0bef0 6164662f 696e636c 7564652f 6e657400 adf/include/net. 0bf00 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0bf10 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0bf20 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0bf30 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. 0bf40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0bf50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0bf60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0bf70 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root 0bf80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0bf90 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ 0bfa0 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac 0bfb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0bfc0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0bfd0 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in 0bfe0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0bff0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0c000 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ 0c010 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0c020 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0c030 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0c040 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc 0c050 0000636d 6e6f735f 74696d65 722e6300 ..cmnos_timer.c. 0c060 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 0c070 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag 0c080 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath 0c090 6f735f61 70692e68 00020000 6f736170 os_api.h....osap 0c0a0 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. 0c0b0 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. 0c0c0 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... 0c0d0 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h 0c0e0 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. 0c0f0 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. 0c100 09000074 69652e68 00090000 78747275 ...tie.h....xtru 0c110 6e74696d 652e6800 08000073 70656372 ntime.h....specr 0c120 65672e68 00090000 636f7265 62697473 eg.h....corebits 0c130 2e680008 00007072 696e7466 5f617069 .h....printf_api 0c140 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h 0c150 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. 0c160 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m 0c170 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc 0c180 5f617069 2e68000e 00007374 72696e67 _api.h....string 0c190 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ 0c1a0 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap 0c1b0 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ 0c1c0 6170692e 68001200 00746173 6b6c6574 api.h....tasklet 0c1d0 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ 0c1e0 6170692e 68001400 00696e74 725f6170 api.h....intr_ap 0c1f0 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h 0c200 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h 0c210 00170000 7573625f 6170692e 68001800 ....usb_api.h... 0c220 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi 0c230 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n 0c240 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ 0c250 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os 0c260 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a 0c270 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... 0c280 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes 0c290 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ 0c2a0 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd 0c2b0 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api 0c2c0 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. 0c2d0 68001e00 00737464 6172672e 68000400 h....stdarg.h... 0c2e0 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... 0c2f0 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... 0c300 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h 0c310 001b0000 6164665f 6e65745f 74797065 ....adf_net_type 0c320 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ 0c330 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib 0c340 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h 0c350 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h 0c360 00020000 75736266 69666f5f 6170692e ....usbfifo_api. 0c370 68001800 00687463 5f617069 2e680021 h....htc_api.h.! 0c380 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p 0c390 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi 0c3a0 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm 0c3b0 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h 0c3c0 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a 0c3d0 70692e68 00250000 00000502 008e2c04 pi.h.%........,. 0c3e0 03200103 05090003 01037b09 00020103 . ........{..... 0c3f0 06090002 01037d09 00020103 01090002 ......}......... 0c400 01030309 00020103 06090003 01030a09 ................ 0c410 00030103 03090009 01030209 00020103 ................ 0c420 02090009 01030209 00080103 7c090004 ............|... 0c430 01030709 00100103 01090002 01030209 ................ 0c440 00040103 06090002 01030609 00090103 ................ 0c450 00090003 01030509 00030103 0e090002 ................ 0c460 01037409 00020103 02090004 01030309 ..t............. 0c470 00030103 7b090004 01030909 000a0103 ....{........... 0c480 01090004 01030709 00020103 01090002 ................ 0c490 01030109 00020103 05090007 01030009 ................ 0c4a0 00030103 01090003 01030009 00020103 ................ 0c4b0 01090002 01030509 00020103 00090003 ................ 0c4c0 01030409 00050103 05090011 01037e09 ..............~. 0c4d0 00040103 01090004 01030109 00020103 ................ 0c4e0 7b090005 01030709 00030103 05090005 {............... 0c4f0 01030009 00030103 0509000f 01037f09 ................ 0c500 00020103 7f090002 01037f09 00020103 ................ 0c510 7f090002 01030509 00020109 00020001 ................ 0c520 0100000e a2000200 000cd601 01fb0e0a ................ 0c530 00010101 01000000 012f726f 6f742f57 ........./root/W 0c540 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0c550 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0c560 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma 0c570 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. 0c580 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 0c590 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt 0c5a0 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works 0c5b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0c5c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 0c5d0 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo 0c5e0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0c5f0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0c600 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi 0c610 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac 0c620 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0c630 74617267 65742f69 6e63002f 726f6f74 target/inc./root 0c640 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0c650 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 0c660 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W 0c670 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0c680 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0c690 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0c6a0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 0c6b0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 0c6c0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 0c6d0 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 0c6e0 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 0c6f0 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 0c700 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte 0c710 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 0c720 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 0c730 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 0c740 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 0c750 656c662f 696e636c 7564652f 7874656e elf/include/xten 0c760 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X 0c770 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 0c780 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 0c790 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 0c7a0 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 0c7b0 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 0c7c0 612f636f 6e666967 002f726f 6f742f57 a/config./root/W 0c7d0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0c7e0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0c7f0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0c800 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro 0c810 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0c820 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0c830 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0c840 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ 0c850 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0c860 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 0c870 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in 0c880 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0c890 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0c8a0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0c8b0 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i 0c8c0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0c8d0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0c8e0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0c8f0 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 0c900 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0c910 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0c920 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 0c930 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st 0c940 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W 0c950 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0c960 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0c970 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0c980 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo 0c990 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0c9a0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0c9b0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 0c9c0 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in 0c9d0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0c9e0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0c9f0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0ca00 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 0ca10 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo 0ca20 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0ca30 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0ca40 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 0ca50 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro 0ca60 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0ca70 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0ca80 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0ca90 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. 0caa0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0cab0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0cac0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0cad0 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in 0cae0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0caf0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0cb00 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0cb10 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i 0cb20 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0cb30 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0cb40 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0cb50 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 0cb60 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor 0cb70 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0cb80 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0cb90 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in 0cba0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0cbb0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0cbc0 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf 0cbd0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0cbe0 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a 0cbf0 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r 0cc00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0cc10 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 0cc20 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o 0cc30 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 0cc40 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 0cc50 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 0cc60 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l 0cc70 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu 0cc80 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa 0cc90 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0cca0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0ccb0 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ 0ccc0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0ccd0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0cce0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0ccf0 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo 0cd00 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0cd10 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in 0cd20 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ 0cd30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0cd40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0cd50 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf 0cd60 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ 0cd70 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0cd80 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0cd90 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc 0cda0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0cdb0 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w 0cdc0 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo 0cdd0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0cde0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0cdf0 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b 0ce00 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo 0ce10 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0ce20 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 0ce30 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W 0ce40 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0ce50 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0ce60 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 0ce70 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno 0ce80 735f7764 742e6300 01000073 79735f63 s_wdt.c....sys_c 0ce90 66672e68 00020000 726f6d5f 6366672e fg.h....rom_cfg. 0cea0 68000300 006d6167 7069655f 6d656d2e h....magpie_mem. 0ceb0 68000200 00617468 6f735f61 70692e68 h....athos_api.h 0cec0 00020000 6f736170 692e6800 0400004f ....osapi.h....O 0ced0 5455535f 736f632e 68000500 0064745f TUS_soc.h....dt_ 0cee0 64656673 2e680004 0000636d 6e6f735f defs.h....cmnos_ 0cef0 6170692e 68000600 00636f72 652e6800 api.h....core.h. 0cf00 07000068 616c2e68 00080000 636f7265 ...hal.h....core 0cf10 2d697361 2e680009 0000636f 72652d6d -isa.h....core-m 0cf20 61746d61 702e6800 09000074 69652e68 atmap.h....tie.h 0cf30 00090000 78747275 6e74696d 652e6800 ....xtruntime.h. 0cf40 08000073 70656372 65672e68 00090000 ...specreg.h.... 0cf50 636f7265 62697473 2e680008 00007072 corebits.h....pr 0cf60 696e7466 5f617069 2e68000a 00007561 intf_api.h....ua 0cf70 72745f61 70692e68 000b0000 7265675f rt_api.h....reg_ 0cf80 64656673 2e680003 00006462 675f6170 defs.h....dbg_ap 0cf90 692e6800 0c00006d 656d5f61 70692e68 i.h....mem_api.h 0cfa0 000d0000 6d697363 5f617069 2e68000e ....misc_api.h.. 0cfb0 00007374 72696e67 5f617069 2e68000f ..string_api.h.. 0cfc0 00007469 6d65725f 6170692e 68001000 ..timer_api.h... 0cfd0 00726f6d 705f6170 692e6800 11000061 .romp_api.h....a 0cfe0 6c6c6f63 72616d5f 6170692e 68001200 llocram_api.h... 0cff0 00746173 6b6c6574 5f617069 2e680013 .tasklet_api.h.. 0d000 0000636c 6f636b5f 6170692e 68001400 ..clock_api.h... 0d010 00696e74 725f6170 692e6800 15000077 .intr_api.h....w 0d020 64745f61 70692e68 00160000 65657072 dt_api.h....eepr 0d030 6f6d5f61 70692e68 00170000 7573625f om_api.h....usb_ 0d040 6170692e 68001800 00686966 5f706369 api.h....hif_pci 0d050 2e680018 00006869 665f6170 692e6800 .h....hif_api.h. 0d060 18000061 64665f6e 6275662e 68001900 ...adf_nbuf.h... 0d070 00616466 5f6f735f 7574696c 2e68001a .adf_os_util.h.. 0d080 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv 0d090 742e6800 1b000061 64665f6f 735f7479 t.h....adf_os_ty 0d0a0 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ 0d0b0 73746474 79706573 2e68001a 00006164 stdtypes.h....ad 0d0c0 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h 0d0d0 001b0000 73746464 65662e68 001c0000 ....stddef.h.... 0d0e0 76627566 5f617069 2e68001d 00007664 vbuf_api.h....vd 0d0f0 6573635f 6170692e 68001e00 00737464 esc_api.h....std 0d100 6172672e 68000400 0076612d 7874656e arg.h....va-xten 0d110 73612e68 001c0000 6164665f 6f735f64 sa.h....adf_os_d 0d120 6d612e68 001a0000 6164665f 6f735f64 ma.h....adf_os_d 0d130 6d615f70 76742e68 001b0000 6164665f ma_pvt.h....adf_ 0d140 6e65745f 74797065 732e6800 1f000061 net_types.h....a 0d150 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . 0d160 00646d61 5f6c6962 2e680018 00006869 .dma_lib.h....hi 0d170 665f676d 61632e68 00180000 4d616770 f_gmac.h....Magp 0d180 69655f61 70692e68 00020000 75736266 ie_api.h....usbf 0d190 69666f5f 6170692e 68001800 00687463 ifo_api.h....htc 0d1a0 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. 0d1b0 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. 0d1c0 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api 0d1d0 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a 0d1e0 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ 0d1f0 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. 0d200 00000502 008e2ce4 03160103 00090003 ......,......... 0d210 01030909 00060103 0209000c 01030309 ................ 0d220 00060103 7e090002 01037f09 00020103 ....~........... 0d230 03090006 01037c09 00020103 04090002 ......|......... 0d240 01031209 00030103 00090003 01030109 ................ 0d250 00030103 01090008 01030109 00090103 ................ 0d260 09090005 01030009 00030103 11090006 ................ 0d270 01037b09 00060103 02090003 01030109 ..{............. 0d280 00020103 03090006 01037409 00040103 ..........t..... 0d290 01090002 01030b09 00060103 08090002 ................ 0d2a0 01030009 00030103 02090003 01030409 ................ 0d2b0 00020103 7e090002 01030109 00020103 ....~........... 0d2c0 7f090004 01030209 00020103 7c090002 ............|... 0d2d0 01030409 00030103 02090005 01030709 ................ 0d2e0 00040103 00090003 01030709 00030103 ................ 0d2f0 7e090002 01037b09 00020103 06090002 ~.....{......... 0d300 01037f09 00020103 02090002 01037c09 ..............|. 0d310 00020103 04090003 01030309 00050103 ................ 0d320 08090002 01030009 00030103 04090008 ................ 0d330 01030109 00030103 01090002 01030309 ................ 0d340 00020103 04090003 01037e09 00020103 ..........~..... 0d350 01090002 01037f09 00040103 02090002 ................ 0d360 01030109 00070103 08090002 01030009 ................ 0d370 00030103 02090003 01030209 00060103 ................ 0d380 0309000a 01030409 00020103 00090003 ................ 0d390 01030709 00150103 7f090002 01037f09 ................ 0d3a0 00020103 7f090002 01037f09 00020103 ................ 0d3b0 7f090002 01037f09 00020103 07090002 ................ 0d3c0 01090002 00010100 000efc00 0200000c ................ 0d3d0 d60101fb 0e0a0001 01010100 0000012f .............../ 0d3e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0d3f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0d400 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 0d410 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 0d420 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 0d430 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0d440 6f732f75 6172742f 73726300 2f726f6f os/uart/src./roo 0d450 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0d460 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0d470 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ 0d480 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0d490 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0d4a0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 0d4b0 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W 0d4c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0d4d0 30322f74 67742f74 61726765 742f696e 02/tgt/target/in 0d4e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0d4f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0d500 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. 0d510 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0d520 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0d530 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0d540 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op 0d550 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo 0d560 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool 0d570 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 0d580 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt 0d590 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include 0d5a0 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ 0d5b0 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 0d5c0 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 0d5d0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 0d5e0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 0d5f0 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu 0d600 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x 0d610 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 0d620 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ 0d630 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 0d640 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa 0d650 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ 0d660 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 0d670 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0d680 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0d690 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0d6a0 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ 0d6b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0d6c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0d6d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0d6e0 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar 0d6f0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 0d700 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0d710 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos 0d720 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W 0d730 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0d740 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0d750 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0d760 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ 0d770 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0d780 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0d790 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0d7a0 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo 0d7b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0d7c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0d7d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 0d7e0 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. 0d7f0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0d800 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0d810 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0d820 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i 0d830 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0d840 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0d850 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0d860 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp 0d870 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W 0d880 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0d890 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0d8a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0d8b0 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ 0d8c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0d8d0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0d8e0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0d8f0 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ 0d900 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0d910 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0d920 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0d930 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo 0d940 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor 0d950 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0d960 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0d970 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 0d980 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W 0d990 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0d9a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0d9b0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0d9c0 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ 0d9d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0d9e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0d9f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0da00 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r 0da10 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 0da20 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 0da30 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 0da40 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W 0da50 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0da60 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 0da70 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo 0da80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0da90 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 0daa0 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works 0dab0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0dac0 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os 0dad0 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa 0dae0 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst 0daf0 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 0db00 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa 0db10 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li 0db20 622f696e 636c7564 65002f72 6f6f742f b/include./root/ 0db30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0db40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0db50 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu 0db60 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 0db70 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0db80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0db90 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i 0dba0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0dbb0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0dbc0 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net 0dbd0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0dbe0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0dbf0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0dc00 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc 0dc10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0dc20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0dc30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0dc40 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo 0dc50 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0dc60 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu 0dc70 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa 0dc80 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0dc90 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0dca0 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i 0dcb0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0dcc0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0dcd0 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. 0dce0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0dcf0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0dd00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0dd10 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in 0dd20 63000075 6172745f 6170692e 63000100 c..uart_api.c... 0dd30 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro 0dd40 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi 0dd50 655f6d65 6d2e6800 02000061 74686f73 e_mem.h....athos 0dd60 5f617069 2e680002 00006f73 6170692e _api.h....osapi. 0dd70 68000400 004f5455 535f736f 632e6800 h....OTUS_soc.h. 0dd80 05000064 745f6465 66732e68 00040000 ...dt_defs.h.... 0dd90 636d6e6f 735f6170 692e6800 06000063 cmnos_api.h....c 0dda0 6f72652e 68000700 0068616c 2e680008 ore.h....hal.h.. 0ddb0 0000636f 72652d69 73612e68 00090000 ..core-isa.h.... 0ddc0 636f7265 2d6d6174 6d61702e 68000900 core-matmap.h... 0ddd0 00746965 2e680009 00007874 72756e74 .tie.h....xtrunt 0dde0 696d652e 68000800 00737065 63726567 ime.h....specreg 0ddf0 2e680009 0000636f 72656269 74732e68 .h....corebits.h 0de00 00080000 7072696e 74665f61 70692e68 ....printf_api.h 0de10 000a0000 75617274 5f617069 2e68000b ....uart_api.h.. 0de20 00007265 675f6465 66732e68 00030000 ..reg_defs.h.... 0de30 6462675f 6170692e 68000c00 006d656d dbg_api.h....mem 0de40 5f617069 2e68000d 00006d69 73635f61 _api.h....misc_a 0de50 70692e68 000e0000 73747269 6e675f61 pi.h....string_a 0de60 70692e68 000f0000 74696d65 725f6170 pi.h....timer_ap 0de70 692e6800 10000072 6f6d705f 6170692e i.h....romp_api. 0de80 68001100 00616c6c 6f637261 6d5f6170 h....allocram_ap 0de90 692e6800 12000074 61736b6c 65745f61 i.h....tasklet_a 0dea0 70692e68 00130000 636c6f63 6b5f6170 pi.h....clock_ap 0deb0 692e6800 14000069 6e74725f 6170692e i.h....intr_api. 0dec0 68001500 00776474 5f617069 2e680016 h....wdt_api.h.. 0ded0 00006565 70726f6d 5f617069 2e680017 ..eeprom_api.h.. 0dee0 00007573 625f6170 692e6800 18000068 ..usb_api.h....h 0def0 69665f70 63692e68 00180000 6869665f if_pci.h....hif_ 0df00 6170692e 68001800 00616466 5f6e6275 api.h....adf_nbu 0df10 662e6800 19000061 64665f6f 735f7574 f.h....adf_os_ut 0df20 696c2e68 001a0000 6164665f 6f735f75 il.h....adf_os_u 0df30 74696c5f 7076742e 68001b00 00616466 til_pvt.h....adf 0df40 5f6f735f 74797065 732e6800 1a000061 _os_types.h....a 0df50 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h 0df60 001a0000 6164665f 6f735f74 79706573 ....adf_os_types 0df70 5f707674 2e68001b 00007374 64646566 _pvt.h....stddef 0df80 2e68001c 00007662 75665f61 70692e68 .h....vbuf_api.h 0df90 001d0000 76646573 635f6170 692e6800 ....vdesc_api.h. 0dfa0 1e000073 74646172 672e6800 04000076 ...stdarg.h....v 0dfb0 612d7874 656e7361 2e68001c 00006164 a-xtensa.h....ad 0dfc0 665f6f73 5f646d61 2e68001a 00006164 f_os_dma.h....ad 0dfd0 665f6f73 5f646d61 5f707674 2e68001b f_os_dma_pvt.h.. 0dfe0 00006164 665f6e65 745f7479 7065732e ..adf_net_types. 0dff0 68001f00 00616466 5f6e6275 665f7076 h....adf_nbuf_pv 0e000 742e6800 20000064 6d615f6c 69622e68 t.h. ..dma_lib.h 0e010 00180000 6869665f 676d6163 2e680018 ....hif_gmac.h.. 0e020 00004d61 67706965 5f617069 2e680002 ..Magpie_api.h.. 0e030 00007573 62666966 6f5f6170 692e6800 ..usbfifo_api.h. 0e040 18000068 74635f61 70692e68 00210000 ...htc_api.h.!.. 0e050 6874632e 68002200 00627566 5f706f6f htc.h."..buf_poo 0e060 6c5f6170 692e6800 23000077 6d695f73 l_api.h.#..wmi_s 0e070 76635f61 70692e68 00240000 776d692e vc_api.h.$..wmi. 0e080 68002200 00617468 64656673 2e680022 h."..athdefs.h." 0e090 0000646d 615f656e 67696e65 5f617069 ..dma_engine_api 0e0a0 2e680025 00000000 0502008e 2df80318 .h.%........-... 0e0b0 01030009 00030103 05090005 01030409 ................ 0e0c0 00060103 03090008 01037d09 00030103 ..........}..... 0e0d0 03090003 01030409 000c0103 07090006 ................ 0e0e0 01030609 00080103 01090003 01030109 ................ 0e0f0 00030103 08090004 01030209 00030103 ................ 0e100 08090005 01030109 00030103 00090002 ................ 0e110 01030909 00030103 00090003 01031c09 ................ 0e120 00090103 01090006 01030609 00030103 ................ 0e130 0909000c 01030109 00060103 06090003 ................ 0e140 01030709 00020103 00090003 01030709 ................ 0e150 00090103 01090006 01030609 00030103 ................ 0e160 0909000c 01030109 00060103 05090003 ................ 0e170 01030809 00020103 00090003 01030309 ................ 0e180 00030103 08090009 01037a09 00040103 ..........z..... 0e190 01090009 01030509 00020103 09090002 ................ 0e1a0 01031709 00030103 07090005 01030009 ................ 0e1b0 00030103 01090003 01030809 00060103 ................ 0e1c0 00090003 01030309 00030103 03090005 ................ 0e1d0 01030109 00050103 7f090003 01030209 ................ 0e1e0 00030103 7e090002 01030409 00030103 ....~........... 0e1f0 08090005 01030009 00030103 03090006 ................ 0e200 01037e09 00020103 02090003 01030309 ..~............. 0e210 00020103 7b090002 01030209 00060103 ....{........... 0e220 03090006 01030109 00030103 05090002 ................ 0e230 01030709 00050103 00090003 01030409 ................ 0e240 00050103 02090006 01030209 00090103 ................ 0e250 7e090003 01030209 00030103 0109000c ~............... 0e260 01030309 00090103 0109000c 01030809 ................ 0e270 00020103 00090003 01030c09 00030103 ................ 0e280 7d09001a 01030309 00020103 7f090003 }............... 0e290 01037d09 00020103 7f090002 01037f09 ..}............. 0e2a0 00020103 7f090002 01037f09 00020103 ................ 0e2b0 7f090002 01037f09 00020103 0b090002 ................ 0e2c0 01090002 00010100 00115000 0200000c ..........P..... 0e2d0 c00101fb 0e0a0001 01010100 0000012f .............../ 0e2e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0e2f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0e300 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 0e310 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 0e320 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 0e330 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 0e340 2f706369 2f002f72 6f6f742f 576f726b /pci/./root/Work 0e350 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0e360 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0e370 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc 0e380 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0e390 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a 0e3a0 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. 0e3b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0e3c0 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad 0e3d0 662f696e 636c7564 652f6f73 002f726f f/include/os./ro 0e3e0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0e3f0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 0e400 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op 0e410 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo 0e420 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool 0e430 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 0e440 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li 0e450 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ 0e460 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac 0e470 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0e480 74617267 65742f69 6e63002f 726f6f74 target/inc./root 0e490 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0e4a0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 0e4b0 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W 0e4c0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0e4d0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0e4e0 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf 0e4f0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0e500 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0e510 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 0e520 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in 0e530 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0e540 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0e550 6164662f 696e636c 7564652f 6e657400 adf/include/net. 0e560 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0e570 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0e580 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0e590 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. 0e5a0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0e5b0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0e5c0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 0e5d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0e5e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0e5f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0e600 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. 0e610 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0e620 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0e630 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 0e640 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x 0e650 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 0e660 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 0e670 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 0e680 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 0e690 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 0e6a0 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt 0e6b0 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 0e6c0 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 0e6d0 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 0e6e0 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 0e6f0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 0e700 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten 0e710 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 0e720 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 0e730 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 0e740 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 0e750 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x 0e760 74656e73 612f636f 6e666967 002f726f tensa/config./ro 0e770 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0e780 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0e790 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0e7a0 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc 0e7b0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0e7c0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0e7d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0e7e0 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i 0e7f0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0e800 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0e810 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db 0e820 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work 0e830 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0e840 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0e850 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 0e860 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor 0e870 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0e880 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0e890 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 0e8a0 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W 0e8b0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0e8c0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 0e8d0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 0e8e0 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro 0e8f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0e900 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0e910 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0e920 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. 0e930 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0e940 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0e950 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0e960 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc 0e970 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work 0e980 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0e990 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0e9a0 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a 0e9b0 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo 0e9c0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 0e9d0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 0e9e0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 0e9f0 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc 0ea00 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0ea10 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0ea20 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0ea30 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ 0ea40 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0ea50 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0ea60 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0ea70 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int 0ea80 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work 0ea90 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0eaa0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 0eab0 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w 0eac0 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor 0ead0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0eae0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0eaf0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 0eb00 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root 0eb10 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0eb20 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0eb30 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 0eb40 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 0eb50 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0eb60 776c616e 2f696e63 6c756465 002f726f wlan/include./ro 0eb70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0eb80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0eb90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0eba0 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro 0ebb0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0ebc0 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 0ebd0 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ 0ebe0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0ebf0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0ec00 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 0ec10 5f656e67 696e652f 696e6300 00686966 _engine/inc..hif 0ec20 5f706369 2e630001 00006869 665f6170 _pci.c....hif_ap 0ec30 692e6800 02000061 64665f6e 6275662e i.h....adf_nbuf. 0ec40 68000300 00616466 5f6f735f 7574696c h....adf_os_util 0ec50 2e680004 00006164 665f6f73 5f757469 .h....adf_os_uti 0ec60 6c5f7076 742e6800 05000061 64665f6f l_pvt.h....adf_o 0ec70 735f7479 7065732e 68000400 00616466 s_types.h....adf 0ec80 5f6f735f 73746474 79706573 2e680004 _os_stdtypes.h.. 0ec90 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 0eca0 76742e68 00050000 73746464 65662e68 vt.h....stddef.h 0ecb0 00060000 6f736170 692e6800 0700004f ....osapi.h....O 0ecc0 5455535f 736f632e 68000800 00766275 TUS_soc.h....vbu 0ecd0 665f6170 692e6800 09000076 64657363 f_api.h....vdesc 0ece0 5f617069 2e68000a 00007374 64617267 _api.h....stdarg 0ecf0 2e680007 00007661 2d787465 6e73612e .h....va-xtensa. 0ed00 68000600 00616466 5f6f735f 646d612e h....adf_os_dma. 0ed10 68000400 00616466 5f6f735f 646d615f h....adf_os_dma_ 0ed20 7076742e 68000500 00616466 5f6e6574 pvt.h....adf_net 0ed30 5f747970 65732e68 000b0000 6164665f _types.h....adf_ 0ed40 6e627566 5f707674 2e68000c 00004d61 nbuf_pvt.h....Ma 0ed50 67706965 5f617069 2e68000d 0000636d gpie_api.h....cm 0ed60 6e6f735f 6170692e 68000e00 00737973 nos_api.h....sys 0ed70 5f636667 2e68000d 0000726f 6d5f6366 _cfg.h....rom_cf 0ed80 672e6800 0f00006d 61677069 655f6d65 g.h....magpie_me 0ed90 6d2e6800 0d000063 6f72652e 68001000 m.h....core.h... 0eda0 0068616c 2e680011 0000636f 72652d69 .hal.h....core-i 0edb0 73612e68 00120000 636f7265 2d6d6174 sa.h....core-mat 0edc0 6d61702e 68001200 00746965 2e680012 map.h....tie.h.. 0edd0 00007874 72756e74 696d652e 68001100 ..xtruntime.h... 0ede0 00737065 63726567 2e680012 0000636f .specreg.h....co 0edf0 72656269 74732e68 00110000 7072696e rebits.h....prin 0ee00 74665f61 70692e68 00130000 75617274 tf_api.h....uart 0ee10 5f617069 2e680014 00007265 675f6465 _api.h....reg_de 0ee20 66732e68 000f0000 64745f64 6566732e fs.h....dt_defs. 0ee30 68000700 00646267 5f617069 2e680015 h....dbg_api.h.. 0ee40 00006d65 6d5f6170 692e6800 1600006d ..mem_api.h....m 0ee50 6973635f 6170692e 68001700 00737472 isc_api.h....str 0ee60 696e675f 6170692e 68001800 0074696d ing_api.h....tim 0ee70 65725f61 70692e68 00190000 726f6d70 er_api.h....romp 0ee80 5f617069 2e68001a 0000616c 6c6f6372 _api.h....allocr 0ee90 616d5f61 70692e68 001b0000 7461736b am_api.h....task 0eea0 6c65745f 6170692e 68001c00 00636c6f let_api.h....clo 0eeb0 636b5f61 70692e68 001d0000 696e7472 ck_api.h....intr 0eec0 5f617069 2e68001e 00007764 745f6170 _api.h....wdt_ap 0eed0 692e6800 1f000065 6570726f 6d5f6170 i.h....eeprom_ap 0eee0 692e6800 20000075 73625f61 70692e68 i.h. ..usb_api.h 0eef0 00020000 6869665f 7063692e 68000200 ....hif_pci.h... 0ef00 00646d61 5f6c6962 2e680002 00006869 .dma_lib.h....hi 0ef10 665f676d 61632e68 00020000 75736266 f_gmac.h....usbf 0ef20 69666f5f 6170692e 68000200 00687463 ifo_api.h....htc 0ef30 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. 0ef40 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. 0ef50 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api 0ef60 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a 0ef70 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ 0ef80 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. 0ef90 00000502 008e2f94 03300103 01090003 ....../..0...... 0efa0 01030509 00090103 01090003 01030109 ................ 0efb0 00050103 04090004 01030009 00030103 ................ 0efc0 10090002 01037f09 000f0103 7c090003 ............|... 0efd0 01037809 00020103 04090002 01037c09 ..x...........|. 0efe0 00020103 0a090002 01037609 00020103 ..........v..... 0eff0 06090002 01037a09 00020103 12090003 ......z......... 0f000 01030009 00030103 0a090002 01037c09 ..............|. 0f010 00020103 03090002 01030109 00020103 ................ 0f020 79090003 01030b09 00060103 00090003 y............... 0f030 01031009 00020103 7c090009 01030309 ........|....... 0f040 00020103 01090002 01037309 00030103 ..........s..... 0f050 03090006 01037d09 00020103 06090002 ......}......... 0f060 01037a09 00020103 13090003 01030009 ..z............. 0f070 00030103 06090003 01030209 00030103 ................ 0f080 0109000b 01030809 00040103 00090003 ................ 0f090 01030609 00030103 0209000c 01030209 ................ 0f0a0 00080103 03090008 01030609 000c0103 ................ 0f0b0 0109000b 01030109 00100103 02090008 ................ 0f0c0 01030609 000b0103 0109000b 01030109 ................ 0f0d0 00100103 02090008 01030609 000b0103 ................ 0f0e0 01090008 01030109 00100103 02090008 ................ 0f0f0 01030109 00080103 06090002 01030009 ................ 0f100 00030103 01090003 01030109 00060103 ................ 0f110 02090006 01030109 000a0103 0209000a ................ 0f120 01030309 000d0103 0a090005 01030009 ................ 0f130 00030103 01090003 01030109 00060103 ................ 0f140 05090006 01030109 000a0103 0509000a ................ 0f150 01030109 000a0103 0109000a 01030109 ................ 0f160 000a0103 0209000d 01030b09 00030103 ................ 0f170 00090003 01030409 00030103 0209000a ................ 0f180 01030109 00030103 07090009 01037d09 ..............}. 0f190 00020103 0209000a 01037e09 00030103 ..........~..... 0f1a0 02090002 01030109 000b0103 08090004 ................ 0f1b0 01030109 00030103 0a090005 01030009 ................ 0f1c0 00030103 03090003 01030009 00020103 ................ 0f1d0 01090002 01030109 00040103 01090004 ................ 0f1e0 01030a09 00020103 00090003 01030409 ................ 0f1f0 00030103 02090008 01030309 000a0103 ................ 0f200 05090007 01037d09 00020103 03090009 ......}......... 0f210 01030b09 00020103 00090003 01030309 ................ 0f220 00050103 0309000a 01030309 00090103 ................ 0f230 7f090002 01030109 00090103 08090002 ................ 0f240 01030009 00030103 05090009 01037f09 ................ 0f250 000a0103 0409000e 01037f09 000a0103 ................ 0f260 0409000e 01037f09 000a0103 0409000e ................ 0f270 01037f09 000a0103 0709000b 01030109 ................ 0f280 000a0103 04090011 01030109 000a0103 ................ 0f290 0409000c 01030209 00030103 0c090003 ................ 0f2a0 01030009 00030103 03090003 01037d09 ..............}. 0f2b0 00030103 03090002 01030209 00030103 ................ 0f2c0 01090003 01030109 00090103 03090002 ................ 0f2d0 01030b09 00100103 00090003 01030309 ................ 0f2e0 00030103 7d090003 01030309 00020103 ....}........... 0f2f0 02090003 01030309 00030103 01090008 ................ 0f300 01030b09 00030103 01090003 01030109 ................ 0f310 00030103 02090002 01030c09 00080103 ................ 0f320 04090003 01037e09 00030103 7f090003 ......~......... 0f330 01030309 00030103 0b090004 01030109 ................ 0f340 00030103 00090002 01030909 00030103 ................ 0f350 01090003 01030509 00050103 00090003 ................ 0f360 01030109 00020103 01090003 01030109 ................ 0f370 00030103 08090005 01030009 00030103 ................ 0f380 0309001b 01030709 00020103 7f090002 ................ 0f390 01037f09 00020103 7f090002 01037f09 ................ 0f3a0 00020103 7f090002 01037d09 00020103 ..........}..... 0f3b0 02090002 01030809 00050103 7f090008 ................ 0f3c0 01030209 00020103 01090002 01030409 ................ 0f3d0 00050103 00090003 01030909 001b0103 ................ 0f3e0 7f090002 01037f09 00020103 7f090002 ................ 0f3f0 01037f09 00020103 7f090002 01037f09 ................ 0f400 00020103 7f090002 01037f09 00020103 ................ 0f410 09090002 01090002 00010100 00129d00 ................ 0f420 0200000d 160101fb 0e0a0001 01010100 ................ 0f430 0000012f 726f6f74 2f576f72 6b737061 .../root/Workspa 0f440 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0f450 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 0f460 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. 0f470 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil 0f480 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 0f490 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro 0f4a0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0f4b0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0f4c0 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 0f4d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0f4e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0f4f0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 0f500 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ 0f510 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0f520 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 0f530 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 0f540 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 0f550 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 0f560 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0f570 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0f580 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0f590 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o 0f5a0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 0f5b0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 0f5c0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 0f5d0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 0f5e0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 0f5f0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 0f600 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 0f610 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 0f620 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 0f630 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 0f640 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 0f650 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 0f660 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 0f670 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 0f680 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 0f690 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 0f6a0 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 0f6b0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 0f6c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0f6d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0f6e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0f6f0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 0f700 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0f710 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0f720 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 0f730 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 0f740 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 0f750 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0f760 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 0f770 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 0f780 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0f790 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0f7a0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0f7b0 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 0f7c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0f7d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0f7e0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 0f7f0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 0f800 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0f810 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 0f820 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 0f830 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 0f840 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0f850 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0f860 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0f870 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 0f880 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0f890 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0f8a0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0f8b0 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 0f8c0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 0f8d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0f8e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0f8f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0f900 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 0f910 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 0f920 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 0f930 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 0f940 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 0f950 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 0f960 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 0f970 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 0f980 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 0f990 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 0f9a0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 0f9b0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 0f9c0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 0f9d0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 0f9e0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0f9f0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 0fa00 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 0fa10 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 0fa20 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0fa30 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0fa40 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 0fa50 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 0fa60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 0fa70 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 0fa80 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 0fa90 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 0faa0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 0fab0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 0fac0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 0fad0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 0fae0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 0faf0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 0fb00 73706163 652f3034 31325f76 3230322f space/0412_v202/ 0fb10 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 0fb20 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 0fb30 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 0fb40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 0fb50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 0fb60 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 0fb70 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 0fb80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 0fb90 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 0fba0 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 0fbb0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 0fbc0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 0fbd0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 0fbe0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 0fbf0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0fc00 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0fc10 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne 0fc20 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac 0fc30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0fc40 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0fc50 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in 0fc60 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 0fc70 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 0fc80 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 0fc90 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro 0fca0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 0fcb0 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl 0fcc0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 0fcd0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0fce0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 0fcf0 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ 0fd00 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 0fd10 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 0fd20 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc 0fd30 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 0fd40 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 0fd50 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 0fd60 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i 0fd70 6e630000 4849465f 7573622e 63000100 nc..HIF_usb.c... 0fd80 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro 0fd90 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi 0fda0 655f6d65 6d2e6800 02000064 745f6465 e_mem.h....dt_de 0fdb0 66732e68 00040000 7265675f 64656673 fs.h....reg_defs 0fdc0 2e680003 00006f73 6170692e 68000400 .h....osapi.h... 0fdd0 004f5455 535f736f 632e6800 0500004d .OTUS_soc.h....M 0fde0 61677069 655f6170 692e6800 02000063 agpie_api.h....c 0fdf0 6d6e6f73 5f617069 2e680006 0000636f mnos_api.h....co 0fe00 72652e68 00070000 68616c2e 68000800 re.h....hal.h... 0fe10 00636f72 652d6973 612e6800 09000063 .core-isa.h....c 0fe20 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... 0fe30 7469652e 68000900 00787472 756e7469 tie.h....xtrunti 0fe40 6d652e68 00080000 73706563 7265672e me.h....specreg. 0fe50 68000900 00636f72 65626974 732e6800 h....corebits.h. 0fe60 08000070 72696e74 665f6170 692e6800 ...printf_api.h. 0fe70 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... 0fe80 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me 0fe90 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ 0fea0 6170692e 68000e00 00737472 696e675f api.h....string_ 0feb0 6170692e 68000f00 0074696d 65725f61 api.h....timer_a 0fec0 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api 0fed0 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a 0fee0 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ 0fef0 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a 0ff00 70692e68 00140000 696e7472 5f617069 pi.h....intr_api 0ff10 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. 0ff20 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. 0ff30 17000075 73625f61 70692e68 00180000 ...usb_api.h.... 0ff40 6869665f 7063692e 68001800 00686966 hif_pci.h....hif 0ff50 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb 0ff60 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u 0ff70 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ 0ff80 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad 0ff90 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... 0ffa0 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. 0ffb0 68001a00 00616466 5f6f735f 74797065 h....adf_os_type 0ffc0 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde 0ffd0 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. 0ffe0 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h 0fff0 001e0000 73746461 72672e68 00040000 ....stdarg.h.... 10000 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a 10010 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a 10020 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 10030 1b000061 64665f6e 65745f74 79706573 ...adf_net_types 10040 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 10050 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 10060 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. 10070 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 10080 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. 10090 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 100a0 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 100b0 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 100c0 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 100d0 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 100e0 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me 100f0 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me 10100 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o 10110 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os 10120 5f696f5f 7076742e 68001b00 00686966 _io_pvt.h....hif 10130 5f757362 2e680018 00000000 0502008e _usb.h.......... 10140 33b00326 01030009 00030103 03090003 3..&............ 10150 01030209 00020103 7f090002 01030109 ................ 10160 00040103 01090002 01030409 00040103 ................ 10170 0b090003 01030209 00020103 01090009 ................ 10180 01030209 000d0103 7e090003 01030209 ........~....... 10190 00020103 02090009 01030209 000b0103 ................ 101a0 03090004 01030009 00030103 03090003 ................ 101b0 01030109 00020103 7c090002 01030409 ........|....... 101c0 00020103 01090003 01030109 00020103 ................ 101d0 02090005 01030309 00040103 01090002 ................ 101e0 01030409 00040103 00090003 01030509 ................ 101f0 00030103 7b090002 01030509 00020103 ....{........... 10200 02090005 01030509 00050103 00090003 ................ 10210 01030409 000f0103 01090002 01030109 ................ 10220 00020103 02090002 01037f09 00030103 ................ 10230 01090002 01030409 00050103 01090009 ................ 10240 01030309 00090103 04090009 01030309 ................ 10250 000c0103 01090004 01030109 00020103 ................ 10260 02090002 01030409 00030103 02090003 ................ 10270 01030309 00050103 00090003 01030309 ................ 10280 00030103 00090002 01030109 00020103 ................ 10290 01090004 01030209 00040103 05090002 ................ 102a0 01030009 00030103 08090006 01030109 ................ 102b0 000b0103 02090011 01030109 00080103 ................ 102c0 02090008 01030109 000b0103 04090008 ................ 102d0 01030109 000b0103 0309000c 01030109 ................ 102e0 00080103 03090006 01030409 00060103 ................ 102f0 03090005 01030309 00040103 01090003 ................ 10300 01030009 00020103 1a090003 01030009 ................ 10310 00030103 01090005 01030409 00030103 ................ 10320 0d090006 01037709 00020103 01090003 ......w......... 10330 01030809 00060103 7c090002 01030109 ........|....... 10340 00060103 03090005 01030309 00030103 ................ 10350 03090003 01031309 00060103 71090002 ............q... 10360 01030f09 00060103 77090002 01030609 ........w....... 10370 00030103 03090006 01030809 00040103 ................ 10380 00090003 01030609 00050103 21090003 ............!... 10390 01030109 00070103 01090016 01037b09 ..............{. 103a0 00050103 67090002 01030209 00090103 ....g........... 103b0 0209000a 01030209 00030104 3e03f27d ............>..} 103c0 09000a01 0401038e 02090003 01043e03 ..............>. 103d0 f27d0900 02010401 038e0209 00050103 .}.............. 103e0 01090002 01037909 000d0103 16090002 ......y......... 103f0 01037209 00020103 01090004 01030109 ..r............. 10400 00030103 01090003 01030809 00050103 ................ 10410 6d090004 0103b27f 09000d01 03040900 m............... 10420 0601037e 09000201 03040900 0401037d ...~...........} 10430 09000201 03010900 02010305 09000401 ................ 10440 03010900 02010375 09000201 03e80009 .......u........ 10450 00030103 24090005 01030009 00030103 ....$........... 10460 01090003 01036b09 00030103 02090002 ......k......... 10470 01030109 00060103 7f090002 01030109 ................ 10480 00030103 02090008 01037e09 00030103 ..........~..... 10490 02090002 01030309 00090103 04090002 ................ 104a0 01037d09 00040103 07090002 01037d09 ..}...........}. 104b0 00030103 03090002 01030609 00030103 ................ 104c0 02090003 01030409 000e0103 04090008 ................ 104d0 01036c09 00040103 19090007 01030309 ..l............. 104e0 00030103 01090006 01030309 00040103 ................ 104f0 08090004 01037c09 00020103 01090008 ......|......... 10500 01030109 00080103 02090005 01030309 ................ 10510 00040103 03090003 01030309 00050103 ................ 10520 02090003 01030309 00050103 02090003 ................ 10530 01030309 00050103 01090003 01030109 ................ 10540 00050103 02090002 01030509 00060103 ................ 10550 08090003 01037c09 00060103 03090002 ......|......... 10560 0103f400 09000901 03000900 03010307 ................ 10570 09000301 03070900 0f010354 09000c01 ...........T.... 10580 03010900 0a010331 09000901 03650900 .......1.....e.. 10590 11010301 09000a01 03290900 09010302 .........)...... 105a0 09000e01 030f0900 030103fd 7e09000a ............~... 105b0 01030109 00080103 84010900 07010305 ................ 105c0 09000701 03917f09 000a0103 0109000a ................ 105d0 0103f000 09000901 03040900 02010307 ................ 105e0 09000301 03030900 05010302 09000301 ................ 105f0 037f0900 02010301 09000501 03010900 ................ 10600 03010305 09000301 03000900 03010303 ................ 10610 09001b01 03070900 0201037f 09000201 ................ 10620 037f0900 0201037f 09000201 037f0900 ................ 10630 0201037f 09000201 037d0900 02010302 .........}...... 10640 09000201 03080900 0501037f 09000801 ................ 10650 03020900 02010304 09000201 03030900 ................ 10660 05010300 09000301 03020900 06010301 ................ 10670 09001101 03020900 0c010304 09000901 ................ 10680 03010900 11010302 09000b01 03030900 ................ 10690 09010301 09000e01 03010900 0c010302 ................ 106a0 09000901 03010900 0e010301 09000c01 ................ 106b0 03010900 09010900 02000101 00001cc9 ................ 106c0 00020000 0d640101 fb0e0a00 01010101 .....d.......... 106d0 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp 106e0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 106f0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 10700 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ 10710 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui 10720 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 10730 6d2f6869 662f7573 622f7372 63002f72 m/hif/usb/src./r 10740 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 10750 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 10760 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 10770 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro 10780 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 10790 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 107a0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 107b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 107c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 107d0 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. 107e0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 107f0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 10800 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 10810 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 10820 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 10830 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 10840 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 10850 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 10860 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 10870 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o 10880 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 10890 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 108a0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 108b0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 108c0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 108d0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 108e0 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 108f0 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 10900 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 10910 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 10920 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 10930 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 10940 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 10950 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 10960 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 10970 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 10980 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 10990 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 109a0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 109b0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 109c0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 109d0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 109e0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 109f0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 10a00 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 10a10 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 10a20 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 10a30 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 10a40 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 10a50 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 10a60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10a70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 10a80 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 10a90 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 10aa0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 10ab0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 10ac0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 10ad0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 10ae0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 10af0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 10b00 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 10b10 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 10b20 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 10b30 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 10b40 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 10b50 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 10b60 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 10b70 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 10b80 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 10b90 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 10ba0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 10bb0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10bc0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 10bd0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 10be0 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 10bf0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 10c00 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 10c10 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 10c20 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 10c30 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 10c40 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 10c50 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 10c60 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 10c70 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 10c80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 10c90 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 10ca0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 10cb0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 10cc0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10cd0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 10ce0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 10cf0 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 10d00 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 10d10 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 10d20 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 10d30 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 10d40 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 10d50 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 10d60 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 10d70 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 10d80 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10d90 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 10da0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 10db0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 10dc0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 10dd0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 10de0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 10df0 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 10e00 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 10e10 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 10e20 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 10e30 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 10e40 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 10e50 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 10e60 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 10e70 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 10e80 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 10e90 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 10ea0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 10eb0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 10ec0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 10ed0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 10ee0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 10ef0 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne 10f00 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac 10f10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 10f20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 10f30 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in 10f40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 10f50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 10f60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 10f70 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro 10f80 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 10f90 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl 10fa0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 10fb0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 10fc0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 10fd0 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ 10fe0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 10ff0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 11000 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc 11010 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 11020 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 11030 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 11040 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i 11050 6e630000 7573625f 6170692e 63000100 nc..usb_api.c... 11060 00757362 5f646566 732e6800 02000075 .usb_defs.h....u 11070 73625f74 61626c65 2e680002 00006474 sb_table.h....dt 11080 5f646566 732e6800 03000072 65675f64 _defs.h....reg_d 11090 6566732e 68000400 00757362 5f747970 efs.h....usb_typ 110a0 652e6800 02000075 73625f70 72652e68 e.h....usb_pre.h 110b0 00020000 7573625f 65787472 2e680002 ....usb_extr.h.. 110c0 00007573 625f7374 642e6800 02000061 ..usb_std.h....a 110d0 74686f73 5f617069 2e680005 00006f73 thos_api.h....os 110e0 6170692e 68000300 004f5455 535f736f api.h....OTUS_so 110f0 632e6800 06000063 6d6e6f73 5f617069 c.h....cmnos_api 11100 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. 11110 05000072 6f6d5f63 66672e68 00040000 ...rom_cfg.h.... 11120 6d616770 69655f6d 656d2e68 00050000 magpie_mem.h.... 11130 636f7265 2e680008 00006861 6c2e6800 core.h....hal.h. 11140 09000063 6f72652d 6973612e 68000a00 ...core-isa.h... 11150 00636f72 652d6d61 746d6170 2e68000a .core-matmap.h.. 11160 00007469 652e6800 0a000078 7472756e ..tie.h....xtrun 11170 74696d65 2e680009 00007370 65637265 time.h....specre 11180 672e6800 0a000063 6f726562 6974732e g.h....corebits. 11190 68000900 00707269 6e74665f 6170692e h....printf_api. 111a0 68000b00 00756172 745f6170 692e6800 h....uart_api.h. 111b0 0c000064 62675f61 70692e68 000d0000 ...dbg_api.h.... 111c0 6d656d5f 6170692e 68000e00 006d6973 mem_api.h....mis 111d0 635f6170 692e6800 0f000073 7472696e c_api.h....strin 111e0 675f6170 692e6800 10000074 696d6572 g_api.h....timer 111f0 5f617069 2e680011 0000726f 6d705f61 _api.h....romp_a 11200 70692e68 00120000 616c6c6f 6372616d pi.h....allocram 11210 5f617069 2e680013 00007461 736b6c65 _api.h....taskle 11220 745f6170 692e6800 14000063 6c6f636b t_api.h....clock 11230 5f617069 2e680015 0000696e 74725f61 _api.h....intr_a 11240 70692e68 00160000 7764745f 6170692e pi.h....wdt_api. 11250 68001700 00656570 726f6d5f 6170692e h....eeprom_api. 11260 68001800 00757362 5f617069 2e680019 h....usb_api.h.. 11270 00006869 665f7063 692e6800 19000068 ..hif_pci.h....h 11280 69665f61 70692e68 00190000 6164665f if_api.h....adf_ 11290 6e627566 2e68001a 00006164 665f6f73 nbuf.h....adf_os 112a0 5f757469 6c2e6800 1b000061 64665f6f _util.h....adf_o 112b0 735f7574 696c5f70 76742e68 001c0000 s_util_pvt.h.... 112c0 6164665f 6f735f74 79706573 2e68001b adf_os_types.h.. 112d0 00006164 665f6f73 5f737464 74797065 ..adf_os_stdtype 112e0 732e6800 1b000061 64665f6f 735f7479 s.h....adf_os_ty 112f0 7065735f 7076742e 68001c00 00737464 pes_pvt.h....std 11300 6465662e 68001d00 00766275 665f6170 def.h....vbuf_ap 11310 692e6800 1e000076 64657363 5f617069 i.h....vdesc_api 11320 2e68001f 00007374 64617267 2e680003 .h....stdarg.h.. 11330 00007661 2d787465 6e73612e 68001d00 ..va-xtensa.h... 11340 00616466 5f6f735f 646d612e 68001b00 .adf_os_dma.h... 11350 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. 11360 68001c00 00616466 5f6e6574 5f747970 h....adf_net_typ 11370 65732e68 00200000 6164665f 6e627566 es.h. ..adf_nbuf 11380 5f707674 2e680021 0000646d 615f6c69 _pvt.h.!..dma_li 11390 622e6800 19000068 69665f67 6d61632e b.h....hif_gmac. 113a0 68001900 004d6167 7069655f 6170692e h....Magpie_api. 113b0 68000500 00757362 6669666f 5f617069 h....usbfifo_api 113c0 2e680019 00006874 635f6170 692e6800 .h....htc_api.h. 113d0 22000068 74632e68 00230000 6275665f "..htc.h.#..buf_ 113e0 706f6f6c 5f617069 2e680024 0000776d pool_api.h.$..wm 113f0 695f7376 635f6170 692e6800 25000077 i_svc_api.h.%..w 11400 6d692e68 00230000 61746864 6566732e mi.h.#..athdefs. 11410 68002300 00646d61 5f656e67 696e655f h.#..dma_engine_ 11420 6170692e 68002600 00000005 02008e38 api.h.&........8 11430 8403be02 01030009 00030103 0c090003 ................ 11440 01037d09 00050103 03090002 01037d09 ..}...........}. 11450 00030103 7b09000c 01030a09 00060103 ....{........... 11460 01090004 01030b09 00020103 00090003 ................ 11470 01030a09 00030103 7b090008 01030709 ........{....... 11480 00060103 0409000c 01030409 00040103 ................ 11490 04090003 01030209 00110103 0109001e ................ 114a0 01030109 000f0103 01090005 01030209 ................ 114b0 00070103 0109001e 01030109 000f0103 ................ 114c0 01090005 01030b09 00050103 00090003 ................ 114d0 01030309 00060103 7d090003 01030309 ........}....... 114e0 00020103 05090009 01037b09 00020103 ..........{..... 114f0 05090003 01030109 00060103 04090006 ................ 11500 01030209 00060103 01090004 01030b09 ................ 11510 00020103 00090003 01030109 00030103 ................ 11520 03090008 01037e09 00060103 08090002 ......~......... 11530 01037e09 00020103 7f090002 01030109 ..~............. 11540 00050103 02090002 01031b09 00030103 ................ 11550 00090003 0103f100 09000501 03987f09 ................ 11560 00060103 e8000900 0501037b 09000601 ...........{.... 11570 039d7f09 00150103 e7000900 0301039f ................ 11580 7f090002 01030709 00020103 0209000f ................ 11590 0103de00 09000401 037d0900 02010301 .........}...... 115a0 09000301 039b7f09 00030103 18090005 ................ 115b0 01037f09 00020103 0109000c 01030109 ................ 115c0 00020103 07090005 01030109 00080103 ................ 115d0 07090006 01030b09 00060103 0809000c ................ 115e0 01030209 00080103 0309000a 01030109 ................ 115f0 000b0103 05090005 01030109 000b0103 ................ 11600 04090006 01030909 00060103 05090008 ................ 11610 01031a09 00050103 00090003 01030409 ................ 11620 00030103 01090009 01030809 00020103 ................ 11630 7d090002 01030209 00060103 01090004 }............... 11640 01030d09 00030103 00090003 0103d400 ................ 11650 09000301 03780900 18010301 09000201 .....x.......... 11660 03090900 06010304 09000301 037c0900 .............|.. 11670 03010304 09000601 03010900 03010346 ...............F 11680 09000401 03320900 05010308 09000201 .....2.......... 11690 03b57f09 00020103 01090005 01032d09 ..............-. 116a0 00060103 7b090010 01030609 00050103 ....{........... 116b0 05090009 01030109 00020103 56090006 ............V... 116c0 01030109 00040103 0e090006 01030509 ................ 116d0 00060103 05090006 01033309 00070103 ..........3..... 116e0 00090003 01030609 00080103 01090006 ................ 116f0 01030409 00090103 02090008 01030209 ................ 11700 00020103 0f090004 01030009 00030103 ................ 11710 05090006 01030509 00030103 7d090007 ............}... 11720 01030309 00050103 04090012 01030209 ................ 11730 00090103 01090003 01032609 00020103 ..........&..... 11740 67090002 01030309 00030103 01090003 g............... 11750 01030409 00030103 06090011 01030309 ................ 11760 00120103 02090003 01030109 000f0103 ................ 11770 0409000f 01030109 00040103 5c090002 ............\... 11780 01030109 00030103 04090003 01032b09 ..............+. 11790 00150103 00090003 01030309 00030103 ................ 117a0 17090009 01036a09 00030103 7f090002 ......j......... 117b0 01031709 00030103 73090003 01031a09 ........s....... 117c0 00090103 77090002 01030109 00080103 ....w........... 117d0 04090009 01030209 00080103 01090003 ................ 117e0 01030109 00020103 0e090005 01030009 ................ 117f0 00030103 05090003 01032a09 00090103 ..........*..... 11800 7e090002 01035809 00030103 09090005 ~.....X......... 11810 01030209 00080103 7e090005 01030209 ........~....... 11820 00030103 01090003 01030109 00060103 ................ 11830 02090009 01030309 00030103 18090012 ................ 11840 01036d09 00020103 03090003 01030309 ..m............. 11850 00110103 01090003 01030209 00040103 ................ 11860 0109000f 01030909 000f0103 0b090005 ................ 11870 01030009 00030103 05090006 01037b09 ..............{. 11880 00050103 0a090002 01037b09 00020103 ..........{..... 11890 05090008 01037b09 00030103 0b090003 ......{......... 118a0 01037a09 00030103 06090003 01037a09 ..z...........z. 118b0 00020103 7b090006 01031309 00060103 ....{........... 118c0 7f090003 01030109 00070103 05090004 ................ 118d0 01037309 000b0103 13090002 01036d09 ..s...........m. 118e0 00030103 13090002 01030709 00030103 ................ 118f0 01090002 01037f09 00030103 01090003 ................ 11900 01030409 00040103 01090008 01030209 ................ 11910 000e0103 02090003 01030109 00060103 ................ 11920 0209000e 01030209 00030103 01090006 ................ 11930 01030409 000b0103 0709000a 01037f09 ................ 11940 00020103 03090004 01030a09 00040103 ................ 11950 00090003 01030309 00030103 7d090002 ............}... 11960 01030309 00020103 05090003 01030509 ................ 11970 00020103 76090002 01030509 000b0103 ....v........... 11980 0709000c 01030409 00100103 0c090006 ................ 11990 01037909 00020103 01090003 01030109 ..y............. 119a0 00030103 01090003 01037f09 00060103 ................ 119b0 7f090003 01030409 00030103 02090002 ................ 119c0 01037c09 00030103 02090002 01037209 ..|...........r. 119d0 00050103 1209000a 01030709 00070103 ................ 119e0 7f090002 01030109 00040103 02090002 ................ 119f0 01030a09 00020103 00090003 01030709 ................ 11a00 00090103 0209000e 01030209 000c0103 ................ 11a10 04090003 01037f09 00030103 7f090003 ................ 11a20 01030109 00060103 7e090003 01030309 ........~....... 11a30 00060103 10090003 01037809 00050103 ..........x..... 11a40 7f090003 01037f09 00090103 7f090003 ................ 11a50 01031609 00070103 00090003 01038901 ................ 11a60 09000301 03010900 240103fa 7e090002 ........$...~... 11a70 01038301 09000c01 03010900 060103fc ................ 11a80 7e090002 01030309 00020103 7d090008 ~...........}... 11a90 01030609 00020103 7a090008 01030909 ........z....... 11aa0 00020103 77090008 01030c09 00020103 ....w........... 11ab0 74090008 01031509 00020103 6b090008 t...........k... 11ac0 0103fc00 09000201 03847f09 00080103 ................ 11ad0 1d090002 01030509 00060103 01090011 ................ 11ae0 01030309 00060103 04090006 01030709 ................ 11af0 00050103 07090011 01030109 00110103 ................ 11b00 0309000f 01030409 00120103 40090012 ............@... 11b10 01038b01 09000501 03000900 03010309 ................ 11b20 09000c01 033a0900 03010346 09000501 .....:.....F.... 11b30 033a0900 03010354 09000801 03010900 .:.....T........ 11b40 0601037f 09000301 03010900 03010302 ................ 11b50 09000501 03020900 11010301 09000b01 ................ 11b60 03020900 07010302 09000f01 03010900 ................ 11b70 08010301 09000601 03010900 06010320 ............... 11b80 09000601 034c0900 0501037f 09000301 .....L.......... 11b90 037f0900 03010301 09000601 03010900 ................ 11ba0 05010302 09000301 03320900 04010366 .........2.....f 11bb0 09000201 03010900 02010301 09000601 ................ 11bc0 03010900 06010301 09000601 03020900 ................ 11bd0 06010302 09000c01 03010900 0f010308 ................ 11be0 09001701 03090900 0601030f 09000401 ................ 11bf0 03000900 03010308 09000301 03060900 ................ 11c00 0e01037c 09000301 03040900 05010302 ...|............ 11c10 09000601 03020900 0f010305 09000601 ................ 11c20 037b0900 05010302 09000301 03030900 .{.............. 11c30 03010302 09000601 03010900 0601037f ................ 11c40 09000201 03010900 06010304 09000301 ................ 11c50 03030900 0301037d 09000401 03050900 .......}........ 11c60 09010301 09000c01 03150900 08010303 ................ 11c70 09000201 03020900 0a010301 09000c01 ................ 11c80 03040900 05010303 09000301 037d0900 .............}.. 11c90 06010305 09000901 03010900 0c010314 ................ 11ca0 09000501 03030900 06010306 09000b01 ................ 11cb0 03020900 0b010303 09000501 037f0900 ................ 11cc0 03010302 09000301 037e0900 06010301 .........~...... 11cd0 09000301 037f0900 06010304 09000301 ................ 11ce0 03010900 0b010303 09001801 03020900 ................ 11cf0 0b010301 09001801 03030900 18010303 ................ 11d00 09000301 03110900 0d010374 09000201 ...........t.... 11d10 03030900 03010309 09000601 03070900 ................ 11d20 09010300 09000301 03020900 0201037e ...............~ 11d30 09000601 03020900 03010304 09000f01 ................ 11d40 03010900 06010301 09000601 03030900 ................ 11d50 06010301 09000601 03070900 06010301 ................ 11d60 09000801 03030900 06010301 09000801 ................ 11d70 03030900 08010303 09000601 03030900 ................ 11d80 06010302 09000801 03010900 06010301 ................ 11d90 09000601 03040900 06010304 09000501 ................ 11da0 03000900 03010301 09000301 03000900 ................ 11db0 03010301 09000f01 03030900 04010300 ................ 11dc0 09000301 03010900 03010300 09000201 ................ 11dd0 03010900 02010301 09000401 03010900 ................ 11de0 04010301 09000401 03030900 02010300 ................ 11df0 09000301 030a0900 03010302 09000801 ................ 11e00 03010900 03010305 09000701 03040900 ................ 11e10 06010301 09000801 03020900 08010304 ................ 11e20 09000701 03010900 06010301 09000501 ................ 11e30 037c0900 02010312 09000201 036e0900 .|...........n.. 11e40 0201030e 09000201 037e0900 0301037f .........~...... 11e50 09000301 03020900 02010302 09000301 ................ 11e60 03010900 02010302 09000301 03110900 ................ 11e70 03010378 09000501 03080900 06010301 ...x............ 11e80 09000201 03000900 0301030b 09000301 ................ 11e90 03010900 05010304 09000301 03010900 ................ 11ea0 0201037f 09000301 030d0900 08010373 ...............s 11eb0 09000301 03100900 06010301 09000b01 ................ 11ec0 037c0900 02010309 09000201 030d0900 .|.............. 11ed0 05010378 09000901 03060900 0b010304 ...x............ 11ee0 09000801 03040900 0b010302 09000b01 ................ 11ef0 03040900 1101030f 09000501 03490900 .............I.. 11f00 05010337 09001201 03600900 02010321 ...7.....`.....! 11f10 09000b01 03000900 03010301 09000301 ................ 11f20 03020900 0901030a 09000501 03000900 ................ 11f30 03010301 09000301 030b0900 0c010302 ................ 11f40 09000e01 037e0900 06010302 09000301 .....~.......... 11f50 03020900 06010303 09000f01 03030900 ................ 11f60 12010303 09000f01 03040900 11010304 ................ 11f70 09000401 03000900 03010303 09000301 ................ 11f80 03000900 09010303 09000f01 03030900 ................ 11f90 0b010302 09000601 03010900 11010303 ................ 11fa0 09000401 03040900 03010301 09000301 ................ 11fb0 03030900 02010300 09000301 03050900 ................ 11fc0 06010302 09000601 03020900 03010301 ................ 11fd0 09000601 03030900 0e010302 09000301 ................ 11fe0 03030900 06010302 09000301 03030900 ................ 11ff0 0f010303 09000301 03020900 06010303 ................ 12000 09000301 03020900 06010303 09000301 ................ 12010 03030900 06010302 09000501 03030900 ................ 12020 0b010303 09000301 03020900 08010303 ................ 12030 09000201 03030900 03010304 09000601 ................ 12040 037e0900 03010302 09000301 03020900 .~.............. 12050 12010302 09000301 03020900 12010302 ................ 12060 09000301 03040900 12010304 09000401 ................ 12070 03000900 03010305 09000601 03080900 ................ 12080 06010302 09000301 03010900 06010301 ................ 12090 09000301 03030900 06010303 09000301 ................ 120a0 03010900 06010301 09000301 03030900 ................ 120b0 0b010303 09000301 03030900 06010303 ................ 120c0 09000301 03010900 0f010303 09000901 ................ 120d0 03030900 03010302 09000601 03030900 ................ 120e0 03010302 09000601 03030900 03010304 ................ 120f0 09000601 03020900 03010303 09000a01 ................ 12100 03020900 03010303 09000801 03030900 ................ 12110 05010303 09000b01 03030900 03010302 ................ 12120 09000801 03030900 02010303 09000601 ................ 12130 030f0900 06010302 09000301 03020900 ................ 12140 1201037e 09000601 03020900 03010303 ...~............ 12150 09000301 03080900 03010301 09001201 ................ 12160 03040900 0f010306 09000301 037a0900 .............z.. 12170 03010306 09000301 03030900 03010305 ................ 12180 09000601 03040900 06010302 09000301 ................ 12190 03010900 12010304 09000901 038b0109 ................ 121a0 00050103 03090003 01037d09 00050103 ..........}..... 121b0 03090006 01030209 000f0103 7e090003 ............~... 121c0 01030209 00030103 04090008 01030909 ................ 121d0 00030103 0109000f 01030209 00190103 ................ 121e0 02090003 01030409 00210103 0a090074 .........!.....t 121f0 01030309 00140103 04090002 01030009 ................ 12200 00030103 05090003 01030809 00080103 ................ 12210 78090005 01030809 00080103 1109000f x............... 12220 01036f09 00030103 05090003 01030109 ..o............. 12230 00030103 04090003 01030709 00030103 ................ 12240 1b090003 01030709 000c0103 06090009 ................ 12250 01030109 00110103 7f090006 01030109 ................ 12260 00030103 0c090006 01030609 00030103 ................ 12270 01090011 01037f09 00030103 01090003 ................ 12280 01030209 00030103 02090014 01030709 ................ 12290 00060103 03090009 01030209 00120103 ................ 122a0 7c090002 01030409 00120103 06090005 |............... 122b0 01030009 00030103 03090006 01037f09 ................ 122c0 00020103 02090002 01030409 00030103 ................ 122d0 00090003 01030b09 001b0103 7f090002 ................ 122e0 01037e09 00020103 7f090003 01037f09 ..~............. 122f0 00030103 7e090002 01037f09 00020103 ....~........... 12300 7e090002 01030109 00020103 16090005 ~............... 12310 01037e09 001b0103 7f090003 01037f09 ..~............. 12320 00020103 7f090002 01037e09 00020103 ..........~..... 12330 7f090002 01037d09 00020103 01090002 ......}......... 12340 01031609 00050103 7f09001a 01037e09 ..............~. 12350 00020103 7f090003 01037e09 00030103 ..........~..... 12360 7f090003 01037e09 00030103 7e090003 ......~.....~... 12370 01030109 00030103 0c090006 01030109 ................ 12380 00030109 00020001 01000001 b8000200 ................ 12390 0001b201 01fb0e0a 00010101 01000000 ................ 123a0 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace 123b0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 123c0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 123d0 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ 123e0 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ 123f0 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 12400 69662f75 73622f73 7263002f 726f6f74 if/usb/src./root 12410 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 12420 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 12430 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi 12440 662f7573 622f7372 63002f72 6f6f742f f/usb/src./root/ 12450 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 12460 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 12470 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12480 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12490 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 124a0 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work 124b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 124c0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 124d0 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. 124e0 00757362 5f746162 6c652e63 00010000 .usb_table.c.... 124f0 7573625f 74797065 2e680002 00006474 usb_type.h....dt 12500 5f646566 732e6800 03000075 73625f74 _defs.h....usb_t 12510 61626c65 2e680002 00007379 735f6366 able.h....sys_cf 12520 672e6800 04000072 6f6d5f63 66672e68 g.h....rom_cfg.h 12530 00050000 6d616770 69655f6d 656d2e68 ....magpie_mem.h 12540 00040000 00000010 25000200 000d6501 ........%.....e. 12550 01fb0e0a 00010101 01000000 012f726f ............./ro 12560 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12570 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 12580 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 12590 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 125a0 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 125b0 69655f31 5f312f72 6f6d2f68 69662f75 ie_1_1/rom/hif/u 125c0 73622f73 7263002f 726f6f74 2f576f72 sb/src./root/Wor 125d0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 125e0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 125f0 655f315f 312f726f 6d2f6869 662f7573 e_1_1/rom/hif/us 12600 622f7372 63002f72 6f6f742f 576f726b b/src./root/Work 12610 73706163 652f3034 31325f76 3230322f space/0412_v202/ 12620 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ 12630 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 12640 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 12650 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 12660 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W 12670 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 12680 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 12690 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo 126a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 126b0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 126c0 2f696e63 2f4f5455 53002f72 6f6f742f /inc/OTUS./root/ 126d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 126e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 126f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 12700 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten 12710 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 12720 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 12730 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 12740 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e 12750 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens 12760 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt 12770 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 12780 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 12790 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 127a0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 127b0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 127c0 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ 127d0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 127e0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 127f0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 12800 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a 12810 7263682f 696e636c 7564652f 7874656e rch/include/xten 12820 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ 12830 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 12840 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 12850 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 12860 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r 12870 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 12880 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 12890 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 128a0 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. 128b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 128c0 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 128d0 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i 128e0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 128f0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12900 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 12910 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ 12920 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 12930 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 12940 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 12950 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 12960 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 12970 73706163 652f3034 31325f76 3230322f space/0412_v202/ 12980 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 12990 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s 129a0 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ 129b0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 129c0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 129d0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 129e0 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro 129f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12a00 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 12a10 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 12a20 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i 12a30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12a40 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12a50 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 12a60 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo 12a70 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W 12a80 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 12a90 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 12aa0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 12ab0 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r 12ac0 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 12ad0 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 12ae0 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 12af0 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc 12b00 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 12b10 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 12b20 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 12b30 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i 12b40 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12b50 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12b60 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 12b70 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ 12b80 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 12b90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 12ba0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 12bb0 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep 12bc0 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo 12bd0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 12be0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 12bf0 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i 12c00 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12c10 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12c20 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu 12c30 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac 12c40 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 12c50 6164662f 696e636c 7564652f 6f73002f adf/include/os./ 12c60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 12c70 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 12c80 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ 12c90 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 12ca0 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 12cb0 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 12cc0 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 12cd0 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl 12ce0 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 12cf0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 12d00 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 12d10 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 12d20 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 12d30 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 12d40 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 12d50 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 12d60 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12d70 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 12d80 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root 12d90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 12da0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 12db0 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad 12dc0 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root 12dd0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 12de0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 12df0 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 12e00 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 12e10 73706163 652f3034 31325f76 3230322f space/0412_v202/ 12e20 776c616e 2f696e63 6c756465 002f726f wlan/include./ro 12e30 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12e40 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 12e50 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 12e60 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro 12e70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12e80 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 12e90 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ 12ea0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 12eb0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 12ec0 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 12ed0 5f656e67 696e652f 696e6300 00757362 _engine/inc..usb 12ee0 5f666966 6f2e6300 01000075 73625f64 _fifo.c....usb_d 12ef0 6566732e 68000200 00757362 5f746162 efs.h....usb_tab 12f00 6c652e68 00020000 64745f64 6566732e le.h....dt_defs. 12f10 68000300 00726567 5f646566 732e6800 h....reg_defs.h. 12f20 04000075 73625f74 7970652e 68000200 ...usb_type.h... 12f30 00757362 5f707265 2e680002 00007573 .usb_pre.h....us 12f40 625f6578 74722e68 00020000 7573625f b_extr.h....usb_ 12f50 7374642e 68000200 00737973 5f636667 std.h....sys_cfg 12f60 2e680005 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. 12f70 0400006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. 12f80 05000061 74686f73 5f617069 2e680005 ...athos_api.h.. 12f90 00006f73 6170692e 68000300 004f5455 ..osapi.h....OTU 12fa0 535f736f 632e6800 06000063 6d6e6f73 S_soc.h....cmnos 12fb0 5f617069 2e680007 0000636f 72652e68 _api.h....core.h 12fc0 00080000 68616c2e 68000900 00636f72 ....hal.h....cor 12fd0 652d6973 612e6800 0a000063 6f72652d e-isa.h....core- 12fe0 6d61746d 61702e68 000a0000 7469652e matmap.h....tie. 12ff0 68000a00 00787472 756e7469 6d652e68 h....xtruntime.h 13000 00090000 73706563 7265672e 68000a00 ....specreg.h... 13010 00636f72 65626974 732e6800 09000070 .corebits.h....p 13020 72696e74 665f6170 692e6800 0b000075 rintf_api.h....u 13030 6172745f 6170692e 68000c00 00646267 art_api.h....dbg 13040 5f617069 2e68000d 00006d65 6d5f6170 _api.h....mem_ap 13050 692e6800 0e00006d 6973635f 6170692e i.h....misc_api. 13060 68000f00 00737472 696e675f 6170692e h....string_api. 13070 68001000 0074696d 65725f61 70692e68 h....timer_api.h 13080 00110000 726f6d70 5f617069 2e680012 ....romp_api.h.. 13090 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h 130a0 00130000 7461736b 6c65745f 6170692e ....tasklet_api. 130b0 68001400 00636c6f 636b5f61 70692e68 h....clock_api.h 130c0 00150000 696e7472 5f617069 2e680016 ....intr_api.h.. 130d0 00007764 745f6170 692e6800 17000065 ..wdt_api.h....e 130e0 6570726f 6d5f6170 692e6800 18000075 eprom_api.h....u 130f0 73625f61 70692e68 00190000 6869665f sb_api.h....hif_ 13100 7063692e 68001900 00686966 5f617069 pci.h....hif_api 13110 2e680019 00006164 665f6e62 75662e68 .h....adf_nbuf.h 13120 001a0000 6164665f 6f735f75 74696c2e ....adf_os_util. 13130 68001b00 00616466 5f6f735f 7574696c h....adf_os_util 13140 5f707674 2e68001c 00006164 665f6f73 _pvt.h....adf_os 13150 5f747970 65732e68 001b0000 6164665f _types.h....adf_ 13160 6f735f73 74647479 7065732e 68001b00 os_stdtypes.h... 13170 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv 13180 742e6800 1c000073 74646465 662e6800 t.h....stddef.h. 13190 1d000076 6275665f 6170692e 68001e00 ...vbuf_api.h... 131a0 00766465 73635f61 70692e68 001f0000 .vdesc_api.h.... 131b0 73746461 72672e68 00030000 76612d78 stdarg.h....va-x 131c0 74656e73 612e6800 1d000061 64665f6f tensa.h....adf_o 131d0 735f646d 612e6800 1b000061 64665f6f s_dma.h....adf_o 131e0 735f646d 615f7076 742e6800 1c000061 s_dma_pvt.h....a 131f0 64665f6e 65745f74 79706573 2e680020 df_net_types.h. 13200 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h 13210 00210000 646d615f 6c69622e 68001900 .!..dma_lib.h... 13220 00686966 5f676d61 632e6800 1900004d .hif_gmac.h....M 13230 61677069 655f6170 692e6800 05000075 agpie_api.h....u 13240 73626669 666f5f61 70692e68 00190000 sbfifo_api.h.... 13250 6874635f 6170692e 68002200 00687463 htc_api.h."..htc 13260 2e680023 00006275 665f706f 6f6c5f61 .h.#..buf_pool_a 13270 70692e68 00240000 776d695f 7376635f pi.h.$..wmi_svc_ 13280 6170692e 68002500 00776d69 2e680023 api.h.%..wmi.h.# 13290 00006174 68646566 732e6800 23000064 ..athdefs.h.#..d 132a0 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. 132b0 26000000 00050200 8e48f803 13010306 &........H...... 132c0 09000301 03000900 11010307 09000601 ................ 132d0 03030900 02010309 09000301 03000900 ................ 132e0 13010306 09000601 03030900 04010306 ................ 132f0 09000301 03000900 13010306 09000601 ................ 13300 03030900 04010306 09000301 03000900 ................ 13310 19010304 09000901 03030900 03010306 ................ 13320 09000301 03000900 19010303 09000601 ................ 13330 03030900 0201030c 09000301 03000900 ................ 13340 14010301 09001201 03040900 1f010320 ............... 13350 09000401 03000900 0301039f 01090006 ................ 13360 01037b09 00030103 7b09000e 0103807f ..{.....{....... 13370 09000601 03010900 07010302 09000701 ................ 13380 03020900 07010306 09000801 03030900 ................ 13390 07010301 09000a01 03010900 0a010305 ................ 133a0 09000a01 03010900 08010302 09000701 ................ 133b0 03020900 07010306 09000801 03030900 ................ 133c0 07010301 09000a01 03010900 0a010305 ................ 133d0 09000a01 03010900 08010301 09000701 ................ 133e0 03090900 08010301 09000901 03010900 ................ 133f0 09010305 09000901 03010900 08010301 ................ 13400 09000701 03090900 08010301 09000901 ................ 13410 03010900 09010307 09000901 03010900 ................ 13420 07010302 09000701 03020900 07010306 ................ 13430 09000801 03030900 07010301 09000a01 ................ 13440 03010900 0a010308 09000a01 03010900 ................ 13450 08010302 09000701 03020900 07010306 ................ 13460 09000801 03030900 07010301 09000a01 ................ 13470 03010900 0a010315 09000a01 03030900 ................ 13480 02010300 09000301 03fb0009 00060103 ................ 13490 7b090003 01037b09 000e0103 a47f0900 {.....{......... 134a0 06010301 09000701 03010900 07010306 ................ 134b0 09000801 03030900 07010301 09000901 ................ 134c0 03020900 09010305 09000901 03010900 ................ 134d0 08010301 09000701 03060900 08010303 ................ 134e0 09000701 03010900 09010301 09000901 ................ 134f0 03050900 09010301 09000801 03010900 ................ 13500 07010309 09000801 03010900 09010301 ................ 13510 09000901 03050900 09010301 09000801 ................ 13520 03010900 07010309 09000801 03010900 ................ 13530 09010301 09000901 03050900 09010301 ................ 13540 09000701 03010900 07010306 09000801 ................ 13550 03030900 07010301 09000901 03010900 ................ 13560 09010312 09000901 09000200 01010000 ................ 13570 0f330002 00000cc4 0101fb0e 0a000101 .3.............. 13580 01010000 00012f72 6f6f742f 576f726b ....../root/Work 13590 73706163 652f3034 31325f76 3230322f space/0412_v202/ 135a0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 135b0 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 135c0 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 135d0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 135e0 726f6d2f 6869662f 646d615f 6c69622f rom/hif/dma_lib/ 135f0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 13600 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 13610 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ 13620 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13630 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 13640 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo 13650 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13660 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 13670 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e 13680 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ 13690 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 136a0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 136b0 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu 136c0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 136d0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 136e0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 136f0 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i 13700 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13710 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13720 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 13730 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work 13740 73706163 652f3034 31325f76 3230322f space/0412_v202/ 13750 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 13760 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i 13770 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13780 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13790 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 137a0 312f696e 632f6d61 67706965 002f6f70 1/inc/magpie./op 137b0 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo 137c0 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool 137d0 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 137e0 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt 137f0 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include 13800 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ 13810 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 13820 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 13830 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 13840 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 13850 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu 13860 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x 13870 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 13880 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ 13890 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 138a0 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa 138b0 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ 138c0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 138d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 138e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 138f0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 13900 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ 13910 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 13920 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 13930 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 13940 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar 13950 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 13960 73706163 652f3034 31325f76 3230322f space/0412_v202/ 13970 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos 13980 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W 13990 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 139a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 139b0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 139c0 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ 139d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 139e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 139f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 13a00 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo 13a10 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 13a20 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 13a30 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 13a40 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. 13a50 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 13a60 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 13a70 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 13a80 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i 13a90 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13aa0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13ab0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 13ac0 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp 13ad0 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W 13ae0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 13af0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 13b00 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 13b10 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ 13b20 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 13b30 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 13b40 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 13b50 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ 13b60 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 13b70 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 13b80 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 13b90 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo 13ba0 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor 13bb0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 13bc0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 13bd0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 13be0 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W 13bf0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 13c00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 13c10 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 13c20 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ 13c30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13c40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 13c50 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 13c60 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r 13c70 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 13c80 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 13c90 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 13ca0 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W 13cb0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 13cc0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 13cd0 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo 13ce0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13cf0 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 13d00 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works 13d10 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 13d20 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os 13d30 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa 13d40 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst 13d50 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 13d60 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa 13d70 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li 13d80 622f696e 636c7564 65002f72 6f6f742f b/include./root/ 13d90 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13da0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 13db0 7564652f 6e657400 2f726f6f 742f576f ude/net./root/Wo 13dc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13dd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 13de0 69655f31 5f312f72 6f6d2f61 64662f6e ie_1_1/rom/adf/n 13df0 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo 13e00 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13e10 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 13e20 69655f31 5f312f72 6f6d2f68 74632f69 ie_1_1/rom/htc/i 13e30 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13e40 63652f30 3431325f 76323032 2f776c61 ce/0412_v202/wla 13e50 6e2f696e 636c7564 65002f72 6f6f742f n/include./root/ 13e60 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13e70 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 13e80 67706965 5f315f31 2f726f6d 2f627566 gpie_1_1/rom/buf 13e90 5f706f6f 6c2f696e 63002f72 6f6f742f _pool/inc./root/ 13ea0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13eb0 3230322f 7467742f 74617267 65742f77 202/tgt/target/w 13ec0 6d692f69 6e630000 646d615f 6c69622e mi/inc..dma_lib. 13ed0 63000100 0064745f 64656673 2e680002 c....dt_defs.h.. 13ee0 00006f73 6170692e 68000200 004f5455 ..osapi.h....OTU 13ef0 535f736f 632e6800 03000064 6d615f65 S_soc.h....dma_e 13f00 6e67696e 655f6170 692e6800 04000076 ngine_api.h....v 13f10 6275665f 6170692e 68000500 00766465 buf_api.h....vde 13f20 73635f61 70692e68 00060000 4d616770 sc_api.h....Magp 13f30 69655f61 70692e68 00070000 636d6e6f ie_api.h....cmno 13f40 735f6170 692e6800 08000073 79735f63 s_api.h....sys_c 13f50 66672e68 00070000 726f6d5f 6366672e fg.h....rom_cfg. 13f60 68000900 006d6167 7069655f 6d656d2e h....magpie_mem. 13f70 68000700 00636f72 652e6800 0a000068 h....core.h....h 13f80 616c2e68 000b0000 636f7265 2d697361 al.h....core-isa 13f90 2e68000c 0000636f 72652d6d 61746d61 .h....core-matma 13fa0 702e6800 0c000074 69652e68 000c0000 p.h....tie.h.... 13fb0 78747275 6e74696d 652e6800 0b000073 xtruntime.h....s 13fc0 70656372 65672e68 000c0000 636f7265 pecreg.h....core 13fd0 62697473 2e68000b 00007072 696e7466 bits.h....printf 13fe0 5f617069 2e68000d 00007561 72745f61 _api.h....uart_a 13ff0 70692e68 000e0000 7265675f 64656673 pi.h....reg_defs 14000 2e680009 00006462 675f6170 692e6800 .h....dbg_api.h. 14010 0f00006d 656d5f61 70692e68 00100000 ...mem_api.h.... 14020 6d697363 5f617069 2e680011 00007374 misc_api.h....st 14030 72696e67 5f617069 2e680012 00007469 ring_api.h....ti 14040 6d65725f 6170692e 68001300 00726f6d mer_api.h....rom 14050 705f6170 692e6800 14000061 6c6c6f63 p_api.h....alloc 14060 72616d5f 6170692e 68001500 00746173 ram_api.h....tas 14070 6b6c6574 5f617069 2e680016 0000636c klet_api.h....cl 14080 6f636b5f 6170692e 68001700 00696e74 ock_api.h....int 14090 725f6170 692e6800 18000077 64745f61 r_api.h....wdt_a 140a0 70692e68 00190000 65657072 6f6d5f61 pi.h....eeprom_a 140b0 70692e68 001a0000 7573625f 6170692e pi.h....usb_api. 140c0 68001b00 00686966 5f706369 2e68001b h....hif_pci.h.. 140d0 00006869 665f6170 692e6800 1b000061 ..hif_api.h....a 140e0 64665f6e 6275662e 68001c00 00616466 df_nbuf.h....adf 140f0 5f6f735f 7574696c 2e68001d 00006164 _os_util.h....ad 14100 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. 14110 1e000061 64665f6f 735f7479 7065732e ...adf_os_types. 14120 68001d00 00616466 5f6f735f 73746474 h....adf_os_stdt 14130 79706573 2e68001d 00006164 665f6f73 ypes.h....adf_os 14140 5f747970 65735f70 76742e68 001e0000 _types_pvt.h.... 14150 73746464 65662e68 001f0000 73746461 stddef.h....stda 14160 72672e68 00020000 76612d78 74656e73 rg.h....va-xtens 14170 612e6800 1f000061 64665f6f 735f646d a.h....adf_os_dm 14180 612e6800 1d000061 64665f6f 735f646d a.h....adf_os_dm 14190 615f7076 742e6800 1e000061 64665f6e a_pvt.h....adf_n 141a0 65745f74 79706573 2e680020 00006164 et_types.h. ..ad 141b0 665f6e62 75665f70 76742e68 00210000 f_nbuf_pvt.h.!.. 141c0 646d615f 6c69622e 68001b00 00686966 dma_lib.h....hif 141d0 5f676d61 632e6800 1b000075 73626669 _gmac.h....usbfi 141e0 666f5f61 70692e68 001b0000 6874635f fo_api.h....htc_ 141f0 6170692e 68002200 00687463 2e680023 api.h."..htc.h.# 14200 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h 14210 00240000 776d695f 7376635f 6170692e .$..wmi_svc_api. 14220 68002500 00776d69 2e680023 00006174 h.%..wmi.h.#..at 14230 68646566 732e6800 23000000 00050200 hdefs.h.#....... 14240 8e4cac03 a5010103 01090003 01030a09 .L.............. 14250 00090103 01090003 01030109 00050103 ................ 14260 09090004 01030009 00030103 0d090008 ................ 14270 01030109 00060103 7b090005 01030509 ........{....... 14280 00080103 0b090002 01030409 00030103 ................ 14290 01090006 01030e09 00020103 74090008 ............t... 142a0 01030209 000e0103 02090007 01030409 ................ 142b0 00040103 7c090002 01030409 00020103 ....|........... 142c0 01090005 01030109 00070103 02090008 ................ 142d0 01030609 00040103 00090003 01030809 ................ 142e0 000a0103 0509000e 01037e09 00040103 ..........~..... 142f0 08090004 01037809 00050103 08090002 ......x......... 14300 01037a09 00020103 06090006 01030109 ..z............. 14310 00030103 01090007 01030109 00080103 ................ 14320 01090007 01030b09 00050103 01090003 ................ 14330 01030109 00030103 0a090002 01037c09 ..............|. 14340 00080103 0209000e 01030209 00070103 ................ 14350 0b090007 01030009 00030103 03090008 ................ 14360 01030209 000a0103 7e090005 01030209 ........~....... 14370 00020103 04090003 01030109 00070103 ................ 14380 0a090002 01030009 00030103 01090006 ................ 14390 01030a09 00130103 00090003 01030d09 ................ 143a0 00030103 77090002 01030209 000a0103 ....w........... 143b0 01090002 01037d09 00020103 09090002 ......}......... 143c0 01030109 00050103 05090007 01030109 ................ 143d0 00050103 7f09000b 01030409 00030103 ................ 143e0 0b090005 01030109 00030103 01090006 ................ 143f0 01030209 00020103 0b09001d 01030009 ................ 14400 00030103 01090006 01030b09 00130103 ................ 14410 00090003 01030309 00080103 0209000a ................ 14420 01037e09 00050103 02090002 01030209 ..~............. 14430 00030103 01090007 01030a09 00020103 ................ 14440 01090003 01030109 00030103 02090002 ................ 14450 01030509 001c0103 00090003 01030909 ................ 14460 001b0103 7f090002 01037f09 00020103 ................ 14470 7f090002 01037f09 00020103 7f090002 ................ 14480 01037f09 00020103 7e090002 01030109 ........~....... 14490 00020103 08090005 01030109 00020109 ................ 144a0 00020001 0100000e 09000200 000d0301 ................ 144b0 01fb0e0a 00010101 01000000 012f726f ............./ro 144c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 144d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 144e0 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 144f0 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 14500 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 14510 69655f31 5f312f72 6f6d2f76 6275662f ie_1_1/rom/vbuf/ 14520 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp 14530 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 14540 742f7461 72676574 2f696e63 002f726f t/target/inc./ro 14550 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 14560 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 14570 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root 14580 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14590 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 145a0 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 145b0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 145c0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 145d0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 145e0 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 145f0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14600 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 14610 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 14620 5f312f69 6e63002f 726f6f74 2f576f72 _1/inc./root/Wor 14630 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 14640 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 14650 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 14660 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14670 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 14680 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 14690 5f312f69 6e632f6d 61677069 65002f6f _1/inc/magpie./o 146a0 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 146b0 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 146c0 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 146d0 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 146e0 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 146f0 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 14700 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 14710 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 14720 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 14730 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 14740 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 14750 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 14760 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 14770 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 14780 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 14790 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 147a0 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 147b0 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 147c0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 147d0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 147e0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 147f0 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 14800 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 14810 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 14820 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 14830 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 14840 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 14850 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 14860 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 14870 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 14880 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14890 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 148a0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 148b0 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 148c0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 148d0 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 148e0 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 148f0 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 14900 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 14910 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 14920 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 14930 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 14940 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 14950 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 14960 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 14970 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 14980 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14990 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 149a0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 149b0 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 149c0 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 149d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 149e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 149f0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 14a00 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 14a10 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 14a20 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 14a30 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 14a40 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 14a50 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 14a60 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 14a70 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 14a80 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 14a90 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 14aa0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 14ab0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 14ac0 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 14ad0 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 14ae0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14af0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 14b00 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 14b10 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 14b20 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14b30 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 14b40 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 14b50 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 14b60 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 14b70 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 14b80 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 14b90 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 14ba0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14bb0 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 14bc0 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 14bd0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 14be0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 14bf0 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 14c00 73706163 652f3034 31325f76 3230322f space/0412_v202/ 14c10 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 14c20 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 14c30 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 14c40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 14c50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 14c60 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 14c70 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 14c80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14c90 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc 14ca0 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W 14cb0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 14cc0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 14cd0 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ 14ce0 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W 14cf0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 14d00 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 14d10 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ 14d20 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14d30 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl 14d40 616e2f69 6e636c75 6465002f 726f6f74 an/include./root 14d50 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14d60 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 14d70 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu 14d80 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root 14d90 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14da0 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 14db0 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo 14dc0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 14dd0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 14de0 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e 14df0 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ 14e00 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14e10 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 14e20 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu 14e30 662f7372 63000076 6275662e 63000100 f/src..vbuf.c... 14e40 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS 14e50 5f736f63 2e680003 00007662 75665f61 _soc.h....vbuf_a 14e60 70692e68 00040000 76646573 635f6170 pi.h....vdesc_ap 14e70 692e6800 0500004d 61677069 655f6170 i.h....Magpie_ap 14e80 692e6800 06000063 6d6e6f73 5f617069 i.h....cmnos_api 14e90 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. 14ea0 06000072 6f6d5f63 66672e68 00080000 ...rom_cfg.h.... 14eb0 6d616770 69655f6d 656d2e68 00060000 magpie_mem.h.... 14ec0 636f7265 2e680009 00006861 6c2e6800 core.h....hal.h. 14ed0 0a000063 6f72652d 6973612e 68000b00 ...core-isa.h... 14ee0 00636f72 652d6d61 746d6170 2e68000b .core-matmap.h.. 14ef0 00007469 652e6800 0b000078 7472756e ..tie.h....xtrun 14f00 74696d65 2e68000a 00007370 65637265 time.h....specre 14f10 672e6800 0b000063 6f726562 6974732e g.h....corebits. 14f20 68000a00 00707269 6e74665f 6170692e h....printf_api. 14f30 68000c00 00756172 745f6170 692e6800 h....uart_api.h. 14f40 0d000072 65675f64 6566732e 68000800 ...reg_defs.h... 14f50 0064745f 64656673 2e680002 00006462 .dt_defs.h....db 14f60 675f6170 692e6800 0e00006d 656d5f61 g_api.h....mem_a 14f70 70692e68 000f0000 6d697363 5f617069 pi.h....misc_api 14f80 2e680010 00007374 72696e67 5f617069 .h....string_api 14f90 2e680011 00007469 6d65725f 6170692e .h....timer_api. 14fa0 68001200 00726f6d 705f6170 692e6800 h....romp_api.h. 14fb0 13000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 14fc0 68001400 00746173 6b6c6574 5f617069 h....tasklet_api 14fd0 2e680015 0000636c 6f636b5f 6170692e .h....clock_api. 14fe0 68001600 00696e74 725f6170 692e6800 h....intr_api.h. 14ff0 17000077 64745f61 70692e68 00180000 ...wdt_api.h.... 15000 65657072 6f6d5f61 70692e68 00190000 eeprom_api.h.... 15010 7573625f 6170692e 68001a00 00686966 usb_api.h....hif 15020 5f706369 2e68001a 00006869 665f6170 _pci.h....hif_ap 15030 692e6800 1a000061 64665f6e 6275662e i.h....adf_nbuf. 15040 68001b00 00616466 5f6f735f 7574696c h....adf_os_util 15050 2e68001c 00006164 665f6f73 5f757469 .h....adf_os_uti 15060 6c5f7076 742e6800 1d000061 64665f6f l_pvt.h....adf_o 15070 735f7479 7065732e 68001c00 00616466 s_types.h....adf 15080 5f6f735f 73746474 79706573 2e68001c _os_stdtypes.h.. 15090 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 150a0 76742e68 001d0000 73746464 65662e68 vt.h....stddef.h 150b0 001e0000 73746461 72672e68 00020000 ....stdarg.h.... 150c0 76612d78 74656e73 612e6800 1e000061 va-xtensa.h....a 150d0 64665f6f 735f646d 612e6800 1c000061 df_os_dma.h....a 150e0 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 150f0 1d000061 64665f6e 65745f74 79706573 ...adf_net_types 15100 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 15110 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 15120 68001a00 00686966 5f676d61 632e6800 h....hif_gmac.h. 15130 1a000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 15140 001a0000 6874635f 6170692e 68002100 ....htc_api.h.!. 15150 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 15160 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 15170 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 15180 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 15190 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 151a0 692e6800 25000076 6275662e 68002600 i.h.%..vbuf.h.&. 151b0 00000005 02008e4e f0031c01 03000900 .......N........ 151c0 03010305 09000301 03020900 0f01037f ................ 151d0 09000201 03030900 02010302 09000201 ................ 151e0 03030900 05010305 09000a01 037e0900 .............~.. 151f0 0201037f 09000401 03020900 02010379 ...............y 15200 09000201 030a0900 03010302 09000201 ................ 15210 03040900 03010300 09000301 03030900 ................ 15220 03010306 09000201 037d0900 02010302 .........}...... 15230 09000401 037e0900 02010302 09000201 .....~.......... 15240 037e0900 02010303 09000201 03030900 .~.............. 15250 0201037d 09000201 03030900 02010304 ...}............ 15260 09000401 03000900 03010306 09000301 ................ 15270 037d0900 02010301 09000401 03020900 .}.............. 15280 02010301 09000401 03050900 02010300 ................ 15290 09000301 03040900 0901037f 09000201 ................ 152a0 037f0900 02010306 09000201 09000200 ................ 152b0 01010000 0e620002 00000d07 0101fb0e .....b.......... 152c0 0a000101 01010000 00012f72 6f6f742f ........../root/ 152d0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 152e0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 152f0 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m 15300 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. 15310 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ 15320 315f312f 726f6d2f 76646573 632f7372 1_1/rom/vdesc/sr 15330 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 15340 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 15350 74617267 65742f69 6e63002f 726f6f74 target/inc./root 15360 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 15370 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 15380 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W 15390 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 153a0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 153b0 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes 153c0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 153d0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 153e0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 153f0 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W 15400 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15410 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 15420 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 15430 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work 15440 73706163 652f3034 31325f76 3230322f space/0412_v202/ 15450 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 15460 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. 15470 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 15480 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 15490 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 154a0 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 154b0 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 154c0 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi 154d0 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt 154e0 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 154f0 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 15500 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 15510 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in 15520 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op 15530 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo 15540 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil 15550 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin 15560 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte 15570 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc 15580 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf 15590 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa 155a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 155b0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 155c0 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin 155d0 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor 155e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 155f0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15600 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 15610 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W 15620 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15630 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm 15640 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo 15650 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 15660 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 15670 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 15680 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro 15690 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 156a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 156b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 156c0 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ 156d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 156e0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 156f0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 15700 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i 15710 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 15720 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 15730 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 15740 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time 15750 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work 15760 73706163 652f3034 31325f76 3230322f space/0412_v202/ 15770 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 15780 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r 15790 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo 157a0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 157b0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 157c0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 157d0 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in 157e0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 157f0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 15800 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 15810 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl 15820 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor 15830 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 15840 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15850 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 15860 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ 15870 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 15880 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 15890 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 158a0 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo 158b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 158c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 158d0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 158e0 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro 158f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 15900 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 15910 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 15920 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc 15930 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 15940 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 15950 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 15960 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo 15970 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 15980 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in 15990 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root 159a0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 159b0 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc 159c0 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo 159d0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 159e0 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf 159f0 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte 15a00 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 15a10 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 15a20 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 15a30 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc 15a40 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro 15a50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 15a60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 15a70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 15a80 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W 15a90 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15aa0 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 15ab0 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor 15ac0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 15ad0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15ae0 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb 15af0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 15b00 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 15b10 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15b20 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in 15b30 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 15b40 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan 15b50 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 15b60 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15b70 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 15b80 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ 15b90 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W 15ba0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15bb0 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm 15bc0 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work 15bd0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 15be0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 15bf0 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng 15c00 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo 15c10 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 15c20 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 15c30 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc 15c40 2f737263 00007664 6573632e 63000100 /src..vdesc.c... 15c50 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS 15c60 5f736f63 2e680003 00007664 6573635f _soc.h....vdesc_ 15c70 6170692e 68000400 004d6167 7069655f api.h....Magpie_ 15c80 6170692e 68000500 00636d6e 6f735f61 api.h....cmnos_a 15c90 70692e68 00060000 7379735f 6366672e pi.h....sys_cfg. 15ca0 68000500 00726f6d 5f636667 2e680007 h....rom_cfg.h.. 15cb0 00006d61 67706965 5f6d656d 2e680005 ..magpie_mem.h.. 15cc0 0000636f 72652e68 00080000 68616c2e ..core.h....hal. 15cd0 68000900 00636f72 652d6973 612e6800 h....core-isa.h. 15ce0 0a000063 6f72652d 6d61746d 61702e68 ...core-matmap.h 15cf0 000a0000 7469652e 68000a00 00787472 ....tie.h....xtr 15d00 756e7469 6d652e68 00090000 73706563 untime.h....spec 15d10 7265672e 68000a00 00636f72 65626974 reg.h....corebit 15d20 732e6800 09000070 72696e74 665f6170 s.h....printf_ap 15d30 692e6800 0b000075 6172745f 6170692e i.h....uart_api. 15d40 68000c00 00726567 5f646566 732e6800 h....reg_defs.h. 15d50 07000064 745f6465 66732e68 00020000 ...dt_defs.h.... 15d60 6462675f 6170692e 68000d00 006d656d dbg_api.h....mem 15d70 5f617069 2e68000e 00006d69 73635f61 _api.h....misc_a 15d80 70692e68 000f0000 73747269 6e675f61 pi.h....string_a 15d90 70692e68 00100000 74696d65 725f6170 pi.h....timer_ap 15da0 692e6800 11000072 6f6d705f 6170692e i.h....romp_api. 15db0 68001200 00616c6c 6f637261 6d5f6170 h....allocram_ap 15dc0 692e6800 13000074 61736b6c 65745f61 i.h....tasklet_a 15dd0 70692e68 00140000 636c6f63 6b5f6170 pi.h....clock_ap 15de0 692e6800 15000069 6e74725f 6170692e i.h....intr_api. 15df0 68001600 00776474 5f617069 2e680017 h....wdt_api.h.. 15e00 00006565 70726f6d 5f617069 2e680018 ..eeprom_api.h.. 15e10 00007573 625f6170 692e6800 19000068 ..usb_api.h....h 15e20 69665f70 63692e68 00190000 6869665f if_pci.h....hif_ 15e30 6170692e 68001900 00616466 5f6e6275 api.h....adf_nbu 15e40 662e6800 1a000061 64665f6f 735f7574 f.h....adf_os_ut 15e50 696c2e68 001b0000 6164665f 6f735f75 il.h....adf_os_u 15e60 74696c5f 7076742e 68001c00 00616466 til_pvt.h....adf 15e70 5f6f735f 74797065 732e6800 1b000061 _os_types.h....a 15e80 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h 15e90 001b0000 6164665f 6f735f74 79706573 ....adf_os_types 15ea0 5f707674 2e68001c 00007374 64646566 _pvt.h....stddef 15eb0 2e68001d 00007662 75665f61 70692e68 .h....vbuf_api.h 15ec0 001e0000 73746461 72672e68 00020000 ....stdarg.h.... 15ed0 76612d78 74656e73 612e6800 1d000061 va-xtensa.h....a 15ee0 64665f6f 735f646d 612e6800 1b000061 df_os_dma.h....a 15ef0 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 15f00 1c000061 64665f6e 65745f74 79706573 ...adf_net_types 15f10 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 15f20 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 15f30 68001900 00686966 5f676d61 632e6800 h....hif_gmac.h. 15f40 19000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 15f50 00190000 6874635f 6170692e 68002100 ....htc_api.h.!. 15f60 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 15f70 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 15f80 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 15f90 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 15fa0 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 15fb0 692e6800 25000076 64657363 2e680026 i.h.%..vdesc.h.& 15fc0 00000000 0502008e 4f740321 01030009 ........Ot.!.... 15fd0 00030103 08090003 01030209 000f0103 ................ 15fe0 7f090003 01030409 00020103 02090002 ................ 15ff0 01030409 00050103 0609000a 01037e09 ..............~. 16000 00020103 7e090004 01030309 00030103 ....~........... 16010 77090002 01030e09 00030103 c7000900 w............... 16020 03010300 09000301 03040900 03010306 ................ 16030 09000201 037f0900 04010301 09000401 ................ 16040 03030900 0201037d 09000201 03030900 .......}........ 16050 0201030e 09000201 03020900 03010300 ................ 16060 09000301 030e0900 02010314 09000301 ................ 16070 03740900 02010308 09000301 037c0900 .t...........|.. 16080 03010309 09000301 036f0900 0201030d .........o...... 16090 09000301 03780900 03010304 09000301 .....x.......... 160a0 03780900 03010300 09000301 03010900 .x.............. 160b0 03010303 09000301 03010900 03010303 ................ 160c0 09000301 03010900 03010303 09000301 ................ 160d0 03010900 03010303 09000301 03010900 ................ 160e0 02010301 09000201 03050900 05010300 ................ 160f0 09000301 03050900 0c01037f 09000201 ................ 16100 037f0900 0201037f 09000201 03090900 ................ 16110 02010900 02000101 0000180b 00020000 ................ 16120 0d4e0101 fb0e0a00 01010101 00000001 .N.............. 16130 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 16140 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 16150 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 16160 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. 16170 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m 16180 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 16190 632f7372 63002f72 6f6f742f 576f726b c/src./root/Work 161a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 161b0 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ 161c0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 161d0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 161e0 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro 161f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16200 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 16210 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 16220 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 16230 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 16240 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 16250 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro 16260 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16270 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 16280 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ 16290 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten 162a0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 162b0 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 162c0 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 162d0 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e 162e0 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens 162f0 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt 16300 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 16310 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 16320 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 16330 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 16340 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 16350 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ 16360 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 16370 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 16380 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 16390 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a 163a0 7263682f 696e636c 7564652f 7874656e rch/include/xten 163b0 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ 163c0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 163d0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 163e0 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 163f0 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r 16400 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 16410 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 16420 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 16430 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. 16440 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 16450 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 16460 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i 16470 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 16480 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 16490 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 164a0 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ 164b0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 164c0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 164d0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 164e0 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 164f0 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 16500 73706163 652f3034 31325f76 3230322f space/0412_v202/ 16510 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 16520 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s 16530 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ 16540 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 16550 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 16560 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 16570 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro 16580 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16590 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 165a0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 165b0 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i 165c0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 165d0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 165e0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 165f0 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo 16600 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W 16610 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 16620 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 16630 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 16640 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r 16650 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 16660 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 16670 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 16680 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc 16690 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 166a0 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 166b0 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 166c0 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i 166d0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 166e0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 166f0 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 16700 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ 16710 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 16720 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 16730 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 16740 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep 16750 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo 16760 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 16770 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 16780 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i 16790 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 167a0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 167b0 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu 167c0 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac 167d0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 167e0 6164662f 696e636c 7564652f 6f73002f adf/include/os./ 167f0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 16800 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 16810 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ 16820 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 16830 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 16840 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 16850 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 16860 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl 16870 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 16880 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 16890 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 168a0 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 168b0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 168c0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 168d0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 168e0 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 168f0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16900 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 16910 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root 16920 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 16930 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 16940 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad 16950 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root 16960 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 16970 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 16980 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 16990 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 169a0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 169b0 776c616e 2f696e63 6c756465 002f726f wlan/include./ro 169c0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 169d0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 169e0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 169f0 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro 16a00 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16a10 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 16a20 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ 16a30 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 16a40 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 16a50 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 16a60 5f656e67 696e652f 696e6300 2f726f6f _engine/inc./roo 16a70 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 16a80 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 16a90 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 16aa0 74632f73 72630000 6874632e 63000100 tc/src..htc.c... 16ab0 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS 16ac0 5f736f63 2e680003 00004d61 67706965 _soc.h....Magpie 16ad0 5f617069 2e680004 0000636d 6e6f735f _api.h....cmnos_ 16ae0 6170692e 68000500 00737973 5f636667 api.h....sys_cfg 16af0 2e680004 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. 16b00 0600006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. 16b10 04000063 6f72652e 68000700 0068616c ...core.h....hal 16b20 2e680008 0000636f 72652d69 73612e68 .h....core-isa.h 16b30 00090000 636f7265 2d6d6174 6d61702e ....core-matmap. 16b40 68000900 00746965 2e680009 00007874 h....tie.h....xt 16b50 72756e74 696d652e 68000800 00737065 runtime.h....spe 16b60 63726567 2e680009 0000636f 72656269 creg.h....corebi 16b70 74732e68 00080000 7072696e 74665f61 ts.h....printf_a 16b80 70692e68 000a0000 75617274 5f617069 pi.h....uart_api 16b90 2e68000b 00007265 675f6465 66732e68 .h....reg_defs.h 16ba0 00060000 64745f64 6566732e 68000200 ....dt_defs.h... 16bb0 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me 16bc0 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ 16bd0 6170692e 68000e00 00737472 696e675f api.h....string_ 16be0 6170692e 68000f00 0074696d 65725f61 api.h....timer_a 16bf0 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api 16c00 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a 16c10 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ 16c20 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a 16c30 70692e68 00140000 696e7472 5f617069 pi.h....intr_api 16c40 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. 16c50 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. 16c60 17000075 73625f61 70692e68 00180000 ...usb_api.h.... 16c70 6869665f 7063692e 68001800 00686966 hif_pci.h....hif 16c80 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb 16c90 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u 16ca0 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ 16cb0 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad 16cc0 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... 16cd0 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. 16ce0 68001a00 00616466 5f6f735f 74797065 h....adf_os_type 16cf0 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde 16d00 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. 16d10 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h 16d20 001e0000 73746461 72672e68 00020000 ....stdarg.h.... 16d30 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a 16d40 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a 16d50 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 16d60 1b000061 64665f6e 65745f74 79706573 ...adf_net_types 16d70 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 16d80 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 16d90 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. 16da0 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 16db0 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. 16dc0 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 16dd0 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 16de0 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 16df0 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 16e00 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 16e10 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me 16e20 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me 16e30 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o 16e40 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os 16e50 5f696f5f 7076742e 68001b00 00687463 _io_pvt.h....htc 16e60 5f696e74 65726e61 6c2e6800 26000000 _internal.h.&... 16e70 04240005 02008e50 2c03b902 01043203 .$.....P,.....2. 16e80 4b090003 01030409 00020103 02090004 K............... 16e90 01037e09 00020104 24033209 00050103 ..~.....$.2..... 16ea0 0f090014 01030009 00030104 32037f09 ............2... 16eb0 00020103 03090002 01037f09 00030103 ................ 16ec0 01090003 01037f09 00020103 01090006 ................ 16ed0 01030109 00030104 24037e09 00080103 ........$.~..... 16ee0 0f09000c 01043203 ab7f0900 03010304 ......2......... 16ef0 09000201 03020900 0401037e 09000201 ...........~.... 16f00 03d90009 00050103 01090003 01030109 ................ 16f10 00050103 7e090003 01030209 00050103 ....~........... 16f20 7e090005 01042403 79090004 01030f09 ~.....$.y....... 16f30 00030103 00090003 01043203 0b090002 ..........2..... 16f40 01030309 00020103 7f090003 01030109 ................ 16f50 00030103 7f090003 01030109 00050103 ................ 16f60 01090003 01042403 72090009 01031d09 ......$.r....... 16f70 000b0103 01090003 01030009 00030103 ................ 16f80 2c090002 01043203 c07e0900 03010302 ,.....2..~...... 16f90 09000201 03010900 0501037f 09000301 ................ 16fa0 03010900 04010424 03bf0109 00020103 .......$........ 16fb0 0e090005 01030109 00030103 00090002 ................ 16fc0 01040103 8a7d0900 03010300 09000301 .....}.......... 16fd0 03010900 07010301 09000b01 03050900 ................ 16fe0 03010300 09000301 043e03b3 7f090005 .........>...... 16ff0 01031e09 000d0104 01033c09 000a0103 ..........<..... 17000 01090002 01037f09 00050103 01090002 ................ 17010 01030109 00050103 05090005 01030309 ................ 17020 00020103 7c090003 01037f09 00030103 ....|........... 17030 01090005 01030409 00020103 04090005 ................ 17040 01030e09 00020103 7d090002 01037909 ........}.....y. 17050 00020103 18090002 01036409 00030103 ..........d..... 17060 04090005 01030a09 00030103 7a090003 ............z... 17070 01030309 00030103 03090003 01031009 ................ 17080 00030103 72090002 01030609 00060103 ....r........... 17090 0d090002 01037309 00050103 01090003 ......s......... 170a0 01030509 00020103 01090003 01030209 ................ 170b0 00030103 7e090003 01037c09 00030103 ....~.....|..... 170c0 05090003 01037909 00030103 0d090003 ......y......... 170d0 01037c09 00060103 04090003 01037f09 ..|............. 170e0 00060103 01090002 01030509 00030103 ................ 170f0 01090005 01030109 000c0103 0209000a ................ 17100 01030609 00070103 04090003 01037b09 ..............{. 17110 00060103 05090005 01030309 00030103 ................ 17120 02090009 01030409 00020103 04090003 ................ 17130 01030309 00050103 04090003 01030009 ................ 17140 00030103 01090002 01030109 00030103 ................ 17150 03090005 01030609 00030103 06090007 ................ 17160 01030209 000a0103 09090009 01037c09 ..............|. 17170 00060103 7c090002 01030109 00040103 ....|........... 17180 02090003 01037e09 00060103 7f090003 ......~......... 17190 01030109 00030103 02090003 01037d09 ..............}. 171a0 00030103 04090003 01030409 00030103 ................ 171b0 7b090003 01037e09 00030103 07090003 {.....~......... 171c0 01030209 00030103 03090004 01030709 ................ 171d0 00030103 04090007 01030309 00170103 ................ 171e0 17090003 01036909 00030103 02090003 ......i......... 171f0 01030109 000d0103 0609000d 01037f09 ................ 17200 00030103 7c090003 01037f09 00020103 ....|........... 17210 05090003 01030109 00020103 7f090006 ................ 17220 01030409 00030103 03090011 01030a09 ................ 17230 00090103 7e090002 01030209 00050103 ....~........... 17240 03090005 01030009 00030103 0c09000b ................ 17250 01037d09 00040104 24038c02 09000201 ..}.....$....... 17260 040103f7 7d090002 01037b09 00050103 ....}.....{..... 17270 08090003 01030109 00170103 03090003 ................ 17280 01030009 00030103 01090006 01030109 ................ 17290 00050103 03090002 01030609 00030103 ................ 172a0 03090007 01030709 00090103 05090010 ................ 172b0 01037e09 00030103 15090005 01036b09 ..~...........k. 172c0 00080103 08090006 01037f09 00030103 ................ 172d0 0e090003 01042403 6509000c 01040103 ......$.e....... 172e0 1b090002 01030109 00020104 24036409 ............$.d. 172f0 00020104 01031c09 00030103 05090005 ................ 17300 01030109 00150103 09090006 01033109 ..............1. 17310 00030103 54090002 01030209 00030103 ....T........... 17320 0b090009 01037509 00080103 04090002 ......u......... 17330 01030409 00020103 7d090003 01030609 ........}....... 17340 00020103 7a090002 01037f09 00030103 ....z........... 17350 23090003 01036809 000d0103 0209000b #.....h......... 17360 01030a09 00070103 79090002 01037d09 ........y.....}. 17370 00020103 05090002 01030109 00030103 ................ 17380 01090006 01037c09 00030103 04090005 ......|......... 17390 01030109 00050103 7f090003 01030109 ................ 173a0 00030103 04090006 01037709 00030103 ..........w..... 173b0 07090003 01030209 00030103 0209000a ................ 173c0 01030109 00040103 7f090002 01030209 ................ 173d0 00030103 7f090002 01037f09 00030103 ................ 173e0 04090005 01030409 00030103 0609000f ................ 173f0 01030f09 00030103 02090002 01037c09 ..............|. 17400 00020103 02090003 01030209 00030103 ................ 17410 7e090004 01030c09 00060103 7f090005 ~............... 17420 01030109 00020103 7f090003 01030109 ................ 17430 00060103 7f090005 01030109 00030103 ................ 17440 01090006 01036109 00020103 22090008 ......a....."... 17450 01030809 00030103 03090005 01031b09 ................ 17460 00030103 03090005 01030009 00030103 ................ 17470 03090003 01030409 000e0103 00090003 ................ 17480 01030209 001b0103 07090002 01037f09 ................ 17490 00020103 7f090002 01037f09 00020103 ................ 174a0 7f090002 01037f09 00020103 7d090002 ............}... 174b0 01030209 00020103 08090005 01037f09 ................ 174c0 00080103 02090002 01030709 00020103 ................ 174d0 08090005 01030009 00030103 01090005 ................ 174e0 01030109 000b0103 05090005 01030009 ................ 174f0 00030103 01090003 01030409 001a0103 ................ 17500 06090003 01030509 00030103 7b090003 ............{... 17510 01030509 00030103 05090003 01030209 ................ 17520 00040103 01090003 01030709 00030103 ................ 17530 79090004 01030709 00030103 0d090006 y............... 17540 01037809 00090103 1f090009 01037209 ..x...........r. 17550 00020103 03090005 01030209 00020103 ................ 17560 7e090005 01030209 00040103 06090006 ~............... 17570 01030309 000c0103 04090004 01030909 ................ 17580 00030103 7e090002 01037a09 00060103 ....~.....z..... 17590 06090003 01037f09 00060103 03090004 ................ 175a0 01030409 00050103 0209000a 01030309 ................ 175b0 00090103 7e090008 01030209 00080103 ....~........... 175c0 02090005 01030209 00050103 03090003 ................ 175d0 01030309 00050103 31090006 01034709 ........1.....G. 175e0 00020103 c0000900 0801037c 09000201 ...........|.... 175f0 03040900 03010301 09000a01 035c0900 .............\.. 17600 02010373 09000601 03030900 0301030a ...s............ 17610 09000201 03760900 0201037d 09000201 .....v.....}.... 17620 030d0900 03010303 09000501 03030900 ................ 17630 03010302 09000501 03020900 06010302 ................ 17640 09000601 037e0900 06010304 09000601 .....~.......... 17650 037e0900 06010302 09000201 03020900 .~.............. 17660 12010301 09000f01 03050900 0f01037e ...............~ 17670 09000301 03020900 0501037e 09000201 ...........~.... 17680 03020900 06010311 09000601 03060900 ................ 17690 03010305 09000701 03020900 0a010302 ................ 176a0 09000901 03020900 0a010302 09000601 ................ 176b0 03010900 0b010306 09000501 03030900 ................ 176c0 0901037d 09000201 03070900 0501037a ...}...........z 176d0 09000601 03060900 03010305 09000601 ................ 176e0 03010900 0c010376 09000201 03010900 .......v........ 176f0 03010378 09000301 03150900 08010300 ...x............ 17700 09000301 03070900 02010304 09000301 ................ 17710 03190900 09010373 09001701 037d0900 .......s.....}.. 17720 08010310 09000501 03020900 0201037e ...............~ 17730 09000301 03020900 05010302 09000301 ................ 17740 03040900 0f010303 09000701 03050900 ................ 17750 16010301 09000301 037f0900 03010302 ................ 17760 09000801 03030900 03010367 09000201 ...........g.... 17770 031d0900 07010305 09000301 03170900 ................ 17780 05010303 09000601 03040900 0f010303 ................ 17790 09000701 03050900 0601037e 09000401 ...........~.... 177a0 03020900 0201037e 09000201 03020900 .......~........ 177b0 06010302 09000501 03030900 03010305 ................ 177c0 09000301 03010900 05010305 09000301 ................ 177d0 03050900 07010301 09001301 033c0900 .............<.. 177e0 03010302 09000301 03100900 03010370 ...............p 177f0 09000301 03100900 03010370 09000301 ...........p.... 17800 03100900 0701037d 09000601 03050900 .......}........ 17810 06010302 09000301 03760900 02010301 .........v...... 17820 09000301 030c0900 06010302 09000301 ................ 17830 03040900 05010315 09000301 03040900 ................ 17840 0e01030d 09000401 03730900 02010302 .........s...... 17850 09000301 030b0900 03010373 09000501 ...........s.... 17860 03080900 06010378 09000901 030d0900 .......x........ 17870 0501037b 09000501 03050900 08010301 ...{............ 17880 09000501 03050900 07010302 09000501 ................ 17890 037a0900 0701037f 09000201 03070900 .z.............. 178a0 03010303 09000301 03c40009 00080103 ................ 178b0 40090002 01030309 000a0103 03090009 @............... 178c0 01037f09 00030103 01090006 01037f09 ................ 178d0 00030103 01090005 01030109 00030103 ................ 178e0 03090009 01030809 00110103 03090006 ................ 178f0 01030209 00060103 04090010 01037f09 ................ 17900 00040103 0109000b 01030509 00030103 ................ 17910 18090007 01030709 000f0103 0109000b ................ 17920 01090002 00010100 0011cb00 0200000d ................ 17930 5b0101fb 0e0a0001 01010100 0000012f [............../ 17940 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 17950 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 17960 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 17970 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 17980 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 17990 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 179a0 5f656e67 696e652f 73726300 2f726f6f _engine/src./roo 179b0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 179c0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 179d0 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ 179e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 179f0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 17a00 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 17a10 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W 17a20 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 17a30 30322f74 67742f74 61726765 742f696e 02/tgt/target/in 17a40 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 17a50 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 17a60 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 17a70 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ 17a80 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp 17a90 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 17aa0 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU 17ab0 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac 17ac0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 17ad0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 17ae0 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ 17af0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 17b00 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 17b10 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 17b20 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 17b30 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 17b40 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 17b50 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 17b60 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 17b70 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 17b80 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 17b90 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 17ba0 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x 17bb0 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 17bc0 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 17bd0 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 17be0 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 17bf0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 17c00 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt 17c10 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 17c20 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 17c30 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 17c40 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 17c50 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 17c60 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten 17c70 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 17c80 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 17c90 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 17ca0 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 17cb0 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x 17cc0 74656e73 612f636f 6e666967 002f726f tensa/config./ro 17cd0 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 17ce0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 17cf0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 17d00 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc 17d10 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 17d20 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 17d30 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 17d40 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i 17d50 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 17d60 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 17d70 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db 17d80 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work 17d90 73706163 652f3034 31325f76 3230322f space/0412_v202/ 17da0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 17db0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 17dc0 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor 17dd0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 17de0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 17df0 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 17e00 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W 17e10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 17e20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 17e30 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 17e40 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro 17e50 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 17e60 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 17e70 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 17e80 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. 17e90 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 17ea0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 17eb0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 17ec0 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc 17ed0 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work 17ee0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 17ef0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 17f00 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a 17f10 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo 17f20 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 17f30 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 17f40 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 17f50 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc 17f60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 17f70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 17f80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 17f90 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ 17fa0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 17fb0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 17fc0 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 17fd0 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int 17fe0 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work 17ff0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 18000 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 18010 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w 18020 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor 18030 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 18040 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 18050 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 18060 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root 18070 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 18080 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 18090 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi 180a0 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 180b0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 180c0 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ 180d0 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works 180e0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 180f0 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o 18100 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac 18110 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 18120 74617267 65742f61 64662f6f 732f696e target/adf/os/in 18130 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 18140 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 18150 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 18160 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 18170 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i 18180 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 18190 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 181a0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 181b0 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works 181c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 181d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 181e0 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf 181f0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 18200 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 18210 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 18220 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. 18230 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 18240 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i 18250 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 18260 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 18270 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 18280 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po 18290 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor 182a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 182b0 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ 182c0 696e6300 00646d61 5f656e67 696e652e inc..dma_engine. 182d0 63000100 00737973 5f636667 2e680002 c....sys_cfg.h.. 182e0 0000726f 6d5f6366 672e6800 0300006d ..rom_cfg.h....m 182f0 61677069 655f6d65 6d2e6800 02000064 agpie_mem.h....d 18300 745f6465 66732e68 00040000 7265675f t_defs.h....reg_ 18310 64656673 2e680003 00006465 73632e68 defs.h....desc.h 18320 00050000 6f736170 692e6800 0400004f ....osapi.h....O 18330 5455535f 736f632e 68000600 00646d61 TUS_soc.h....dma 18340 5f656e67 696e655f 6170692e 68000700 _engine_api.h... 18350 00766275 665f6170 692e6800 08000076 .vbuf_api.h....v 18360 64657363 5f617069 2e680009 00004d61 desc_api.h....Ma 18370 67706965 5f617069 2e680002 0000636d gpie_api.h....cm 18380 6e6f735f 6170692e 68000a00 00636f72 nos_api.h....cor 18390 652e6800 0b000068 616c2e68 000c0000 e.h....hal.h.... 183a0 636f7265 2d697361 2e68000d 0000636f core-isa.h....co 183b0 72652d6d 61746d61 702e6800 0d000074 re-matmap.h....t 183c0 69652e68 000d0000 78747275 6e74696d ie.h....xtruntim 183d0 652e6800 0c000073 70656372 65672e68 e.h....specreg.h 183e0 000d0000 636f7265 62697473 2e68000c ....corebits.h.. 183f0 00007072 696e7466 5f617069 2e68000e ..printf_api.h.. 18400 00007561 72745f61 70692e68 000f0000 ..uart_api.h.... 18410 6462675f 6170692e 68001000 006d656d dbg_api.h....mem 18420 5f617069 2e680011 00006d69 73635f61 _api.h....misc_a 18430 70692e68 00120000 73747269 6e675f61 pi.h....string_a 18440 70692e68 00130000 74696d65 725f6170 pi.h....timer_ap 18450 692e6800 14000072 6f6d705f 6170692e i.h....romp_api. 18460 68001500 00616c6c 6f637261 6d5f6170 h....allocram_ap 18470 692e6800 16000074 61736b6c 65745f61 i.h....tasklet_a 18480 70692e68 00170000 636c6f63 6b5f6170 pi.h....clock_ap 18490 692e6800 18000069 6e74725f 6170692e i.h....intr_api. 184a0 68001900 00776474 5f617069 2e68001a h....wdt_api.h.. 184b0 00006565 70726f6d 5f617069 2e68001b ..eeprom_api.h.. 184c0 00007573 625f6170 692e6800 1c000068 ..usb_api.h....h 184d0 69665f70 63692e68 001c0000 6869665f if_pci.h....hif_ 184e0 6170692e 68001c00 00616466 5f6e6275 api.h....adf_nbu 184f0 662e6800 1d000061 64665f6f 735f7574 f.h....adf_os_ut 18500 696c2e68 001e0000 6164665f 6f735f75 il.h....adf_os_u 18510 74696c5f 7076742e 68001f00 00616466 til_pvt.h....adf 18520 5f6f735f 74797065 732e6800 1e000061 _os_types.h....a 18530 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h 18540 001e0000 6164665f 6f735f74 79706573 ....adf_os_types 18550 5f707674 2e68001f 00007374 64646566 _pvt.h....stddef 18560 2e680020 00007374 64617267 2e680004 .h. ..stdarg.h.. 18570 00007661 2d787465 6e73612e 68002000 ..va-xtensa.h. . 18580 00616466 5f6f735f 646d612e 68001e00 .adf_os_dma.h... 18590 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. 185a0 68001f00 00616466 5f6e6574 5f747970 h....adf_net_typ 185b0 65732e68 00210000 6164665f 6e627566 es.h.!..adf_nbuf 185c0 5f707674 2e680022 0000646d 615f6c69 _pvt.h."..dma_li 185d0 622e6800 1c000068 69665f67 6d61632e b.h....hif_gmac. 185e0 68001c00 00757362 6669666f 5f617069 h....usbfifo_api 185f0 2e68001c 00006874 635f6170 692e6800 .h....htc_api.h. 18600 23000068 74632e68 00240000 6275665f #..htc.h.$..buf_ 18610 706f6f6c 5f617069 2e680025 0000776d pool_api.h.%..wm 18620 695f7376 635f6170 692e6800 26000077 i_svc_api.h.&..w 18630 6d692e68 00240000 61746864 6566732e mi.h.$..athdefs. 18640 68002400 00616466 5f6f735f 6d656d2e h.$..adf_os_mem. 18650 68001e00 00616466 5f6f735f 6d656d5f h....adf_os_mem_ 18660 7076742e 68001f00 00616466 5f6f735f pvt.h....adf_os_ 18670 696f2e68 001e0000 6164665f 6f735f69 io.h....adf_os_i 18680 6f5f7076 742e6800 1f000000 00050200 o_pvt.h......... 18690 8e591c03 31010302 09000301 03030900 .Y..1........... 186a0 05010300 09000301 03040900 03010303 ................ 186b0 09000801 036a0900 02010301 09000601 .....j.......... 186c0 03010900 06010301 09000601 03010900 ................ 186d0 06010301 09000501 03010900 05010312 ................ 186e0 09000501 03020900 07010303 09000201 ................ 186f0 03010900 03010301 09000501 03010900 ................ 18700 04010301 09000201 03050900 02010301 ................ 18710 09000301 03020900 09010303 09000501 ................ 18720 03040900 0801037c 09000301 03010900 .......|........ 18730 03010304 09000901 03020900 02010301 ................ 18740 09001d01 03010900 02010305 09000301 ................ 18750 03000900 03010304 09000201 03010900 ................ 18760 08010301 09000801 03030900 03010300 ................ 18770 09000301 03070900 03010301 09000701 ................ 18780 03b57f09 00080103 01090006 01030109 ................ 18790 00060103 01090006 01030109 00060103 ................ 187a0 01090005 01030109 00050103 c8000900 ................ 187b0 05010301 09000301 03010900 07010303 ................ 187c0 09000b01 03030900 05010304 09000501 ................ 187d0 037c0900 02010374 09000201 03140900 .|.....t........ 187e0 0801037f 09000401 03010900 0301037f ................ 187f0 09000301 03010900 02010302 09000301 ................ 18800 03070900 02010300 09000301 03050900 ................ 18810 06010302 09000c01 03020900 0a01043f ...............? 18820 03f27e09 00030104 01039001 09000a01 ..~............. 18830 03010900 0201030f 09000301 03720900 .............r.. 18840 02010301 09000401 03010900 03010301 ................ 18850 09000301 03080900 0501036d 09000401 ...........m.... 18860 03180900 0a010301 09000501 03030900 ................ 18870 03010309 09000301 03770900 0201030a .........w...... 18880 09000201 03020900 0a0103ec 7e090003 ............~... 18890 01030109 00060103 01090006 01030109 ................ 188a0 00060103 01090006 01030109 00050103 ................ 188b0 01090005 01039101 09000501 03010900 ................ 188c0 0601037f 09000201 03010900 03010301 ................ 188d0 09000a01 03010900 06010307 09000801 ................ 188e0 03020900 02010303 09000f01 03040900 ................ 188f0 0c010304 09000501 037c0900 02010368 .........|.....h 18900 09000201 031f0900 03010301 09001201 ................ 18910 03020900 0301037e 09000401 03040900 .......~........ 18920 0601037f 09000201 03020900 02010303 ................ 18930 09000501 03010900 02010303 09000501 ................ 18940 03010900 06010303 09000401 03010900 ................ 18950 03010303 09000501 03040900 03010304 ................ 18960 09001501 037c0900 02010304 09000201 .....|.......... 18970 03040900 04010300 09000301 03060900 ................ 18980 05010303 09000a01 03060900 05010301 ................ 18990 09000a01 03020900 03010301 09000501 ................ 189a0 03040900 07010300 09000301 03040900 ................ 189b0 03010303 09000c01 03040900 05010301 ................ 189c0 09000201 037f0900 02010301 09000201 ................ 189d0 03010900 03010301 09000201 03020900 ................ 189e0 05010303 09000401 03010900 02010301 ................ 189f0 09000501 03040900 06010304 09000301 ................ 18a00 03030900 0b010305 09000501 03120900 ................ 18a10 0b010301 09000901 03750900 02010303 .........u...... 18a20 09001901 03020900 0b010303 09000901 ................ 18a30 03080900 0a010300 09000301 03060900 ................ 18a40 1b010304 09000201 037f0900 0201037f ................ 18a50 09000201 037d0900 02010302 09000201 .....}.......... 18a60 037d0900 0201037f 09000201 037f0900 .}.............. 18a70 0201030d 09000501 037f0900 11010302 ................ 18a80 09000201 037d0900 0201037f 09000201 .....}.......... 18a90 037f0900 02010309 09000201 03030900 ................ 18aa0 02010306 09000301 03010900 05010302 ................ 18ab0 09000b01 03010900 02010303 09000301 ................ 18ac0 037d0900 03010306 09000b01 03040900 .}.............. 18ad0 04010305 09000901 03700900 05010314 .........p...... 18ae0 09000301 03780900 02010308 09000801 .....x.......... 18af0 09000200 01010000 0fad0002 00000e70 ...............p 18b00 0101fb0e 0a000101 01010000 00012f72 ............../r 18b10 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 18b20 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 18b30 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 18b40 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 18b50 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 18b60 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 18b70 656e6769 6e652f73 7263002f 726f6f74 engine/src./root 18b80 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 18b90 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 18ba0 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ 18bb0 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 18bc0 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 18bd0 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 18be0 5f50302f 7874656e 73612d65 6c662f69 _P0/xtensa-elf/i 18bf0 6e636c75 6465002f 6f70742f 7874656e nclude./opt/xten 18c00 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 18c10 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 18c20 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 18c30 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 18c40 662f696e 636c7564 652f7379 73002f6f f/include/sys./o 18c50 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 18c60 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui 18c70 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li 18c80 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt 18c90 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include 18ca0 2f6d6163 68696e65 002f6f70 742f7874 /machine./opt/xt 18cb0 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 18cc0 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 18cd0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 18ce0 656e7361 546f6f6c 732f6c69 622f7863 ensaTools/lib/xc 18cf0 632d6c69 622f696e 636c7564 65002f72 c-lib/include./r 18d00 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 18d10 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 18d20 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 18d30 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo 18d40 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 18d50 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 18d60 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work 18d70 73706163 652f3034 31325f76 3230322f space/0412_v202/ 18d80 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 18d90 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W 18da0 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 18db0 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 18dc0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 18dd0 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 18de0 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 18df0 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 18e00 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 18e10 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 18e20 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 18e30 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte 18e40 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 18e50 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 18e60 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 18e70 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 18e80 656c662f 696e636c 7564652f 7874656e elf/include/xten 18e90 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X 18ea0 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 18eb0 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 18ec0 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 18ed0 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 18ee0 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 18ef0 612f636f 6e666967 002f726f 6f742f57 a/config./root/W 18f00 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 18f10 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 18f20 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 18f30 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro 18f40 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 18f50 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 18f60 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 18f70 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ 18f80 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 18f90 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 18fa0 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in 18fb0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 18fc0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 18fd0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 18fe0 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i 18ff0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 19000 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 19010 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 19020 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 19030 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 19040 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19050 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19060 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st 19070 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W 19080 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 19090 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 190a0 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 190b0 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo 190c0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 190d0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 190e0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 190f0 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in 19100 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 19110 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19120 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 19130 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 19140 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo 19150 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 19160 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 19170 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 19180 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro 19190 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 191a0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 191b0 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 191c0 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. 191d0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 191e0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 191f0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 19200 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in 19210 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 19220 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19230 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 19240 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i 19250 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 19260 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 19270 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 19280 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 19290 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor 192a0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 192b0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 192c0 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in 192d0 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 192e0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 192f0 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf 19300 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 19310 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a 19320 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r 19330 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 19340 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 19350 65742f61 64662f6f 732f696e 63002f72 et/adf/os/inc./r 19360 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 19370 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 19380 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 19390 2f766275 662f696e 63002f72 6f6f742f /vbuf/inc./root/ 193a0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 193b0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 193c0 67706965 5f315f31 2f726f6d 2f766465 gpie_1_1/rom/vde 193d0 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor 193e0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 193f0 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 19400 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works 19410 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19420 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19430 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf 19440 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 19450 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19460 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19470 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. 19480 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19490 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i 194a0 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 194b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 194c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 194d0 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po 194e0 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor 194f0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 19500 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ 19510 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 19520 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 19530 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 19540 5f312f72 6f6d2f64 6d615f65 6e67696e _1/rom/dma_engin 19550 652f696e 63002f72 6f6f742f 576f726b e/inc./root/Work 19560 73706163 652f3034 31325f76 3230322f space/0412_v202/ 19570 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 19580 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng 19590 696e652f 73726300 00646573 632e6300 ine/src..desc.c. 195a0 01000064 745f6465 66732e68 00020000 ...dt_defs.h.... 195b0 73747269 6e672e68 00030000 5f616e73 string.h...._ans 195c0 692e6800 0300006e 65776c69 622e6800 i.h....newlib.h. 195d0 03000063 6f6e6669 672e6800 04000069 ...config.h....i 195e0 65656566 702e6800 05000072 65656e74 eeefp.h....reent 195f0 2e680004 00005f74 79706573 2e680004 .h...._types.h.. 19600 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd 19610 65662e68 00060000 7265675f 64656673 ef.h....reg_defs 19620 2e680007 00006f73 6170692e 68000200 .h....osapi.h... 19630 004f5455 535f736f 632e6800 0800004d .OTUS_soc.h....M 19640 61677069 655f6170 692e6800 09000063 agpie_api.h....c 19650 6d6e6f73 5f617069 2e68000a 00007379 mnos_api.h....sy 19660 735f6366 672e6800 09000072 6f6d5f63 s_cfg.h....rom_c 19670 66672e68 00070000 6d616770 69655f6d fg.h....magpie_m 19680 656d2e68 00090000 636f7265 2e68000b em.h....core.h.. 19690 00006861 6c2e6800 0c000063 6f72652d ..hal.h....core- 196a0 6973612e 68000d00 00636f72 652d6d61 isa.h....core-ma 196b0 746d6170 2e68000d 00007469 652e6800 tmap.h....tie.h. 196c0 0d000078 7472756e 74696d65 2e68000c ...xtruntime.h.. 196d0 00007370 65637265 672e6800 0d000063 ..specreg.h....c 196e0 6f726562 6974732e 68000c00 00707269 orebits.h....pri 196f0 6e74665f 6170692e 68000e00 00756172 ntf_api.h....uar 19700 745f6170 692e6800 0f000064 62675f61 t_api.h....dbg_a 19710 70692e68 00100000 6d656d5f 6170692e pi.h....mem_api. 19720 68001100 006d6973 635f6170 692e6800 h....misc_api.h. 19730 12000073 7472696e 675f6170 692e6800 ...string_api.h. 19740 13000074 696d6572 5f617069 2e680014 ...timer_api.h.. 19750 0000726f 6d705f61 70692e68 00150000 ..romp_api.h.... 19760 616c6c6f 6372616d 5f617069 2e680016 allocram_api.h.. 19770 00007461 736b6c65 745f6170 692e6800 ..tasklet_api.h. 19780 17000063 6c6f636b 5f617069 2e680018 ...clock_api.h.. 19790 0000696e 74725f61 70692e68 00190000 ..intr_api.h.... 197a0 7764745f 6170692e 68001a00 00656570 wdt_api.h....eep 197b0 726f6d5f 6170692e 68001b00 00757362 rom_api.h....usb 197c0 5f617069 2e68001c 00006869 665f7063 _api.h....hif_pc 197d0 692e6800 1c000068 69665f61 70692e68 i.h....hif_api.h 197e0 001c0000 6164665f 6e627566 2e68001d ....adf_nbuf.h.. 197f0 00006164 665f6f73 5f757469 6c2e6800 ..adf_os_util.h. 19800 1e000061 64665f6f 735f7574 696c5f70 ...adf_os_util_p 19810 76742e68 001f0000 6164665f 6f735f74 vt.h....adf_os_t 19820 79706573 2e68001e 00006164 665f6f73 ypes.h....adf_os 19830 5f737464 74797065 732e6800 1e000061 _stdtypes.h....a 19840 64665f6f 735f7479 7065735f 7076742e df_os_types_pvt. 19850 68001f00 00766275 665f6170 692e6800 h....vbuf_api.h. 19860 20000076 64657363 5f617069 2e680021 ..vdesc_api.h.! 19870 00007374 64617267 2e680002 00007661 ..stdarg.h....va 19880 2d787465 6e73612e 68000600 00616466 -xtensa.h....adf 19890 5f6f735f 646d612e 68001e00 00616466 _os_dma.h....adf 198a0 5f6f735f 646d615f 7076742e 68001f00 _os_dma_pvt.h... 198b0 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h 198c0 00220000 6164665f 6e627566 5f707674 ."..adf_nbuf_pvt 198d0 2e680023 0000646d 615f6c69 622e6800 .h.#..dma_lib.h. 198e0 1c000068 69665f67 6d61632e 68001c00 ...hif_gmac.h... 198f0 00757362 6669666f 5f617069 2e68001c .usbfifo_api.h.. 19900 00006874 635f6170 692e6800 24000068 ..htc_api.h.$..h 19910 74632e68 00250000 6275665f 706f6f6c tc.h.%..buf_pool 19920 5f617069 2e680026 0000776d 695f7376 _api.h.&..wmi_sv 19930 635f6170 692e6800 27000077 6d692e68 c_api.h.'..wmi.h 19940 00250000 61746864 6566732e 68002500 .%..athdefs.h.%. 19950 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. 19960 68002800 00646573 632e6800 29000000 h.(..desc.h.)... 19970 00050200 8e5cf003 3a010300 09000301 .....\..:....... 19980 03030900 02010301 09000701 030d0900 ................ 19990 02010376 09000201 03080900 17010302 ...v............ 199a0 09000201 037e0900 02010302 09000c01 .....~.......... 199b0 03190900 05010309 09000301 03030900 ................ 199c0 06010301 09000601 03010900 0601030a ................ 199d0 09000601 03080900 0b010304 09000801 ................ 199e0 037c0900 08010304 09000201 03030900 .|.............. 199f0 06010303 09000f01 03050900 0c01037b ...............{ 19a00 09000201 03050900 03010303 09000c01 ................ 19a10 03040900 0b010303 09001101 03010900 ................ 19a20 02010362 09000201 036e0900 050103c8 ...b.....n...... 19a30 00090005 01030909 00030103 04090007 ................ 19a40 01030309 00120103 08090008 01030309 ................ 19a50 000a0103 02090008 01030409 000a0103 ................ 19a60 0509000b 01030309 000f0103 0509000c ................ 19a70 01037b09 00020103 05090003 01030209 ..{............. 19a80 000c0103 0109000b 01030209 00110103 ................ 19a90 01090002 01036009 00020103 76090005 ......`.....v... 19aa0 01090003 00010100 00114a00 0200000c ..........J..... 19ab0 f80101fb 0e0a0001 01010100 0000012f .............../ 19ac0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 19ad0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 19ae0 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 19af0 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 19b00 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 19b10 67706965 5f315f31 2f726f6d 2f667764 gpie_1_1/rom/fwd 19b20 2f002f72 6f6f742f 576f726b 73706163 /./root/Workspac 19b30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19b40 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. 19b50 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19b60 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 19b70 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 19b80 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro 19b90 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 19ba0 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 19bb0 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 19bc0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19bd0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 19be0 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 19bf0 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x 19c00 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 19c10 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 19c20 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 19c30 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 19c40 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 19c50 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt 19c60 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 19c70 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 19c80 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 19c90 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 19ca0 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 19cb0 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten 19cc0 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 19cd0 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 19ce0 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 19cf0 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 19d00 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x 19d10 74656e73 612f636f 6e666967 002f726f tensa/config./ro 19d20 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 19d30 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 19d40 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 19d50 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc 19d60 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 19d70 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 19d80 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 19d90 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i 19da0 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 19db0 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 19dc0 2f746172 6765742f 696e6300 2f726f6f /target/inc./roo 19dd0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 19de0 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 19df0 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ 19e00 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 19e10 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 19e20 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 19e30 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. 19e40 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19e50 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 19e60 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 19e70 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in 19e80 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 19e90 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19ea0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 19eb0 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin 19ec0 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work 19ed0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 19ee0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 19ef0 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t 19f00 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W 19f10 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 19f20 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 19f30 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 19f40 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ 19f50 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 19f60 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 19f70 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 19f80 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram 19f90 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 19fa0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19fb0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19fc0 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta 19fd0 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ 19fe0 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 19ff0 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1a000 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 1a010 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro 1a020 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1a030 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1a040 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 1a050 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ 1a060 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1a070 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1a080 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1a090 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. 1a0a0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1a0b0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1a0c0 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1a0d0 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ 1a0e0 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 1a0f0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1a100 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 1a110 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ 1a120 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1a130 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 1a140 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r 1a150 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 1a160 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 1a170 696e636c 7564652f 6f73002f 726f6f74 include/os./root 1a180 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 1a190 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 1a1a0 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ 1a1b0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 1a1c0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 1a1d0 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 1a1e0 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ 1a1f0 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. 1a200 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1a210 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1a220 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1a230 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo 1a240 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1a250 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1a260 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v 1a270 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W 1a280 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1a290 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 1a2a0 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor 1a2b0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 1a2c0 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 1a2d0 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb 1a2e0 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 1a2f0 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 1a300 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 1a310 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in 1a320 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1a330 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan 1a340 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 1a350 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1a360 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 1a370 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ 1a380 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W 1a390 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1a3a0 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm 1a3b0 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work 1a3c0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1a3d0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1a3e0 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng 1a3f0 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo 1a400 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1a410 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 1a420 69655f31 5f312f72 6f6d2f66 77640000 ie_1_1/rom/fwd.. 1a430 6677642e 63000100 004f5455 535f736f fwd.c....OTUS_so 1a440 632e6800 02000063 6d6e6f73 5f617069 c.h....cmnos_api 1a450 2e680003 00007379 735f6366 672e6800 .h....sys_cfg.h. 1a460 04000072 6f6d5f63 66672e68 00050000 ...rom_cfg.h.... 1a470 6d616770 69655f6d 656d2e68 00040000 magpie_mem.h.... 1a480 636f7265 2e680006 00006861 6c2e6800 core.h....hal.h. 1a490 07000063 6f72652d 6973612e 68000800 ...core-isa.h... 1a4a0 00636f72 652d6d61 746d6170 2e680008 .core-matmap.h.. 1a4b0 00007469 652e6800 08000078 7472756e ..tie.h....xtrun 1a4c0 74696d65 2e680007 00007370 65637265 time.h....specre 1a4d0 672e6800 08000063 6f726562 6974732e g.h....corebits. 1a4e0 68000700 00707269 6e74665f 6170692e h....printf_api. 1a4f0 68000900 00756172 745f6170 692e6800 h....uart_api.h. 1a500 0a000072 65675f64 6566732e 68000500 ...reg_defs.h... 1a510 0064745f 64656673 2e68000b 00006462 .dt_defs.h....db 1a520 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a 1a530 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api 1a540 2e68000e 00007374 72696e67 5f617069 .h....string_api 1a550 2e68000f 00007469 6d65725f 6170692e .h....timer_api. 1a560 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. 1a570 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 1a580 68001200 00746173 6b6c6574 5f617069 h....tasklet_api 1a590 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. 1a5a0 68001400 00696e74 725f6170 692e6800 h....intr_api.h. 1a5b0 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... 1a5c0 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... 1a5d0 7573625f 6170692e 68001800 00686966 usb_api.h....hif 1a5e0 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap 1a5f0 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. 1a600 68001900 00616466 5f6f735f 7574696c h....adf_os_util 1a610 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti 1a620 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o 1a630 735f7479 7065732e 68001a00 00616466 s_types.h....adf 1a640 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. 1a650 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 1a660 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h 1a670 001c0000 6f736170 692e6800 0b000076 ....osapi.h....v 1a680 6275665f 6170692e 68001d00 00766465 buf_api.h....vde 1a690 73635f61 70692e68 001e0000 73746461 sc_api.h....stda 1a6a0 72672e68 000b0000 76612d78 74656e73 rg.h....va-xtens 1a6b0 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm 1a6c0 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm 1a6d0 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n 1a6e0 65745f74 79706573 2e68001f 00006164 et_types.h....ad 1a6f0 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. 1a700 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif 1a710 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi 1a720 655f6170 692e6800 04000075 73626669 e_api.h....usbfi 1a730 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ 1a740 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." 1a750 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h 1a760 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. 1a770 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at 1a780 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e 1a790 6e67696e 655f6170 692e6800 25000066 ngine_api.h.%..f 1a7a0 77642e68 00260000 00043d00 0502008e wd.h.&....=..... 1a7b0 5e600335 01030109 00030103 01090006 ^`.5............ 1a7c0 01037f09 00050103 01090002 01030109 ................ 1a7d0 00030104 01036109 00050103 00090003 ......a......... 1a7e0 01030409 000c0103 01090002 01030309 ................ 1a7f0 00020103 7e090003 01030209 00020103 ....~........... 1a800 04090005 01030209 000d0103 0209000a ................ 1a810 01030509 00040103 00090003 01030109 ................ 1a820 00080103 0109000b 01030409 00020103 ................ 1a830 00090003 01030a09 00030103 7a090002 ............z... 1a840 01037e09 00020103 02090005 01030109 ..~............. 1a850 00090103 7f09000a 01030309 00020103 ................ 1a860 01090003 01037f09 00020103 03090003 ................ 1a870 01030709 00040103 0a090003 01030109 ................ 1a880 000a0103 7f090003 01030409 00020103 ................ 1a890 01090006 01030109 00050103 01090006 ................ 1a8a0 01030309 00040103 01090007 01030609 ................ 1a8b0 00080103 7d090003 01030209 00020103 ....}........... 1a8c0 04090005 01030109 00080103 0109000a ................ 1a8d0 01030109 00020103 7d090002 01030709 ........}....... 1a8e0 00020103 01090004 01037f09 00020103 ................ 1a8f0 02090005 01030109 000c0103 02090003 ................ 1a900 01030109 00020103 7d090002 01030409 ........}....... 1a910 00030103 7c090002 01030309 00030103 ....|........... 1a920 7f090003 01030209 00030103 7e090003 ............~... 1a930 01030409 00040103 0309000c 01030109 ................ 1a940 00070103 0c090003 01030409 000a0103 ................ 1a950 04090011 01030209 00030103 6d090002 ............m... 1a960 01030409 000c0103 02090005 01030109 ................ 1a970 00030103 1009000b 01030009 00030103 ................ 1a980 02090005 01030109 00020103 01090002 ................ 1a990 01030109 00020103 01090002 01030109 ................ 1a9a0 00020103 01090002 01030109 00020103 ................ 1a9b0 01090002 01030109 00020103 01090002 ................ 1a9c0 01030109 00020103 01090002 01030409 ................ 1a9d0 00040103 00090003 01030309 00030103 ................ 1a9e0 0209000c 01030209 00090103 0209000c ................ 1a9f0 01030309 00090103 02090009 01030309 ................ 1aa00 000c0103 0209000c 01030109 00090103 ................ 1aa10 03090009 01030209 000c0103 01090009 ................ 1aa20 01030309 00090103 02090009 01030209 ................ 1aa30 000e0103 01090005 01030209 000c0103 ................ 1aa40 01090005 01030109 00090103 01090009 ................ 1aa50 01030409 00040103 00090003 01030309 ................ 1aa60 00030103 0109000b 01030109 00090103 ................ 1aa70 7f09000b 01030409 00090103 0609000e ................ 1aa80 01030009 00030103 01090003 01030109 ................ 1aa90 000e0103 04090004 01030409 00030103 ................ 1aaa0 0109001a 01030609 00050103 04090002 ................ 1aab0 01037609 00020103 02090003 01030509 ..v............. 1aac0 00050103 7f090003 01037e09 00090103 ..........~..... 1aad0 05090005 01030309 00020103 05090007 ................ 1aae0 01030009 00030103 04090006 01030109 ................ 1aaf0 000a0103 01090005 01030209 00050103 ................ 1ab00 01090007 01030109 00020103 7b090005 ............{... 1ab10 01030709 00030103 0109000d 01030409 ................ 1ab20 00050103 00090003 01030409 00020103 ................ 1ab30 0109000b 01030209 00090103 7d090002 ............}... 1ab40 01030309 00020103 09090003 01030309 ................ 1ab50 00030103 01090008 01030209 000b0103 ................ 1ab60 01090007 01030209 000b0103 7f090002 ................ 1ab70 01030109 00040103 03090003 01030109 ................ 1ab80 00050103 01090005 01030109 000b0103 ................ 1ab90 02090005 01030209 00070103 02090007 ................ 1aba0 01030609 00030103 0109000f 01030109 ................ 1abb0 00090103 01090002 01037309 00050103 ..........s..... 1abc0 10090008 01030209 00090103 7f090002 ................ 1abd0 01030109 00040103 03090003 01030109 ................ 1abe0 00030103 01090003 01030609 00090109 ................ 1abf0 00040001 0100000e bd000200 000d4501 ..............E. 1ac00 01fb0e0a 00010101 01000000 012f726f ............./ro 1ac10 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1ac20 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1ac30 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 1ac40 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 1ac50 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 1ac60 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p 1ac70 6f6f6c2f 73726300 2f726f6f 742f576f ool/src./root/Wo 1ac80 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1ac90 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 1aca0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 1acb0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 1acc0 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ 1acd0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1ace0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1acf0 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 1ad00 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1ad10 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1ad20 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 1ad30 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ 1ad40 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1ad50 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1ad60 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 1ad70 632f6d61 67706965 002f6f70 742f7874 c/magpie./opt/xt 1ad80 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 1ad90 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 1ada0 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 1adb0 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 1adc0 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 1add0 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ 1ade0 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 1adf0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 1ae00 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 1ae10 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 1ae20 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 1ae30 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens 1ae40 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 1ae50 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 1ae60 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp 1ae70 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf 1ae80 2f617263 682f696e 636c7564 652f7874 /arch/include/xt 1ae90 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo 1aea0 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1aeb0 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1aec0 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 1aed0 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. 1aee0 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1aef0 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1af00 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1af10 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in 1af20 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1af30 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1af40 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg 1af50 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1af60 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1af70 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1af80 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me 1af90 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work 1afa0 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1afb0 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1afc0 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 1afd0 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo 1afe0 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1aff0 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 1b000 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 1b010 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo 1b020 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1b030 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1b040 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 1b050 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ 1b060 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b070 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1b080 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1b090 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch 1b0a0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1b0b0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1b0c0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1b0d0 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al 1b0e0 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root 1b0f0 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 1b100 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 1b110 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 1b120 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. 1b130 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1b140 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1b150 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1b160 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i 1b170 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 1b180 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 1b190 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 1b1a0 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr 1b1b0 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1b1c0 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1b1d0 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1b1e0 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd 1b1f0 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 1b200 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1b210 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1b220 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 1b230 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ 1b240 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 1b250 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1b260 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 1b270 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1b280 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1b290 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 1b2a0 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp 1b2b0 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1b2c0 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os 1b2d0 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 1b2e0 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 1b2f0 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc 1b300 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 1b310 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 1b320 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 1b330 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 1b340 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in 1b350 636c7564 65002f72 6f6f742f 576f726b clude./root/Work 1b360 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1b370 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1b380 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in 1b390 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1b3a0 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1b3b0 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 1b3c0 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ 1b3d0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b3e0 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 1b3f0 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro 1b400 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1b410 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1b420 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 1b430 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro 1b440 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1b450 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1b460 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 1b470 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo 1b480 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1b490 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ 1b4a0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b4b0 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1b4c0 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1b4d0 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ 1b4e0 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b4f0 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 1b500 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo 1b510 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1b520 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1b530 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d 1b540 6d615f65 6e67696e 652f696e 63002f72 ma_engine/inc./r 1b550 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 1b560 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 1b570 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 1b580 2f627566 5f706f6f 6c2f7372 63000062 /buf_pool/src..b 1b590 75665f70 6f6f6c5f 73746174 69632e63 uf_pool_static.c 1b5a0 00010000 6f736170 692e6800 0200004f ....osapi.h....O 1b5b0 5455535f 736f632e 68000300 004d6167 TUS_soc.h....Mag 1b5c0 7069655f 6170692e 68000400 00636d6e pie_api.h....cmn 1b5d0 6f735f61 70692e68 00050000 7379735f os_api.h....sys_ 1b5e0 6366672e 68000400 00726f6d 5f636667 cfg.h....rom_cfg 1b5f0 2e680006 00006d61 67706965 5f6d656d .h....magpie_mem 1b600 2e680004 0000636f 72652e68 00070000 .h....core.h.... 1b610 68616c2e 68000800 00636f72 652d6973 hal.h....core-is 1b620 612e6800 09000063 6f72652d 6d61746d a.h....core-matm 1b630 61702e68 00090000 7469652e 68000900 ap.h....tie.h... 1b640 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... 1b650 73706563 7265672e 68000900 00636f72 specreg.h....cor 1b660 65626974 732e6800 08000070 72696e74 ebits.h....print 1b670 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ 1b680 6170692e 68000b00 00726567 5f646566 api.h....reg_def 1b690 732e6800 06000064 745f6465 66732e68 s.h....dt_defs.h 1b6a0 00020000 6462675f 6170692e 68000c00 ....dbg_api.h... 1b6b0 006d656d 5f617069 2e68000d 00006d69 .mem_api.h....mi 1b6c0 73635f61 70692e68 000e0000 73747269 sc_api.h....stri 1b6d0 6e675f61 70692e68 000f0000 74696d65 ng_api.h....time 1b6e0 725f6170 692e6800 10000072 6f6d705f r_api.h....romp_ 1b6f0 6170692e 68001100 00616c6c 6f637261 api.h....allocra 1b700 6d5f6170 692e6800 12000074 61736b6c m_api.h....taskl 1b710 65745f61 70692e68 00130000 636c6f63 et_api.h....cloc 1b720 6b5f6170 692e6800 14000069 6e74725f k_api.h....intr_ 1b730 6170692e 68001500 00776474 5f617069 api.h....wdt_api 1b740 2e680016 00006565 70726f6d 5f617069 .h....eeprom_api 1b750 2e680017 00007573 625f6170 692e6800 .h....usb_api.h. 1b760 18000068 69665f70 63692e68 00180000 ...hif_pci.h.... 1b770 6869665f 6170692e 68001800 00616466 hif_api.h....adf 1b780 5f6e6275 662e6800 19000061 64665f6f _nbuf.h....adf_o 1b790 735f7574 696c2e68 001a0000 6164665f s_util.h....adf_ 1b7a0 6f735f75 74696c5f 7076742e 68001b00 os_util_pvt.h... 1b7b0 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. 1b7c0 1a000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp 1b7d0 65732e68 001a0000 6164665f 6f735f74 es.h....adf_os_t 1b7e0 79706573 5f707674 2e68001b 00007374 ypes_pvt.h....st 1b7f0 64646566 2e68001c 00007662 75665f61 ddef.h....vbuf_a 1b800 70692e68 001d0000 76646573 635f6170 pi.h....vdesc_ap 1b810 692e6800 1e000073 74646172 672e6800 i.h....stdarg.h. 1b820 02000076 612d7874 656e7361 2e68001c ...va-xtensa.h.. 1b830 00006164 665f6f73 5f646d61 2e68001a ..adf_os_dma.h.. 1b840 00006164 665f6f73 5f646d61 5f707674 ..adf_os_dma_pvt 1b850 2e68001b 00006164 665f6e65 745f7479 .h....adf_net_ty 1b860 7065732e 68001f00 00616466 5f6e6275 pes.h....adf_nbu 1b870 665f7076 742e6800 20000064 6d615f6c f_pvt.h. ..dma_l 1b880 69622e68 00180000 6869665f 676d6163 ib.h....hif_gmac 1b890 2e680018 00007573 62666966 6f5f6170 .h....usbfifo_ap 1b8a0 692e6800 18000068 74635f61 70692e68 i.h....htc_api.h 1b8b0 00210000 6874632e 68002200 00627566 .!..htc.h."..buf 1b8c0 5f706f6f 6c5f6170 692e6800 23000077 _pool_api.h.#..w 1b8d0 6d695f73 76635f61 70692e68 00240000 mi_svc_api.h.$.. 1b8e0 776d692e 68002200 00617468 64656673 wmi.h."..athdefs 1b8f0 2e680022 0000646d 615f656e 67696e65 .h."..dma_engine 1b900 5f617069 2e680025 00006164 665f6f73 _api.h.%..adf_os 1b910 5f6d656d 2e68001a 00006164 665f6f73 _mem.h....adf_os 1b920 5f6d656d 5f707674 2e68001b 00006275 _mem_pvt.h....bu 1b930 665f706f 6f6c5f73 74617469 632e6800 f_pool_static.h. 1b940 26000000 00050200 8e626403 21010300 &........bd.!... 1b950 09000301 03060900 1201037f 09000201 ................ 1b960 037f0900 0201037f 09000201 037f0900 ................ 1b970 0201037f 09000201 03060900 02010303 ................ 1b980 09000301 03000900 03010304 09000301 ................ 1b990 03010900 0a010301 09000201 03020900 ................ 1b9a0 0201030d 09000401 03020900 03010303 ................ 1b9b0 09000501 03000900 03010307 09000201 ................ 1b9c0 03010900 0e010301 09000601 037f0900 ................ 1b9d0 03010301 09000201 043e03bb 7f090005 .........>...... 1b9e0 01040103 c3000900 0a010312 09000201 ................ 1b9f0 03730900 0201037f 09000301 03030900 .s.............. 1ba00 0201037f 09000501 03060900 0301037e ...............~ 1ba10 09000201 037f0900 02010304 09000501 ................ 1ba20 03730900 02010313 09000301 037c0900 .s...........|.. 1ba30 02010304 09000501 03030900 05010300 ................ 1ba40 09000301 03030900 03010302 09000501 ................ 1ba50 03030900 0401037d 09000201 03020900 .......}........ 1ba60 04010301 09000201 03010900 03010301 ................ 1ba70 09000301 03030900 03010304 09000401 ................ 1ba80 03000900 03010301 09000601 03040900 ................ 1ba90 07010300 09000301 03010900 03010303 ................ 1baa0 09000701 037e0900 02010305 09000201 .....~.......... 1bab0 09000200 01010000 02b60002 00000288 ................ 1bac0 0101fb0e 0a000101 01010000 00012f66 ............../f 1bad0 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec 1bae0 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p 1baf0 305f636f 72652f70 726f6a65 63742f73 0_core/project/s 1bb00 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt 1bb10 6f73002f 6361642f 74656e73 696c6963 os./cad/tensilic 1bb20 612f746f 6f6c732f 52422d32 3030372e a/tools/RB-2007. 1bb30 322f5874 44657654 6f6f6c73 2f696e73 2/XtDevTools/ins 1bb40 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 1bb50 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 1bb60 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 1bb70 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 1bb80 2f636f6e 66696700 2f636164 2f74656e /config./cad/ten 1bb90 73696c69 63612f74 6f6f6c73 2f52422d silica/tools/RB- 1bba0 32303037 2e322f58 74446576 546f6f6c 2007.2/XtDevTool 1bbb0 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 1bbc0 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 1bbd0 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 1bbe0 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 1bbf0 74656e73 61002f74 72656573 2f627961 tensa./trees/bya 1bc00 6e672f4d 61677069 655f5030 2f636164 ng/Magpie_P0/cad 1bc10 2f617468 722f6970 2f74656e 73696c69 /athr/ip/tensili 1bc20 63612f6d 61677069 655f7631 5f302f69 ca/magpie_v1_0/i 1bc30 6e737461 6c6c2f62 75696c64 732f5242 nstall/builds/RB 1bc40 2d323030 372e322d 6c696e75 782f4d61 -2007.2-linux/Ma 1bc50 67706965 5f50302f 7874656e 73612d65 gpie_P0/xtensa-e 1bc60 6c662f61 7263682f 696e636c 7564652f lf/arch/include/ 1bc70 7874656e 73612f63 6f6e6669 67000065 xtensa/config..e 1bc80 78632d73 65746861 6e646c65 722e6300 xc-sethandler.c. 1bc90 01000063 6f72652e 68000200 0068616c ...core.h....hal 1bca0 2e680003 0000636f 72652d69 73612e68 .h....core-isa.h 1bcb0 00040000 636f7265 2d6d6174 6d61702e ....core-matmap. 1bcc0 68000400 00746965 2e680004 00007370 h....tie.h....sp 1bcd0 65637265 672e6800 04000063 6f726562 ecreg.h....coreb 1bce0 6974732e 68000300 0078746f 732d696e its.h....xtos-in 1bcf0 7465726e 616c2e68 00010000 78747275 ternal.h....xtru 1bd00 6e74696d 652e6800 03000078 7472756e ntime.h....xtrun 1bd10 74696d65 2d667261 6d65732e 68000300 time-frames.h... 1bd20 00787465 6e73612d 76657273 696f6e73 .xtensa-versions 1bd30 2e680003 00007874 6f732d70 6172616d .h....xtos-param 1bd40 732e6800 01000000 00050200 8e634803 s.h..........cH. 1bd50 20010303 09000301 030c0900 08010300 ............... 1bd60 09002901 03000900 04010900 29000101 ..).........)... 1bd70 0000003b 00020000 001f0101 fb0e0a00 ...;............ 1bd80 01010101 00000001 00657863 2d746162 .........exc-tab 1bd90 6c652e53 00000000 00000502 008e6950 le.S..........iP 1bda0 03290103 02090003 01090002 00010100 .).............. 1bdb0 00010f00 02000000 2b0101fb 0e0a0001 ........+....... 1bdc0 01010100 00000100 6578632d 632d7772 ........exc-c-wr 1bdd0 61707065 722d6861 6e646c65 722e5300 apper-handler.S. 1bde0 00000000 00050200 8e63ac03 1d010301 .........c...... 1bdf0 09000201 030d0900 06010307 09000201 ................ 1be00 032b0900 02010302 09000301 03010900 .+.............. 1be10 03010307 09000301 03010900 02010315 ................ 1be20 09000201 03020900 03010302 09000301 ................ 1be30 03d30009 00030103 01090003 01030809 ................ 1be40 00030103 c1000900 03010301 09000301 ................ 1be50 03060900 03010301 09000301 03020900 ................ 1be60 02010301 09000201 03020900 0f010301 ................ 1be70 09000301 03070900 02010302 09000201 ................ 1be80 03010900 03010308 09000301 03010900 ................ 1be90 0f01031c 09000201 03030900 03010302 ................ 1bea0 09000301 03070900 0301030b 09000301 ................ 1beb0 03010900 03010301 09000301 09000200 ................ 1bec0 01010000 00c80002 00000064 0101fb0e ...........d.... 1bed0 0a000101 01010000 00012f68 6f6d652f ........../home/ 1bee0 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB 1bef0 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw 1bf00 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ 1bf10 7874656e 73612d65 6c662f73 72632f68 xtensa-elf/src/h 1bf20 616c0000 636c6f63 6b2e5300 01000000 al..clock.S..... 1bf30 00050200 8e695803 2a010302 09000301 .....iX.*....... 1bf40 030e0900 0301030d 09000201 03020900 ................ 1bf50 03010301 09000301 030a0900 03010304 ................ 1bf60 09000301 03090900 04010302 09000301 ................ 1bf70 03010900 02010301 09000301 030c0900 ................ 1bf80 02010302 09000201 09000200 01010000 ................ 1bf90 01c70002 000001c1 0101fb0e 0a000101 ................ 1bfa0 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1bfb0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1bfc0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1bfd0 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 1bfe0 73612d65 6c662f73 72632f68 616c002f sa-elf/src/hal./ 1bff0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr 1c000 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too 1c010 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l 1c020 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ 1c030 696e636c 7564652f 7874656e 73612f63 include/xtensa/c 1c040 6f6e6669 67002f68 6f6d652f 63757374 onfig./home/cust 1c050 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1c060 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1c070 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 1c080 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 1c090 74656e73 61002f70 726f6a65 63742f63 tensa./project/c 1c0a0 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 1c0b0 30372e32 2f627569 6c642f61 74686572 07.2/build/ather 1c0c0 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P 1c0d0 302f3833 3734332f 52422d32 3030372e 0/83743/RB-2007. 1c0e0 322f4d61 67706965 5f50302f 7874656e 2/Magpie_P0/xten 1c0f0 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl 1c100 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi 1c110 6700006d 6973632e 63000100 00636f72 g..misc.c....cor 1c120 652e6800 02000068 616c2e68 00030000 e.h....hal.h.... 1c130 636f7265 2d697361 2e680004 0000636f core-isa.h....co 1c140 72652d6d 61746d61 702e6800 04000074 re-matmap.h....t 1c150 69652e68 00040000 00000003 31000200 ie.h........1... 1c160 00006e01 01fb0e0a 00010101 01000000 ..n............. 1c170 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ 1c180 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t 1c190 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 1c1a0 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el 1c1b0 662f7372 632f6861 6c000077 696e646f f/src/hal..windo 1c1c0 77737069 6c6c5f61 736d2e53 00010000 wspill_asm.S.... 1c1d0 00000502 008e6428 03f10001 03010900 ......d(........ 1c1e0 03010301 09000201 03010900 03010301 ................ 1c1f0 09000301 03010900 03010301 09000301 ................ 1c200 03020900 03010301 09000301 030f0900 ................ 1c210 03010301 09000301 03010900 03010301 ................ 1c220 09000301 030c0900 03010301 09000301 ................ 1c230 03090900 03010302 09000301 03010900 ................ 1c240 03010304 09000301 03010900 03010303 ................ 1c250 09000301 03180900 03010301 09000301 ................ 1c260 03010900 03010301 09000301 03010900 ................ 1c270 03010306 09000301 03010900 03010301 ................ 1c280 09000201 03010900 02010301 09000201 ................ 1c290 03020900 02010301 09000301 03020900 ................ 1c2a0 03010304 09000301 03010900 03010301 ................ 1c2b0 09000201 03010900 02010301 09000201 ................ 1c2c0 03020900 02010301 09000301 03020900 ................ 1c2d0 02010302 09000301 03010900 02010301 ................ 1c2e0 09000201 03010900 02010302 09000201 ................ 1c2f0 03010900 03010302 09000301 03040900 ................ 1c300 03010302 09000301 03010900 03010301 ................ 1c310 09000201 03010900 02010301 09000201 ................ 1c320 03020900 02010301 09000301 03010900 ................ 1c330 02010301 09000301 03020900 03010301 ................ 1c340 09000201 03010900 02010301 09000201 ................ 1c350 03010900 02010301 09000201 03010900 ................ 1c360 02010301 09000201 03020900 02010301 ................ 1c370 09000301 03010900 03010302 09000301 ................ 1c380 03050900 05010301 09000301 03010900 ................ 1c390 03010301 09000301 03010900 02010301 ................ 1c3a0 09000301 03010900 03010301 09000301 ................ 1c3b0 03010900 02010307 09000201 03010900 ................ 1c3c0 0201030f 09000401 03010900 03010301 ................ 1c3d0 09000201 03010900 03010301 09000301 ................ 1c3e0 03010900 02010301 09000301 03010900 ................ 1c3f0 03010304 09000301 03010900 03010301 ................ 1c400 09000301 03010900 03010301 09000301 ................ 1c410 03010900 03010301 09000301 03010900 ................ 1c420 02010301 09000301 03010900 03010302 ................ 1c430 09000301 03010900 0201031a 09000501 ................ 1c440 03020900 03010301 09000301 03010900 ................ 1c450 03010301 09000201 03010900 03010301 ................ 1c460 09000201 03010900 03010301 09000301 ................ 1c470 03010900 03010301 09000201 03010900 ................ 1c480 03010302 09000301 09000200 01010000 ................ 1c490 00880002 00000066 0101fb0e 0a000101 .......f........ 1c4a0 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1c4b0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1c4c0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1c4d0 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 1c4e0 73612d65 6c662f73 72632f68 616c0000 sa-elf/src/hal.. 1c4f0 696e745f 61736d2e 53000100 00000005 int_asm.S....... 1c500 02008e69 80033e01 03020900 03010304 ...i..>......... 1c510 09000301 09000200 01010000 00890002 ................ 1c520 00000066 0101fb0e 0a000101 01010000 ...f............ 1c530 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer 1c540 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 1c550 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 1c560 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e 1c570 6c662f73 72632f68 616c0000 696e745f lf/src/hal..int_ 1c580 61736d2e 53000100 00000005 02008e69 asm.S..........i 1c590 8803e500 01030209 00030103 04090003 ................ 1c5a0 01090002 00010100 00008900 02000000 ................ 1c5b0 660101fb 0e0a0001 01010100 0000012f f............../ 1c5c0 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr 1c5d0 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too 1c5e0 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l 1c5f0 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ 1c600 7372632f 68616c00 00696e74 5f61736d src/hal..int_asm 1c610 2e530001 00000000 0502008e 699003cf .S..........i... 1c620 00010302 09000301 03020900 03010900 ................ 1c630 02000101 000001e8 00020000 01e20101 ................ 1c640 fb0e0a00 01010101 00000001 2f686f6d ............/hom 1c650 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ 1c660 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ 1c670 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu 1c680 782f7874 656e7361 2d656c66 2f737263 x/xtensa-elf/src 1c690 2f68616c 002f686f 6d652f63 7573746f /hal./home/custo 1c6a0 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 1c6b0 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools 1c6c0 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens 1c6d0 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 1c6e0 656e7361 2f636f6e 66696700 2f686f6d ensa/config./hom 1c6f0 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ 1c700 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ 1c710 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu 1c720 782f7874 656e7361 2d656c66 2f696e63 x/xtensa-elf/inc 1c730 6c756465 2f787465 6e736100 2f70726f lude/xtensa./pro 1c740 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp 1c750 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build 1c760 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma 1c770 67706965 5f50302f 38333734 332f5242 gpie_P0/83743/RB 1c780 2d323030 372e322f 4d616770 69655f50 -2007.2/Magpie_P 1c790 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc 1c7a0 682f696e 636c7564 652f7874 656e7361 h/include/xtensa 1c7b0 2f636f6e 66696700 00696e74 65727275 /config..interru 1c7c0 7074732e 63000100 00636f72 652e6800 pts.c....core.h. 1c7d0 02000068 616c2e68 00030000 636f7265 ...hal.h....core 1c7e0 2d697361 2e680004 0000636f 72652d6d -isa.h....core-m 1c7f0 61746d61 702e6800 04000074 69652e68 atmap.h....tie.h 1c800 00040000 73706563 7265672e 68000400 ....specreg.h... 1c810 00636f72 65626974 732e6800 03000000 .corebits.h..... 1c820 00000168 00020000 007f0101 fb0e0a00 ...h............ 1c830 01010101 00000001 2f686f6d 652f6375 ......../home/cu 1c840 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1c850 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto 1c860 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt 1c870 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib 1c880 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x 1c890 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs 1c8a0 2e61736d 00010000 00000502 008e6998 .asm..........i. 1c8b0 03ca0401 03040900 03010301 09000301 ................ 1c8c0 03010900 03010301 09000301 030a0900 ................ 1c8d0 03010301 09000301 03010900 03010301 ................ 1c8e0 09000301 03030900 03010301 09000301 ................ 1c8f0 03010900 03010301 09000301 03040900 ................ 1c900 02010303 09000301 03010900 03010301 ................ 1c910 09000301 03020900 02010301 09000301 ................ 1c920 03070900 03010301 09000301 03020900 ................ 1c930 02010301 09000301 03010900 03010303 ................ 1c940 09000201 03010900 02010301 09000301 ................ 1c950 03010900 03010303 09000401 03010900 ................ 1c960 03010301 09000201 03010900 02010301 ................ 1c970 09000301 03060900 03010304 09000701 ................ 1c980 03020900 02010900 02000101 00000120 ............... 1c990 00020000 007f0101 fb0e0a00 01010101 ................ 1c9a0 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1c9b0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1c9c0 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- 1c9d0 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa 1c9e0 2d656c66 2f737263 2f6c6962 6763632d -elf/src/libgcc- 1c9f0 7863632f 636f6e66 69672f78 74656e73 xcc/config/xtens 1ca00 6100006c 69623166 756e6373 2e61736d a..lib1funcs.asm 1ca10 00010000 00000502 008e6a00 03da0501 ..........j..... 1ca20 03040900 03010301 09000301 03010900 ................ 1ca30 03010301 09000301 03010900 03010301 ................ 1ca40 09000301 03010900 03010302 09000301 ................ 1ca50 03010900 03010301 09000301 03040900 ................ 1ca60 03010303 09000301 03010900 03010302 ................ 1ca70 09000301 03080900 03010301 09000301 ................ 1ca80 03020900 03010301 09000301 03020900 ................ 1ca90 03010303 09000301 03050900 02010304 ................ 1caa0 09000701 03020900 02010900 02000101 ................ 1cab0 00000132 00020000 007f0101 fb0e0a00 ...2............ 1cac0 01010101 00000001 2f686f6d 652f6375 ......../home/cu 1cad0 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1cae0 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto 1caf0 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt 1cb00 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib 1cb10 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x 1cb20 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs 1cb30 2e61736d 00010000 00000502 008e6a4c .asm..........jL 1cb40 03850401 03040900 03010302 09000301 ................ 1cb50 03010900 02010301 09000301 03010900 ................ 1cb60 03010302 09000301 03010900 03010301 ................ 1cb70 09000301 03010900 03010304 09000301 ................ 1cb80 03030900 03010301 09000301 03010900 ................ 1cb90 03010302 09000201 03010900 03010307 ................ 1cba0 09000301 03010900 03010302 09000301 ................ 1cbb0 03030900 02010301 09000201 03040900 ................ 1cbc0 04010301 09000301 03010900 02010306 ................ 1cbd0 09000401 03040900 07010302 09000201 ................ 1cbe0 09000200 01010000 01020002 0000007f ................ 1cbf0 0101fb0e 0a000101 01010000 00012f68 ............../h 1cc00 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 1cc10 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool 1cc20 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li 1cc30 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s 1cc40 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co 1cc50 6e666967 2f787465 6e736100 006c6962 nfig/xtensa..lib 1cc60 3166756e 63732e61 736d0001 00000000 1funcs.asm...... 1cc70 0502008e 6a9c03a0 05010304 09000301 ....j........... 1cc80 03020900 03010301 09000301 03010900 ................ 1cc90 03010302 09000301 03010900 03010301 ................ 1cca0 09000301 03040900 05010303 09000301 ................ 1ccb0 03010900 03010302 09000301 03080900 ................ 1ccc0 03010301 09000301 03020900 03010303 ................ 1ccd0 09000401 03050900 02010304 09000701 ................ 1cce0 03020900 02010900 02000101 000002ec ................ 1ccf0 00020000 02a00101 fb0e0a00 01010101 ................ 1cd00 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1cd10 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1cd20 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1cd30 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1cd40 69622f6e 65776c69 622f6c69 62632f73 ib/newlib/libc/s 1cd50 7472696e 67002f68 6f6d652f 63757374 tring./home/cust 1cd60 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1cd70 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1cd80 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1cd90 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1cda0 2f696e63 6c756465 002f7072 6f6a6563 /include./projec 1cdb0 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 1cdc0 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 1cdd0 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 1cde0 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 1cdf0 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne 1ce00 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ 1ce10 6e65776c 69622f74 6172672d 696e636c newlib/targ-incl 1ce20 75646500 2f686f6d 652f6375 73746f6d ude./home/custom 1ce30 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1ce40 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1ce50 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1ce60 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i 1ce70 6e636c75 64652f73 7973002f 686f6d65 nclude/sys./home 1ce80 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 1ce90 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ 1cea0 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li 1ceb0 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib 1cec0 2f6c6962 632f696e 636c7564 652f6d61 /libc/include/ma 1ced0 6368696e 65002f68 6f6d652f 63757374 chine./home/cust 1cee0 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1cef0 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1cf00 732d7838 362d6c69 6e75782f 6c69622f s-x86-linux/lib/ 1cf10 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. 1cf20 006d656d 636d702e 63000100 00737472 .memcmp.c....str 1cf30 696e672e 68000200 005f616e 73692e68 ing.h...._ansi.h 1cf40 00020000 6e65776c 69622e68 00030000 ....newlib.h.... 1cf50 636f6e66 69672e68 00040000 69656565 config.h....ieee 1cf60 66702e68 00050000 7265656e 742e6800 fp.h....reent.h. 1cf70 0400005f 74797065 732e6800 0400006c ..._types.h....l 1cf80 6f636b2e 68000400 00737464 6465662e ock.h....stddef. 1cf90 68000600 00000005 02008e6a dc033801 h..........j..8. 1cfa0 03180900 03010305 09000b01 03030900 ................ 1cfb0 06010304 09000701 03090900 07010302 ................ 1cfc0 09000a01 037e0900 0d010308 09000201 .....~.......... 1cfd0 03000900 05010900 05000101 00000351 ...............Q 1cfe0 00020000 00760101 fb0e0a00 01010101 .....v.......... 1cff0 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1d000 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1d010 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1d020 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1d030 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m 1d040 61636869 6e652f78 74656e73 6100006d achine/xtensa..m 1d050 656d6370 792e5300 01000000 00050200 emcpy.S......... 1d060 8e6b2503 c6000103 05090003 01030109 .k%............. 1d070 00030103 01090002 01030109 00030103 ................ 1d080 04090002 01030909 00020103 03090003 ................ 1d090 01030109 00030103 01090002 01030109 ................ 1d0a0 00030103 01090003 01030309 00030103 ................ 1d0b0 05090003 01030309 00030103 01090003 ................ 1d0c0 01030109 00030103 01090002 01030109 ................ 1d0d0 00030103 01090003 01030109 00030103 ................ 1d0e0 03090002 01030709 00060103 03090003 ................ 1d0f0 01030109 00030103 01090003 01030409 ................ 1d100 00030103 03090003 01030709 00030103 ................ 1d110 05090003 01030609 00030103 01090002 ................ 1d120 01030109 00020103 01090002 01030109 ................ 1d130 00020103 01090002 01030109 00020103 ................ 1d140 01090002 01030109 00030103 01090002 ................ 1d150 01030609 00030103 03090003 01030109 ................ 1d160 00020103 01090002 01030109 00020103 ................ 1d170 01090002 01030109 00020103 02090002 ................ 1d180 01030109 00030103 01090003 01030109 ................ 1d190 00030103 0b090002 01030109 00020103 ................ 1d1a0 01090002 01030109 00020103 01090002 ................ 1d1b0 01030109 00030103 01090003 01030309 ................ 1d1c0 00020103 01090003 01030109 00020103 ................ 1d1d0 01090003 01030109 00020103 01090003 ................ 1d1e0 01030309 00030103 01090003 01030309 ................ 1d1f0 00030103 08090002 01030409 00030103 ................ 1d200 02090003 01030109 00030103 02090003 ................ 1d210 01030209 00020103 06090003 01030109 ................ 1d220 00020103 01090002 01030109 00030103 ................ 1d230 01090002 01030109 00020103 01090003 ................ 1d240 01030109 00020103 01090002 01030109 ................ 1d250 00030103 01090002 01030109 00030103 ................ 1d260 01090003 01030109 00020103 05090003 ................ 1d270 01030309 00030103 01090002 01030109 ................ 1d280 00020103 01090003 01030109 00020103 ................ 1d290 01090002 01030109 00030103 01090002 ................ 1d2a0 01030109 00030103 02090003 01030309 ................ 1d2b0 00030103 01090002 01030109 00020103 ................ 1d2c0 01090003 01030109 00020103 01090002 ................ 1d2d0 01030309 00020103 02090002 01030109 ................ 1d2e0 00030103 01090003 01030309 00040103 ................ 1d2f0 01090003 01030109 00030103 01090002 ................ 1d300 01030109 00030103 01090003 01030109 ................ 1d310 00020103 01090003 01030309 00020103 ................ 1d320 01090003 01030109 00030109 00020001 ................ 1d330 01000003 29000200 0002ad01 01fb0e0a ....)........... 1d340 00010101 01000000 012f686f 6d652f63 ........./home/c 1d350 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- 1d360 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt 1d370 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs 1d380 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l 1d390 6962632f 73747269 6e67002f 686f6d65 ibc/string./home 1d3a0 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 1d3b0 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ 1d3c0 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li 1d3d0 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib 1d3e0 2f6c6962 632f696e 636c7564 65002f70 /libc/include./p 1d3f0 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena 1d400 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui 1d410 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ 1d420 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ 1d430 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li 1d440 62732f6e 65776c69 622f7874 656e7361 bs/newlib/xtensa 1d450 2d656c66 2f6e6577 6c69622f 74617267 -elf/newlib/targ 1d460 2d696e63 6c756465 002f686f 6d652f63 -include./home/c 1d470 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- 1d480 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt 1d490 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs 1d4a0 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l 1d4b0 6962632f 696e636c 7564652f 73797300 ibc/include/sys. 1d4c0 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t 1d4d0 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 1d4e0 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ 1d4f0 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n 1d500 65776c69 622f6c69 62632f69 6e636c75 ewlib/libc/inclu 1d510 64652f6d 61636869 6e65002f 686f6d65 de/machine./home 1d520 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 1d530 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s 1d540 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux 1d550 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc 1d560 6c756465 00006d65 6d6d6f76 652e6300 lude..memmove.c. 1d570 01000073 7472696e 672e6800 0200005f ...string.h...._ 1d580 616e7369 2e680002 00006e65 776c6962 ansi.h....newlib 1d590 2e680003 0000636f 6e666967 2e680004 .h....config.h.. 1d5a0 00006965 65656670 2e680005 00007265 ..ieeefp.h....re 1d5b0 656e742e 68000400 005f7479 7065732e ent.h...._types. 1d5c0 68000400 006c6f63 6b2e6800 04000073 h....lock.h....s 1d5d0 74646465 662e6800 0600006c 696d6974 tddef.h....limit 1d5e0 732e6800 02000000 00050200 8e6c5c03 s.h..........l\. 1d5f0 3d01031f 09000301 03040900 12010303 =............... 1d600 09000801 03290900 0a01035f 09000501 .....)....._.... 1d610 031b0900 0c010302 09001101 03040900 ................ 1d620 75010365 09000401 03050900 2201037b u..e........"..{ 1d630 09001601 03050900 0b010305 09004801 ..............H. 1d640 03020900 1301037e 09000801 03020900 .......~........ 1d650 0c01037e 09004601 09000800 01010000 ...~..F......... 1d660 01880002 00000076 0101fb0e 0a000101 .......v........ 1d670 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1d680 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1d690 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1d6a0 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1d6b0 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1d6c0 2f6d6163 68696e65 2f787465 6e736100 /machine/xtensa. 1d6d0 006d656d 7365742e 53000100 00000005 .memset.S....... 1d6e0 02008e6e 21033a01 03050900 03010301 ...n!.:......... 1d6f0 09000301 03040900 0201030a 09000301 ................ 1d700 03030900 03010301 09000301 03010900 ................ 1d710 02010303 09000201 03050900 03010303 ................ 1d720 09000301 03010900 03010301 09000201 ................ 1d730 03030900 03010307 09000401 03040900 ................ 1d740 03010301 09000301 03010900 03010301 ................ 1d750 09000301 03010900 03010302 09000301 ................ 1d760 03030900 02010301 09000301 03040900 ................ 1d770 03010304 09000301 03070900 03010301 ................ 1d780 09000201 03010900 02010301 09000201 ................ 1d790 03010900 02010306 09000301 03030900 ................ 1d7a0 03010301 09000201 03010900 02010302 ................ 1d7b0 09000301 03030900 03010301 09000201 ................ 1d7c0 03020900 02010303 09000301 03010900 ................ 1d7d0 03010302 09000201 03030900 03010301 ................ 1d7e0 09000301 09000200 01010000 02de0002 ................ 1d7f0 00000076 0101fb0e 0a000101 01010000 ...v............ 1d800 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer 1d810 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 1d820 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta 1d830 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib 1d840 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac 1d850 68696e65 2f787465 6e736100 00737472 hine/xtensa..str 1d860 636d702e 53000100 00000005 02008e65 cmp.S..........e 1d870 60032c01 03030900 03010301 09000301 `.,............. 1d880 03010900 03010301 09000201 03020900 ................ 1d890 03010301 09000301 03020900 03010301 ................ 1d8a0 09000301 03030900 03010301 09000201 ................ 1d8b0 03010900 03010301 09000201 03010900 ................ 1d8c0 03010301 09000301 03010900 03010301 ................ 1d8d0 09000201 03010900 03010301 09000301 ................ 1d8e0 03010900 02010301 09000301 03010900 ................ 1d8f0 03010301 09000301 03010900 02010301 ................ 1d900 09000301 03010900 03010301 09000201 ................ 1d910 031b0900 05010304 09000201 03030900 ................ 1d920 03010301 09000301 03010900 03010301 ................ 1d930 09000201 03010900 03010302 09000201 ................ 1d940 03050900 03010301 09000301 031d0900 ................ 1d950 04010301 09000301 03090900 03010303 ................ 1d960 09000301 03010900 03010301 09000301 ................ 1d970 03010900 03010301 09000301 03010900 ................ 1d980 03010303 09000301 03010900 03010301 ................ 1d990 09000301 03010900 03010301 09000301 ................ 1d9a0 03010900 03010302 09000301 03010900 ................ 1d9b0 03010302 09000301 03040900 03010301 ................ 1d9c0 09000301 03190900 03010302 09000301 ................ 1d9d0 03010900 03010301 09000301 03010900 ................ 1d9e0 03010301 09000301 03010900 0301030f ................ 1d9f0 09000301 03010900 0201030d 09000201 ................ 1da00 03030900 03010301 09000201 03010900 ................ 1da10 02010301 09000201 03010900 03010301 ................ 1da20 09000301 03010900 03010301 09000301 ................ 1da30 03010900 03010313 09000201 03010900 ................ 1da40 02010306 09000301 03010900 03010301 ................ 1da50 09000301 03010900 03010301 09000201 ................ 1da60 03020900 02010301 09000201 03040900 ................ 1da70 02010301 09000301 03010900 03010301 ................ 1da80 09000301 03010900 03010301 09000301 ................ 1da90 03010900 03010301 09000301 03010900 ................ 1daa0 03010308 09000301 03010900 03010304 ................ 1dab0 09000201 03010900 03010301 09000301 ................ 1dac0 03010900 03010900 02000101 000001d6 ................ 1dad0 00020000 00760101 fb0e0a00 01010101 .....v.......... 1dae0 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1daf0 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1db00 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1db10 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1db20 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m 1db30 61636869 6e652f78 74656e73 61000073 achine/xtensa..s 1db40 74726370 792e5300 01000000 00050200 trcpy.S......... 1db50 8e667803 22010303 09000301 03010900 .fx."........... 1db60 03010301 09000301 03010900 03010301 ................ 1db70 09000301 03010900 03010301 09000301 ................ 1db80 03040900 03010301 09000201 03020900 ................ 1db90 03010303 09000401 03010900 03010301 ................ 1dba0 09000201 03010900 03010301 09000201 ................ 1dbb0 03010900 02010303 09000301 03020900 ................ 1dbc0 03010301 09000301 03010900 02010301 ................ 1dbd0 09000301 03010900 02010301 09000301 ................ 1dbe0 03010900 02010301 09000301 03100900 ................ 1dbf0 03010304 09000201 03010900 03010301 ................ 1dc00 09000201 03010900 02010301 09000301 ................ 1dc10 03010900 03010301 09000301 03010900 ................ 1dc20 02010301 09000301 03100900 02010304 ................ 1dc30 09000301 03020900 02010301 09000301 ................ 1dc40 03040900 03010302 09000301 03010900 ................ 1dc50 03010304 09000201 03020900 03010301 ................ 1dc60 09000301 03010900 02010301 09000301 ................ 1dc70 03130900 05010304 09000201 03020900 ................ 1dc80 03010301 09000301 03010900 02010301 ................ 1dc90 09000301 03020900 02010304 09000301 ................ 1dca0 09000200 01010000 01640002 00000076 .........d.....v 1dcb0 0101fb0e 0a000101 01010000 00012f68 ............../h 1dcc0 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 1dcd0 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro 1dce0 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target 1dcf0 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new 1dd00 6c69622f 6c696263 2f6d6163 68696e65 lib/libc/machine 1dd10 2f787465 6e736100 00737472 6c656e2e /xtensa..strlen. 1dd20 53000100 00000005 02008e67 10032201 S..........g..". 1dd30 03030900 03010301 09000301 03010900 ................ 1dd40 03010301 09000301 03010900 03010301 ................ 1dd50 09000301 03010900 03010301 09000301 ................ 1dd60 03030900 04010301 09000301 03010900 ................ 1dd70 02010301 09000201 03030900 03010301 ................ 1dd80 09000201 03010900 02010301 09000301 ................ 1dd90 03030900 03010301 09000201 03010900 ................ 1dda0 03010312 09000301 03040900 02010302 ................ 1ddb0 09000301 03010900 02010301 09000201 ................ 1ddc0 03010900 03010301 09000301 03020900 ................ 1ddd0 03010306 09000301 03040900 02010301 ................ 1dde0 09000301 03030900 02010301 09000201 ................ 1ddf0 03010900 03010303 09000301 03010900 ................ 1de00 02010301 09000301 09000200 01010000 ................ 1de10 031e0002 000002ad 0101fb0e 0a000101 ................ 1de20 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1de30 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1de40 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1de50 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1de60 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1de70 2f737472 696e6700 2f686f6d 652f6375 /string./home/cu 1de80 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1de90 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte 1dea0 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ 1deb0 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li 1dec0 62632f69 6e636c75 6465002f 70726f6a bc/include./proj 1ded0 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ 1dee0 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ 1def0 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag 1df00 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu 1df10 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ 1df20 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el 1df30 662f6e65 776c6962 2f746172 672d696e f/newlib/targ-in 1df40 636c7564 65002f68 6f6d652f 63757374 clude./home/cust 1df50 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1df60 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1df70 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1df80 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1df90 2f696e63 6c756465 2f737973 002f686f /include/sys./ho 1dfa0 6d652f63 7573746f 6d65722f 74726565 me/customer/tree 1dfb0 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo 1dfc0 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- 1dfd0 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl 1dfe0 69622f6c 6962632f 696e636c 7564652f ib/libc/include/ 1dff0 6d616368 696e6500 2f686f6d 652f6375 machine./home/cu 1e000 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1e010 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto 1e020 6f6c732d 7838362d 6c696e75 782f6c69 ols-x86-linux/li 1e030 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ 1e040 65000073 74726e63 6d702e63 00010000 e..strncmp.c.... 1e050 73747269 6e672e68 00020000 5f616e73 string.h...._ans 1e060 692e6800 0200006e 65776c69 622e6800 i.h....newlib.h. 1e070 03000063 6f6e6669 672e6800 04000069 ...config.h....i 1e080 65656566 702e6800 05000072 65656e74 eeefp.h....reent 1e090 2e680004 00005f74 79706573 2e680004 .h...._types.h.. 1e0a0 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd 1e0b0 65662e68 00060000 6c696d69 74732e68 ef.h....limits.h 1e0c0 00020000 00000502 008e6774 03c10001 ..........gt.... 1e0d0 03120900 03010304 09000301 03170900 ................ 1e0e0 09010304 09001101 03020900 0601037a ...............z 1e0f0 09000801 03090900 0c010300 09000b01 ................ 1e100 03650900 0b01030a 09001501 03780900 .e...........x.. 1e110 07010304 09000501 03040900 0b010311 ................ 1e120 09000a01 03000900 04010900 04000101 ................ 1e130 000002fd 00020000 00770101 fb0e0a00 .........w...... 1e140 01010101 00000001 2f686f6d 652f6375 ......../home/cu 1e150 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1e160 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte 1e170 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ 1e180 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li 1e190 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens 1e1a0 61000073 74726e63 70792e53 00010000 a..strncpy.S.... 1e1b0 00000502 008e6804 03220103 01090003 ......h.."...... 1e1c0 01030109 00020103 01090003 01030109 ................ 1e1d0 00020103 01090002 01030109 00020103 ................ 1e1e0 01090003 01030309 00030103 01090003 ................ 1e1f0 01030109 00020103 01090003 01030109 ................ 1e200 00020103 01090002 01030109 00020103 ................ 1e210 01090003 01030109 00020103 01090003 ................ 1e220 01030109 00020103 01090002 01030109 ................ 1e230 00020103 01090002 01030309 00030103 ................ 1e240 07090003 01030309 00030103 01090002 ................ 1e250 01030209 00030103 01090003 01030109 ................ 1e260 00030103 01090003 01030109 00030103 ................ 1e270 01090003 01030409 00030103 01090002 ................ 1e280 01030209 00030103 06090003 01030109 ................ 1e290 00020103 01090003 01030209 00030103 ................ 1e2a0 05090003 01030109 00050103 01090003 ................ 1e2b0 01030109 00020103 02090002 01030109 ................ 1e2c0 00030103 0b090003 01030409 00020103 ................ 1e2d0 01090003 01030109 00020103 01090003 ................ 1e2e0 01030209 00030103 03090004 01030109 ................ 1e2f0 00030103 01090002 01030109 00030103 ................ 1e300 01090002 01030309 00030103 01090003 ................ 1e310 01030109 00020103 01090003 01030109 ................ 1e320 00030103 01090002 01030109 00030103 ................ 1e330 01090002 01031209 00050103 04090002 ................ 1e340 01030109 00030103 01090003 01030109 ................ 1e350 00020103 01090002 01030109 00030103 ................ 1e360 01090003 01030109 00030103 01090002 ................ 1e370 01030109 00030103 01090002 01031109 ................ 1e380 00030103 04090003 01030209 00020103 ................ 1e390 01090003 01030109 00020103 01090002 ................ 1e3a0 01030409 00050103 02090003 01030109 ................ 1e3b0 00030103 01090003 01030109 00020103 ................ 1e3c0 04090005 01030209 00030103 01090003 ................ 1e3d0 01030109 00020103 01090003 01030109 ................ 1e3e0 00030103 01090002 01031009 00040103 ................ 1e3f0 04090002 01030209 00030103 01090003 ................ 1e400 01030109 00020103 01090003 01030109 ................ 1e410 00020103 01090002 01030209 00020103 ................ 1e420 04090003 01030209 00030109 00020001 ................ 1e430 01 . Contents of section .xt.prop: 0000 008e1000 0000001a 00020082 008e101a ................ 0010 00000007 000200a2 008e1021 00000009 ...........!.... 0020 000200a2 008e102a 00000000 00000008 .......*........ 0030 004e8000 00000010 00002804 008e0c20 .N........(.... 0040 00000006 00020082 008e0c26 00000000 ...........&.... 0050 00000008 008e0f20 00000006 00020082 ....... ........ 0060 008e0f26 00000000 00000008 008e0d40 ...&...........@ 0070 00000006 00020082 008e0d46 00000000 ...........F.... 0080 00000008 008e0000 00000003 00020082 ................ 0090 008e0003 00000000 00002808 008e0004 ..........(..... 00a0 00000018 00002801 008e0020 00000021 ......(.... ...! 00b0 00000082 008e0041 00000000 00004808 .......A......H. 00c0 008e0050 00000008 000001a2 008e0058 ...P...........X 00d0 00000005 00000082 008e005d 00000015 ...........].... 00e0 000000a2 008e0072 0000000a 000000a2 .......r........ 00f0 008e007c 00000001 00000008 008e007d ...|...........} 0100 00000017 000000a2 008e0094 0000000c ................ 0110 000000a2 008e00a0 0000000e 000000a2 ................ 0120 008e00ae 00000002 00000008 008e00b0 ................ 0130 00000006 000000a2 008e00b6 00000009 ................ 0140 000000a2 008e00bf 0000001f 00020082 ................ 0150 004e8010 00000008 00002804 008e0e20 .N........(.... 0160 00000017 00020082 008e0e37 00000000 ...........7.... 0170 00000008 008e0ce4 00000003 00020082 ................ 0180 008e0ce7 00000000 00000008 008e0800 ................ 0190 0000000f 00020182 008e080f 00000000 ................ 01a0 00000108 008e0840 0000000f 00020182 .......@........ 01b0 008e084f 00000000 00000108 008e0880 ...O............ 01c0 0000001e 00020182 008e089e 00000000 ................ 01d0 00000108 008e08c0 0000001e 00020182 ................ 01e0 008e08de 00000000 00000108 008e0900 ................ 01f0 0000002a 00020182 008e092a 00000000 ...*.......*.... 0200 00000108 008e0940 0000002a 00020082 .......@...*.... 0210 008e096a 00000000 00000008 008e0a20 ...j........... 0220 00000006 00020082 008e0a26 00000000 ...........&.... 0230 00000008 008e0b20 00000006 00020082 ....... ........ 0240 008e0b26 00000000 00000008 008e102c ...&..........., 0250 0000001c 00020082 008e1048 0000002a ...........H...* 0260 000200a2 008e1072 00000000 00002808 .......r......(. 0270 008e1074 00000006 00022982 008e107c ...t......)....| 0280 00000034 00022982 008e10b0 00000002 ...4..)......... 0290 00020182 008e10b2 0000000b 000200a2 ................ 02a0 008e10bd 00000023 000200a2 008e10e0 .......#........ 02b0 00000023 000200a2 008e1103 00000000 ...#............ 02c0 00000008 008e1104 00000018 00020082 ................ 02d0 008e111c 00000027 000200a2 008e1143 .......'.......C 02e0 00000006 00020182 008e1149 00000007 ...........I.... 02f0 00020082 008e1150 00000000 00000008 .......P........ 0300 008e1150 00000014 00020082 008e1164 ...P...........d 0310 00000000 00002808 008e1164 00000007 ......(....d.... 0320 00020082 008e116b 00000000 00000008 .......k........ 0330 008e116b 00000008 000200a2 008e1173 ...k...........s 0340 00000000 00000008 004e8020 00000004 .........N. .... 0350 00002804 008e1174 0000001e 00020082 ..(....t........ 0360 008e1192 00000003 00020182 008e1195 ................ 0370 0000004d 00020082 008e11e2 00000000 ...M............ 0380 00000008 004e8028 0000000c 00002804 .....N.(......(. 0390 008e11e4 00000034 00020082 008e1218 .......4........ 03a0 00000000 00000008 008e1218 00000010 ................ 03b0 000200a2 008e1228 00000000 00000008 .......(........ 03c0 008e1228 00000004 000200a2 008e122c ...(..........., 03d0 00000000 00000008 008e122c 00000004 ...........,.... 03e0 000200a2 008e1230 00000000 00002808 .......0......(. 03f0 008e1230 00000010 00020082 008e1240 ...0...........@ 0400 00000000 00000008 004e8034 0000000c .........N.4.... 0410 00002804 008e1240 0000001a 00020082 ..(....@........ 0420 008e125a 00000003 00020182 008e125d ...Z...........] 0430 00000015 00020082 008e1272 00000003 ...........r.... 0440 00020182 008e1275 00000013 00020082 .......u........ 0450 008e1288 00000037 000200a2 008e12bf .......7........ 0460 00000003 00020182 008e12c2 00000012 ................ 0470 00020082 008e12d4 00000014 000200a2 ................ 0480 008e12e8 00000000 00000008 008e12e8 ................ 0490 00000009 00020082 008e12f1 00000000 ................ 04a0 00000008 004e8040 00000008 00002804 .....N.@......(. 04b0 008e12f4 0000001a 00020082 008e130e ................ 04c0 00000003 00020182 008e1311 00000015 ................ 04d0 00020082 008e1326 00000003 00020182 .......&........ 04e0 008e1329 00000013 00020082 008e133c ...)...........< 04f0 00000037 000200a2 008e1373 00000003 ...7.......s.... 0500 00020182 008e1376 00000012 00020082 .......v........ 0510 008e1388 00000011 000200a2 008e1399 ................ 0520 00000000 00000008 008e1399 00000009 ................ 0530 00020082 008e13a2 00000000 00000008 ................ 0540 004e8048 00000008 00002804 008e6920 .N.H......(...i 0550 00000008 00020082 008e6928 00000000 ..........i(.... 0560 00000008 00500504 00000004 00003804 .....P........8. 0570 008e6928 0000000d 00020082 008e6935 ..i(..........i5 0580 00000000 00000008 008e6938 00000018 ..........i8.... 0590 00020082 008e6950 00000000 00000008 ......iP........ 05a0 008e13a4 0000001d 00020082 008e13c1 ................ 05b0 0000001a 000200a2 008e13db 0000001c ................ 05c0 000200a2 008e13f7 00000000 00002808 ..............(. 05d0 008e13f8 00000030 00020082 008e1428 .......0.......( 05e0 00000000 00002808 008e1428 00000015 ......(....(.... 05f0 00020082 008e143d 00000010 000200a2 .......=........ 0600 008e144d 00000000 00000008 008e144d ...M...........M 0610 00000004 000200a2 008e1451 00000000 ...........Q.... 0620 00002808 008e1454 0000000b 00020082 ..(....T........ 0630 008e145f 00000000 00002808 008e1460 ..._......(....` 0640 00000008 00020082 008e1468 00000000 ...........h.... 0650 00002808 008e1468 00000008 00020082 ..(....h........ 0660 008e1470 00000002 000200a2 008e1472 ...p...........r 0670 00000000 00002808 008e1474 00000055 ......(....t...U 0680 00020082 008e14c9 00000009 000200b2 ................ 0690 008e14d2 00000000 00002808 008e14d4 ..........(..... 06a0 00000071 00020082 008e1545 00000000 ...q.......E.... 06b0 00002808 008e1548 00000016 00020082 ..(....H........ 06c0 008e155e 00000007 000200a2 008e1565 ...^...........e 06d0 00000000 00000008 008e1565 00000005 ...........e.... 06e0 000200a2 008e156a 00000002 000200a2 .......j........ 06f0 008e156c 00000000 00000008 008e156c ...l...........l 0700 00000007 000200a2 008e1573 00000000 ...........s.... 0710 00002808 008e1574 00000019 00020082 ..(....t........ 0720 008e158d 00000003 00020092 008e1590 ................ 0730 00000006 000200a2 008e1596 0000006d ...............m 0740 000200a2 008e1603 00000000 00002808 ..............(. 0750 008e1604 00000010 00020082 008e1614 ................ 0760 00000000 00002808 008e1614 00000024 ......(........$ 0770 00020082 008e1638 00000042 000200a2 .......8...B.... 0780 008e167a 00000000 00002808 008e167c ...z......(....| 0790 00000032 00020082 008e16ae 00000000 ...2............ 07a0 00002808 008e16b0 00000036 00020082 ..(........6.... 07b0 008e16e6 00000000 00002808 008e16e8 ..........(..... 07c0 000000a1 00020082 008e1789 00000002 ................ 07d0 000200a2 008e178b 00000000 00002808 ..............(. 07e0 008e178c 000000c2 00020082 008e184e ...............N 07f0 00000000 00000008 008e184e 00000010 ...........N.... 0800 000200a2 008e185e 00000000 00002808 .......^......(. 0810 008e1860 0000003b 00020082 008e189b ...`...;........ 0820 00000000 00002808 008e189c 0000002c ......(........, 0830 00020082 008e18c8 00000000 00002808 ..............(. 0840 008e18c8 00000033 00020082 008e18fb .......3........ 0850 00000000 00002808 008e18fc 00000097 ......(......... 0860 00020082 008e1993 00000000 00000008 ................ 0870 008e1993 00000017 000200a2 008e19aa ................ 0880 00000000 00000008 008e19aa 00000048 ...............H 0890 000200a2 008e19f2 00000032 000200a2 ...........2.... 08a0 008e1a24 00000000 00000008 008e1a24 ...$...........$ 08b0 00000026 000200a2 008e1a4a 00000000 ...&.......J.... 08c0 00000008 008e1a4a 00000015 000200a2 .......J........ 08d0 008e1a5f 00000000 00000008 008e1a5f ..._..........._ 08e0 0000001a 00020082 008e1a79 00000029 ...........y...) 08f0 000200a2 008e1aa2 00000000 00000008 ................ 0900 008e1aa2 0000000c 000200a2 008e1aae ................ 0910 00000000 00000008 008e1aae 00000050 ...............P 0920 000200a2 008e1afe 0000001f 000200a2 ................ 0930 008e1b1d 00000000 00000008 008e1b1d ................ 0940 00000059 000200a2 008e1b76 00000000 ...Y.......v.... 0950 00000008 008e1b76 00000034 000200a2 .......v...4.... 0960 008e1baa 00000000 00000008 008e1baa ................ 0970 00000036 000200a2 008e1be0 00000002 ...6............ 0980 000200a2 008e1be2 00000000 00000008 ................ 0990 008e1be2 00000023 00020082 008e1c05 .......#........ 09a0 00000000 00000008 008e1c05 0000000f ................ 09b0 00020082 008e1c14 00000000 00002808 ..............(. 09c0 008e1c14 0000001e 00020082 008e1c32 ...............2 09d0 00000000 00000008 004e8740 00000000 .........N.@.... 09e0 00004804 004e8050 00000110 00002804 ..H..N.P......(. 09f0 008e1c34 00000010 00020082 008e1c44 ...4...........D 0a00 00000000 00002808 008e1c44 00000021 ......(....D...! 0a10 00020082 008e1c65 00000000 00000008 .......e........ 0a20 008e1c65 0000000f 000200a2 008e1c74 ...e...........t 0a30 00000000 00002808 008e1c74 00000021 ......(....t...! 0a40 00020082 008e1c95 00000000 00002808 ..............(. 0a50 008e1c98 00000014 00020082 008e1cac ................ 0a60 00000000 00000008 004e8160 00000018 .........N.`.... 0a70 00002804 008e1cac 00000015 00020082 ..(............. 0a80 008e1cc1 0000000b 000200a2 008e1ccc ................ 0a90 00000000 00002808 008e1ccc 0000000d ......(......... 0aa0 00020082 008e1cd9 00000000 00002808 ..............(. 0ab0 008e1cdc 0000000c 00020082 008e1ce8 ................ 0ac0 00000000 00002808 008e1ce8 00000005 ......(......... 0ad0 00020082 008e1ced 00000000 00002808 ..............(. 0ae0 008e1cf0 00000005 00020082 008e1cf5 ................ 0af0 00000000 00002808 008e1cf8 00000005 ......(......... 0b00 00020082 008e1cfd 00000000 00002808 ..............(. 0b10 008e1d00 00000005 00020082 008e1d05 ................ 0b20 00000000 00002808 008e1d08 00000005 ......(......... 0b30 00020082 008e1d0d 00000000 00002808 ..............(. 0b40 008e1d10 00000023 00020082 008e1d33 .......#.......3 0b50 00000003 000200a2 008e1d36 0000000d ...........6.... 0b60 000200b2 008e1d43 00000000 00002808 .......C......(. 0b70 008e1d44 00000026 00020082 008e1d6a ...D...&.......j 0b80 00000000 00002808 008e1d6c 00000032 ......(....l...2 0b90 00020082 008e1d9e 00000000 00000008 ................ 0ba0 004e817c 00000034 00002804 008e1da0 .N.|...4..(..... 0bb0 00000047 00020082 008e1de7 00000010 ...G............ 0bc0 000200a2 008e1df7 00000000 00002808 ..............(. 0bd0 008e1df8 00000018 00020082 008e1e10 ................ 0be0 00000013 000200a2 008e1e23 00000000 ...........#.... 0bf0 00002808 008e1e24 00000023 00020082 ..(....$...#.... 0c00 008e1e47 00000000 00000008 008e1e47 ...G...........G 0c10 00000002 000200a2 008e1e49 00000007 ...........I.... 0c20 000200a2 008e1e50 00000000 00000008 .......P........ 0c30 008e1e50 00000004 000200a2 008e1e54 ...P...........T 0c40 00000000 00000008 008e1e54 00000007 ...........T.... 0c50 000200a2 008e1e5b 00000000 00002808 .......[......(. 0c60 008e1e5c 0000001e 00020082 008e1e7a ...\...........z 0c70 00000014 000200a2 008e1e8e 00000010 ................ 0c80 000200a2 008e1e9e 00000004 000200a2 ................ 0c90 008e1ea2 00000000 00000008 008e1ea2 ................ 0ca0 0000000d 000200a2 008e1eaf 00000000 ................ 0cb0 00000008 008e1eaf 0000000d 000200a2 ................ 0cc0 008e1ebc 00000000 00002808 008e1ebc ..........(..... 0cd0 00000019 00020082 008e1ed5 00000014 ................ 0ce0 000200a2 008e1ee9 00000004 000200a2 ................ 0cf0 008e1eed 00000000 00000008 008e1eed ................ 0d00 00000004 000200a2 008e1ef1 00000000 ................ 0d10 00000008 008e1ef1 00000010 000200a2 ................ 0d20 008e1f01 00000000 00002808 008e1f04 ..........(..... 0d30 000001af 00020082 008e20b3 00000000 .......... ..... 0d40 00000008 008e20b3 00000059 000200a2 ...... ....Y.... 0d50 008e210c 00000020 000200a2 008e212c ..!.... ......!, 0d60 00000000 00000008 008e212c 00000011 ..........!,.... 0d70 000200a2 008e213d 00000000 00000008 ......!=........ 0d80 008e213d 0000000e 000200a2 008e214b ..!=..........!K 0d90 00000000 00000008 008e214b 0000001f ..........!K.... 0da0 000200a2 008e216a 00000000 00000008 ......!j........ 0db0 008e216a 00000002 000200a2 008e216c ..!j..........!l 0dc0 00000000 00002808 008e216c 00000019 ......(...!l.... 0dd0 00020082 008e2185 00000000 00000008 ......!......... 0de0 004e81b4 00000050 00002804 008e2188 .N.....P..(...!. 0df0 00000005 00020082 008e218d 00000000 ..........!..... 0e00 00002808 008e2190 00000019 00020082 ..(...!......... 0e10 008e21a9 0000000d 00020092 008e21b6 ..!...........!. 0e20 00000000 00002808 008e21b8 0000003e ......(...!....> 0e30 00020082 008e21f6 00000000 00002808 ......!.......(. 0e40 008e21f8 00000039 00020082 008e2231 ..!....9......"1 0e50 00000000 00002808 008e2234 0000000e ......(..."4.... 0e60 00020082 008e2242 0000001d 000200a2 ......"B........ 0e70 008e225f 00000039 000200a2 008e2298 .."_...9......". 0e80 00000000 00002808 008e2298 0000000e ......(..."..... 0e90 00020082 008e22a6 0000002d 000200a2 ......"....-.... 0ea0 008e22d3 00000024 000200a2 008e22f7 .."....$......". 0eb0 00000000 00002808 008e22f8 0000001e ......(..."..... 0ec0 00020082 008e2316 00000000 00000008 ......#......... 0ed0 004e8208 00000028 00002804 008e2318 .N.....(..(...#. 0ee0 00000005 00020082 008e231d 00000000 ..........#..... 0ef0 00002808 008e2320 0000001e 00020082 ..(...# ........ 0f00 008e233e 00000000 00000008 004e8234 ..#>.........N.4 0f10 00000010 00002804 008e2340 00000011 ......(...#@.... 0f20 00020082 008e2351 00000000 00002808 ......#Q......(. 0f30 008e2354 00000005 00020082 008e2359 ..#T..........#Y 0f40 00000000 00002808 008e235c 00000023 ......(...#\...# 0f50 00020082 008e237f 00000000 00000008 ......#......... 0f60 008e237f 0000002e 000200a2 008e23ad ..#...........#. 0f70 00000000 00002808 008e23b0 00000041 ......(...#....A 0f80 00020082 008e23f1 0000000b 000200a2 ......#......... 0f90 008e23fc 0000001b 000200a2 008e2417 ..#...........$. 0fa0 00000005 000200a2 008e241c 00000000 ..........$..... 0fb0 00002808 008e241c 00000005 00020082 ..(...$......... 0fc0 008e2421 00000000 00002808 008e2424 ..$!......(...$$ 0fd0 00000005 00020082 008e2429 00000000 ..........$).... 0fe0 00002808 008e242c 00000014 00020082 ..(...$,........ 0ff0 008e2440 00000000 00000008 008e2440 ..$@..........$@ 1000 00000014 000200a2 008e2454 00000005 ..........$T.... 1010 000200a2 008e2459 00000000 00002808 ......$Y......(. 1020 008e245c 0000001b 00020082 008e2477 ..$\..........$w 1030 00000000 00000008 008e2477 00000004 ..........$w.... 1040 000200a2 008e247b 00000000 00002808 ......${......(. 1050 008e247c 0000000b 00020082 008e2487 ..$|..........$. 1060 00000000 00002808 008e2488 0000002d ......(...$....- 1070 00020082 008e24b5 00000000 00000008 ......$......... 1080 005006fc 00000000 00002804 004e8248 .P........(..N.H 1090 0000004c 00002804 008e24b8 0000001b ...L..(...$..... 10a0 00020082 008e24d3 00000000 00000008 ......$......... 10b0 008e24d3 0000000a 000200a2 008e24dd ..$...........$. 10c0 00000005 000200a2 008e24e2 00000000 ..........$..... 10d0 00002808 008e24e4 00000011 00020082 ..(...$......... 10e0 008e24f5 00000000 00000008 008e24f5 ..$...........$. 10f0 00000002 000200a2 008e24f7 00000037 ..........$....7 1100 000200a2 008e252e 00000003 00020092 ......%......... 1110 008e2531 00000000 00000008 008e2531 ..%1..........%1 1120 00000007 000200a2 008e2538 00000000 ..........%8.... 1130 00002808 008e2538 0000001b 00020082 ..(...%8........ 1140 008e2553 00000029 000200a2 008e257c ..%S...)......%| 1150 00000000 00000008 008e257c 00000008 ..........%|.... 1160 000200a2 008e2584 00000000 00000008 ......%......... 1170 008e2584 00000007 000200a2 008e258b ..%...........%. 1180 00000018 000200a2 008e25a3 00000000 ..........%..... 1190 00000008 008e25a3 00000015 000200a2 ......%......... 11a0 008e25b8 00000000 00000008 008e25b8 ..%...........%. 11b0 00000011 000200a2 008e25c9 00000020 ..........%.... 11c0 000200a2 008e25e9 00000000 00000008 ......%......... 11d0 008e25e9 00000020 000200a2 008e2609 ..%.... ......&. 11e0 0000000e 000200a2 008e2617 0000001c ..........&..... 11f0 000200a2 008e2633 00000000 00000008 ......&3........ 1200 008e2633 0000004f 000200a2 008e2682 ..&3...O......&. 1210 00000008 000200a2 008e268a 00000000 ..........&..... 1220 00000008 008e268a 00000007 000200a2 ......&......... 1230 008e2691 0000001b 000200a2 008e26ac ..&...........&. 1240 00000041 000200a2 008e26ed 0000002d ...A......&....- 1250 000200a2 008e271a 00000011 000200a2 ......'......... 1260 008e272b 00000000 00000008 008e272b ..'+..........'+ 1270 00000002 000200a2 008e272d 0000001a ..........'-.... 1280 000200a2 008e2747 00000000 00000008 ......'G........ 1290 008e2747 00000020 000200a2 008e2767 ..'G... ......'g 12a0 00000000 00000008 008e2767 00000010 ..........'g.... 12b0 000200a2 008e2777 0000002e 000200a2 ......'w........ 12c0 008e27a5 00000025 000200b2 008e27ca ..'....%......'. 12d0 00000008 000200a2 008e27d2 00000000 ..........'..... 12e0 00000008 008e27d2 0000000d 000200a2 ......'......... 12f0 008e27df 00000000 00000008 008e27df ..'...........'. 1300 00000016 000200a2 008e27f5 0000000e ..........'..... 1310 000200a2 008e2803 00000005 000200a2 ......(......... 1320 008e2808 00000000 00000008 008e2808 ..(...........(. 1330 00000027 000200a2 008e282f 00000000 ...'......(/.... 1340 00000008 008e282f 00000005 000200a2 ......(/........ 1350 008e2834 00000000 00000008 008e2834 ..(4..........(4 1360 00000019 000200a2 008e284d 00000000 ..........(M.... 1370 00000008 008e284d 0000002b 000200a2 ......(M...+.... 1380 008e2878 00000000 00000008 008e2878 ..(x..........(x 1390 00000005 000200a2 008e287d 00000014 ..........(}.... 13a0 000200a2 008e2891 00000000 00000008 ......(......... 13b0 008e2891 00000006 000200a2 008e2897 ..(...........(. 13c0 0000000f 000200a2 008e28a6 00000000 ..........(..... 13d0 00000008 008e28a6 0000000d 000200a2 ......(......... 13e0 008e28b3 00000000 00000008 008e28b3 ..(...........(. 13f0 00000013 000200a2 008e28c6 00000000 ..........(..... 1400 00000008 008e28c6 00000005 000200a2 ......(......... 1410 008e28cb 00000008 000200a2 008e28d3 ..(...........(. 1420 00000000 00000008 008e28d3 00000007 ..........(..... 1430 000200a2 008e28da 00000019 000200a2 ......(......... 1440 008e28f3 0000000c 000200a2 008e28ff ..(...........(. 1450 0000000d 000200a2 008e290c 00000000 ..........)..... 1460 00000008 008e290c 00000005 000200a2 ......)......... 1470 008e2911 00000017 000200a2 008e2928 ..)...........)( 1480 00000000 00000008 008e2928 00000002 ..........)(.... 1490 000200a2 008e292a 00000000 00000008 ......)*........ 14a0 008e292a 00000011 000200a2 008e293b ..)*..........); 14b0 00000000 00000008 008e293b 00000009 ..........);.... 14c0 000200a2 008e2944 00000000 00000008 ......)D........ 14d0 008e2944 00000014 000200a2 008e2958 ..)D..........)X 14e0 00000000 00000008 008e2958 00000007 ..........)X.... 14f0 000200a2 008e295f 00000008 000200a2 ......)_........ 1500 008e2967 00000000 00000008 008e2967 ..)g..........)g 1510 00000011 000200a2 008e2978 00000000 ..........)x.... 1520 00000008 008e2978 00000005 000200a2 ......)x........ 1530 008e297d 00000000 00002808 008e2980 ..)}......(...). 1540 00000033 00020082 008e29b3 00000000 ...3......)..... 1550 00002808 008e29b4 00000005 00020082 ..(...)......... 1560 008e29b9 00000000 00002808 008e29bc ..).......(...). 1570 0000000f 00020082 008e29cb 00000000 ..........)..... 1580 00000008 004e8298 00000018 00002804 .....N........(. 1590 008e29cc 00000018 00020082 008e29e4 ..)...........). 15a0 00000000 00002808 008e29e4 00000022 ......(...)...." 15b0 00020082 008e2a06 00000000 00000008 ......*......... 15c0 008e2a06 0000001c 000200a2 008e2a22 ..*...........*" 15d0 00000027 000200a2 008e2a49 00000004 ...'......*I.... 15e0 000200a2 008e2a4d 00000000 00002808 ......*M......(. 15f0 008e2a50 0000001f 00020082 008e2a6f ..*P..........*o 1600 00000003 00020092 008e2a72 00000000 ..........*r.... 1610 00000008 008e2a72 00000016 000200a2 ......*r........ 1620 008e2a88 00000000 00000008 008e2a88 ..*...........*. 1630 00000004 000200a2 008e2a8c 00000000 ..........*..... 1640 00002808 008e2a8c 0000000d 00020082 ..(...*......... 1650 008e2a99 00000000 00002808 008e2a9c ..*.......(...*. 1660 00000053 00020082 008e2aef 00000004 ...S......*..... 1670 000200a2 008e2af3 00000000 00000008 ......*......... 1680 008e2af3 0000000a 000200a2 008e2afd ..*...........*. 1690 00000000 00002808 008e2b00 00000005 ......(...+..... 16a0 00020082 008e2b05 00000000 00002808 ......+.......(. 16b0 008e2b08 00000019 00020082 008e2b21 ..+...........+! 16c0 00000000 00000008 004e82b4 00000030 .........N.....0 16d0 00002804 008e2b24 00000005 00020082 ..(...+$........ 16e0 008e2b29 00000000 00002808 008e2b2c ..+)......(...+, 16f0 00000023 00020082 008e2b4f 00000000 ...#......+O.... 1700 00000008 004e82e8 00000014 00002804 .....N........(. 1710 008e2b50 0000000c 00020082 008e2b5c ..+P..........+\ 1720 00000000 00002808 008e2b5c 0000000f ......(...+\.... 1730 00020082 008e2b6b 00000000 00002808 ......+k......(. 1740 008e2b6c 00000017 00020082 008e2b83 ..+l..........+. 1750 00000002 000200a2 008e2b85 00000000 ..........+..... 1760 00002808 008e2b88 00000013 00020082 ..(...+......... 1770 008e2b9b 00000002 000200a2 008e2b9d ..+...........+. 1780 00000000 00000008 008e2b9d 0000000a ..........+..... 1790 000200a2 008e2ba7 00000000 00000008 ......+......... 17a0 008e2ba7 0000000a 000200a2 008e2bb1 ..+...........+. 17b0 00000000 00000008 008e2bb1 00000008 ..........+..... 17c0 000200a2 008e2bb9 00000000 00002808 ......+.......(. 17d0 008e2bbc 0000000e 00020082 008e2bca ..+...........+. 17e0 00000016 000200a2 008e2be0 00000004 ..........+..... 17f0 000200a2 008e2be4 00000000 00002808 ......+.......(. 1800 008e2be4 0000001e 00020082 008e2c02 ..+...........,. 1810 00000000 00000008 004e8300 00000014 .........N...... 1820 00002804 008e2c04 0000000f 00020082 ..(...,......... 1830 008e2c13 00000000 00002808 008e2c14 ..,.......(...,. 1840 00000017 00020082 008e2c2b 00000012 ..........,+.... 1850 000200a2 008e2c3d 00000000 00000008 ......,=........ 1860 008e2c3d 0000000a 000200a2 008e2c47 ..,=..........,G 1870 0000000a 000200a2 008e2c51 00000000 ..........,Q.... 1880 00000008 008e2c51 00000005 000200a2 ......,Q........ 1890 008e2c56 00000000 00002808 008e2c58 ..,V......(...,X 18a0 0000000e 00020082 008e2c66 0000000d ..........,f.... 18b0 000200a2 008e2c73 00000000 00000008 ......,s........ 18c0 008e2c73 00000002 000200a2 008e2c75 ..,s..........,u 18d0 00000008 000200a2 008e2c7d 00000006 ..........,}.... 18e0 000200a2 008e2c83 00000000 00000008 ......,......... 18f0 008e2c83 00000005 000200a2 008e2c88 ..,...........,. 1900 00000000 00002808 008e2c88 0000000c ......(...,..... 1910 00020082 008e2c94 00000000 00002808 ......,.......(. 1920 008e2c94 0000002b 00020082 008e2cbf ..,....+......,. 1930 00000000 00000008 008e2cbf 00000002 ..........,..... 1940 000200a2 008e2cc1 00000000 00002808 ......,.......(. 1950 008e2cc4 0000001e 00020082 008e2ce2 ..,...........,. 1960 00000000 00000008 004e8318 00000018 .........N...... 1970 00002804 008e2ce4 00000027 00020082 ..(...,....'.... 1980 008e2d0b 00000000 00000008 008e2d0b ..-...........-. 1990 00000004 000200a2 008e2d0f 00000000 ..........-..... 19a0 00002808 008e2d10 0000001a 00020082 ..(...-......... 19b0 008e2d2a 00000000 00002808 008e2d2c ..-*......(...-, 19c0 0000001c 00020082 008e2d48 00000000 ..........-H.... 19d0 00000008 008e2d48 00000004 000200a2 ......-H........ 19e0 008e2d4c 00000008 000200a2 008e2d54 ..-L..........-T 19f0 00000000 00002808 008e2d54 0000001e ......(...-T.... 1a00 00020082 008e2d72 00000000 00002808 ......-r......(. 1a10 008e2d74 0000001c 00020082 008e2d90 ..-t..........-. 1a20 00000000 00002808 008e2d90 00000028 ......(...-....( 1a30 00020082 008e2db8 00000000 00002808 ......-.......(. 1a40 008e2db8 00000010 00020082 008e2dc8 ..-...........-. 1a50 00000008 000200a2 008e2dd0 00000000 ..........-..... 1a60 00002808 008e2dd0 00000028 00020082 ..(...-....(.... 1a70 008e2df8 00000000 00000008 004e8334 ..-..........N.4 1a80 00000028 00002804 008e2df8 0000003e ...(..(...-....> 1a90 00020082 008e2e36 00000000 00002808 .......6......(. 1aa0 008e2e38 00000005 00020082 008e2e3d ...8...........= 1ab0 00000000 00002808 008e2e40 00000007 ......(....@.... 1ac0 00020082 008e2e47 00000000 00002808 .......G......(. 1ad0 008e2e48 00000015 00020082 008e2e5d ...H...........] 1ae0 00000015 000200b2 008e2e72 00000002 ...........r.... 1af0 000200b2 008e2e74 00000000 00002808 .......t......(. 1b00 008e2e74 00000015 00020082 008e2e89 ...t............ 1b10 00000015 000200b2 008e2e9e 00000002 ................ 1b20 000200b2 008e2ea0 00000000 00002808 ..............(. 1b30 008e2ea0 00000013 00020082 008e2eb3 ................ 1b40 00000000 00000008 008e2eb3 0000000d ................ 1b50 000200a2 008e2ec0 00000000 00002808 ..............(. 1b60 008e2ec0 00000005 00020082 008e2ec5 ................ 1b70 00000000 00002808 008e2ec8 0000000a ......(......... 1b80 00020082 008e2ed2 00000000 00002808 ..............(. 1b90 008e2ed4 00000010 00020082 008e2ee4 ................ 1ba0 0000000b 000200a2 008e2eef 00000002 ................ 1bb0 000200a2 008e2ef1 00000000 00002808 ..............(. 1bc0 008e2ef4 00000025 00020082 008e2f19 .......%....../. 1bd0 00000000 00000008 008e2f19 00000002 ........../..... 1be0 000200a2 008e2f1b 00000000 00002808 ....../.......(. 1bf0 008e2f1c 00000040 00020082 008e2f5c ../....@....../\ 1c00 00000000 00002808 008e2f5c 00000037 ......(.../\...7 1c10 00020082 008e2f93 00000000 00000008 ....../......... 1c20 004e8360 00000030 00002804 008e2f94 .N.`...0..(.../. 1c30 0000000a 00020082 008e2f9e 00000000 ........../..... 1c40 00002808 008e2fa0 0000000a 00020082 ..(.../......... 1c50 008e2faa 00000000 00002808 008e2fac ../.......(.../. 1c60 00000017 00020082 008e2fc3 00000000 ........../..... 1c70 00000008 008e2fc3 00000004 000200a2 ....../......... 1c80 008e2fc7 00000000 00000008 008e2fc7 ../.........../. 1c90 00000004 000200a2 008e2fcb 00000000 ........../..... 1ca0 00000008 008e2fcb 00000004 000200a2 ....../......... 1cb0 008e2fcf 00000000 00000008 008e2fcf ../.........../. 1cc0 00000004 000200a2 008e2fd3 00000000 ........../..... 1cd0 00002808 008e2fd4 00000010 00020082 ..(.../......... 1ce0 008e2fe4 00000000 00000008 008e2fe4 ../.........../. 1cf0 00000004 000200a2 008e2fe8 00000000 ........../..... 1d00 00002808 008e2fe8 00000017 00020082 ..(.../......... 1d10 008e2fff 00000000 00000008 008e2fff ../.........../. 1d20 00000004 000200a2 008e3003 00000000 ..........0..... 1d30 00000008 008e3003 00000004 000200a2 ......0......... 1d40 008e3007 00000000 00000008 008e3007 ..0...........0. 1d50 00000004 000200a2 008e300b 00000000 ..........0..... 1d60 00002808 008e300c 00000016 00020082 ..(...0......... 1d70 008e3022 00000000 00002808 008e3024 ..0"......(...0$ 1d80 0000000c 00020082 008e3030 000000a8 ..........00.... 1d90 000200a2 008e30d8 00000000 00002808 ......0.......(. 1da0 008e30d8 00000035 00020082 008e310d ..0....5......1. 1db0 00000000 00002808 008e3110 00000053 ......(...1....S 1dc0 00020082 008e3163 00000000 00002808 ......1c......(. 1dd0 008e3164 0000001e 00020082 008e3182 ..1d..........1. 1de0 00000000 00000008 008e3182 0000001c ..........1..... 1df0 000200a2 008e319e 00000000 00002808 ......1.......(. 1e00 008e31a0 00000005 00020082 008e31a5 ..1...........1. 1e10 00000000 00002808 008e31a8 00000014 ......(...1..... 1e20 00020082 008e31bc 00000000 00002808 ......1.......(. 1e30 008e31bc 00000021 00020082 008e31dd ..1....!......1. 1e40 00000000 00000008 008e31dd 0000000b ..........1..... 1e50 000200a2 008e31e8 00000000 00002808 ......1.......(. 1e60 008e31e8 0000001d 00020082 008e3205 ..1...........2. 1e70 00000000 00000008 008e3205 0000000b ..........2..... 1e80 000200a2 008e3210 00000000 00002808 ......2.......(. 1e90 008e3210 0000000c 00020082 008e321c ..2...........2. 1ea0 00000000 00000008 008e321c 00000018 ..........2..... 1eb0 000200a2 008e3234 00000000 00000008 ......24........ 1ec0 008e3234 00000018 000200a2 008e324c ..24..........2L 1ed0 00000000 00000008 008e324c 00000018 ..........2L.... 1ee0 000200a2 008e3264 00000000 00000008 ......2d........ 1ef0 008e3264 00000015 000200a2 008e3279 ..2d..........2y 1f00 00000019 000200a2 008e3292 00000000 ..........2..... 1f10 00000008 008e3292 00000018 000200a2 ......2......... 1f20 008e32aa 00000005 000200a2 008e32af ..2...........2. 1f30 00000000 00002808 008e32b0 0000001e ......(...2..... 1f40 00020082 008e32ce 00000000 00000008 ......2......... 1f50 008e32ce 0000000c 000200a2 008e32da ..2...........2. 1f60 00000000 00002808 008e32dc 00000016 ......(...2..... 1f70 00020082 008e32f2 00000005 000200a2 ......2......... 1f80 008e32f7 00000000 00002808 008e32f8 ..2.......(...2. 1f90 0000000a 00020082 008e3302 00000000 ..........3..... 1fa0 00000008 008e3302 00000004 000200a2 ......3......... 1fb0 008e3306 00000000 00002808 008e3308 ..3.......(...3. 1fc0 0000000e 00020082 008e3316 00000000 ..........3..... 1fd0 00002808 008e3318 00000007 00020082 ..(...3......... 1fe0 008e331f 00000000 00002808 008e3320 ..3.......(...3 1ff0 00000005 00020082 008e3325 00000000 ..........3%.... 2000 00002808 008e3328 0000000d 00020082 ..(...3(........ 2010 008e3335 00000000 00002808 008e3338 ..35......(...38 2020 00000041 00020082 008e3379 00000000 ...A......3y.... 2030 00002808 008e337c 00000032 00020082 ..(...3|...2.... 2040 008e33ae 00000000 00000008 004e8394 ..3..........N.. 2050 00000078 00002804 008e33b0 00000012 ...x..(...3..... 2060 00020082 008e33c2 00000000 00002808 ......3.......(. 2070 008e33c4 00000036 00020082 008e33fa ..3....6......3. 2080 00000000 00002808 008e33fc 00000016 ......(...3..... 2090 00020082 008e3412 00000000 00000008 ......4......... 20a0 008e3412 00000008 000200a2 008e341a ..4...........4. 20b0 00000000 00002808 008e341c 00000011 ......(...4..... 20c0 00020082 008e342d 00000000 00002808 ......4-......(. 20d0 008e3430 00000053 00020082 008e3483 ..40...S......4. 20e0 00000000 00002808 008e3484 00000005 ......(...4..... 20f0 00020082 008e3489 00000000 00002808 ......4.......(. 2100 008e348c 00000014 00020082 008e34a0 ..4...........4. 2110 00000000 00002808 008e34a0 0000007a ......(...4....z 2120 00020082 008e351a 00000000 00002808 ......5.......(. 2130 008e351c 00000007 00020082 008e3523 ..5...........5# 2140 00000000 00002808 008e3524 00000013 ......(...5$.... 2150 00020082 008e3537 00000000 00000008 ......57........ 2160 008e3537 0000000b 000200a2 008e3542 ..57..........5B 2170 00000000 00000008 008e3542 0000000b ..........5B.... 2180 000200a2 008e354d 00000002 000200a2 ......5M........ 2190 008e354f 00000000 00002808 008e3550 ..5O......(...5P 21a0 0000000b 00020082 008e355b 00000000 ..........5[.... 21b0 00000008 008e355b 00000008 000200a2 ......5[........ 21c0 008e3563 00000000 00000008 008e3563 ..5c..........5c 21d0 00000006 000200a2 008e3569 00000000 ..........5i.... 21e0 00000008 008e3569 00000005 000200a2 ......5i........ 21f0 008e356e 00000000 00002808 008e3570 ..5n......(...5p 2200 0000002f 00020082 008e359f 00000000 .../......5..... 2210 00000008 008e359f 00000009 000200a2 ......5......... 2220 008e35a8 0000000d 000200a2 008e35b5 ..5...........5. 2230 00000000 00000008 008e35b5 00000038 ..........5....8 2240 000200a2 008e35ed 00000008 000200a2 ......5......... 2250 008e35f5 00000000 00000008 008e35f5 ..5...........5. 2260 00000007 000200a2 008e35fc 00000016 ..........5..... 2270 000200a2 008e3612 00000007 000200a2 ......6......... 2280 008e3619 00000000 00000008 008e3619 ..6...........6. 2290 00000002 000200a2 008e361b 00000000 ..........6..... 22a0 00002808 008e361c 0000003f 00020082 ..(...6....?.... 22b0 008e365b 00000000 00000008 008e365b ..6[..........6[ 22c0 0000001a 000200a2 008e3675 00000000 ..........6u.... 22d0 00000008 008e3675 00000005 000200a2 ......6u........ 22e0 008e367a 00000000 00002808 008e367c ..6z......(...6| 22f0 0000000f 00020082 008e368b 00000004 ..........6..... 2300 000200a2 008e368f 00000000 00000008 ......6......... 2310 008e368f 00000017 000200a2 008e36a6 ..6...........6. 2320 00000000 00002808 008e36a8 00000005 ......(...6..... 2330 00020082 008e36ad 00000000 00002808 ......6.......(. 2340 008e36b0 00000005 00020082 008e36b5 ..6...........6. 2350 00000000 00002808 008e36b8 00000005 ......(...6..... 2360 00020082 008e36bd 00000000 00002808 ......6.......(. 2370 008e36c0 0000000c 00020082 008e36cc ..6...........6. 2380 00000000 00000008 008e36cc 00000004 ..........6..... 2390 000200a2 008e36d0 00000000 00002808 ......6.......(. 23a0 008e36d0 0000000d 00020082 008e36dd ..6...........6. 23b0 00000000 00000008 008e36dd 00000005 ..........6..... 23c0 000200a2 008e36e2 00000000 00002808 ......6.......(. 23d0 008e36e4 00000021 00020082 008e3705 ..6....!......7. 23e0 00000000 00000008 008e3705 00000024 ..........7....$ 23f0 000200a2 008e3729 00000000 00000008 ......7)........ 2400 008e3729 00000021 000200a2 008e374a ..7)...!......7J 2410 00000021 000200a2 008e376b 00000000 ...!......7k.... 2420 00000008 008e376b 00000021 000200a2 ......7k...!.... 2430 008e378c 00000003 000200a2 008e378f ..7...........7. 2440 00000002 000200a2 008e3791 00000000 ..........7..... 2450 00002808 008e3794 0000000f 00020082 ..(...7......... 2460 008e37a3 00000000 00002808 008e37a4 ..7.......(...7. 2470 00000041 00020082 008e37e5 00000000 ...A......7..... 2480 00002808 008e37e8 0000009c 00020082 ..(...7......... 2490 008e3884 00000000 00000008 004e8410 ..8..........N.. 24a0 00000088 00002804 008e3884 00000019 ......(...8..... 24b0 00020082 008e389d 00000000 00000008 ......8......... 24c0 008e389d 0000000f 000200a2 008e38ac ..8...........8. 24d0 00000000 00002808 008e38ac 0000000e ......(...8..... 24e0 00020082 008e38ba 00000014 000200a2 ......8......... 24f0 008e38ce 00000000 00002808 008e38d0 ..8.......(...8. 2500 00000046 00020082 008e3916 00000039 ...F......9....9 2510 00020092 008e394f 00000002 00020092 ......9O........ 2520 008e3951 00000000 00002808 008e3954 ..9Q......(...9T 2530 00000034 00020082 008e3988 00000000 ...4......9..... 2540 00002808 008e3988 00000014 00020082 ..(...9......... 2550 008e399c 00000004 000200a2 008e39a0 ..9...........9. 2560 00000000 00000008 008e39a0 0000000b ..........9..... 2570 000200a2 008e39ab 00000000 00002808 ......9.......(. 2580 008e39ac 00000025 00020082 008e39d1 ..9....%......9. 2590 00000009 000200a2 008e39da 00000000 ..........9..... 25a0 00000008 008e39da 00000003 000200a2 ......9......... 25b0 008e39dd 00000004 000200a2 008e39e1 ..9...........9. 25c0 00000000 00000008 008e39e1 00000018 ..........9..... 25d0 000200a2 008e39f9 00000005 000200a2 ......9......... 25e0 008e39fe 00000000 00000008 008e39fe ..9...........9. 25f0 00000016 000200a2 008e3a14 00000002 ..........:..... 2600 00000008 008e3a16 00000002 000200a2 ......:......... 2610 008e3a18 00000040 000200a2 008e3a58 ..:....@......:X 2620 00000024 00020092 008e3a7c 00000000 ...$......:|.... 2630 00002808 008e3a7c 00000013 00020082 ..(...:|........ 2640 008e3a8f 00000000 00000008 008e3a8f ..:...........:. 2650 0000000c 000200a2 008e3a9b 00000000 ..........:..... 2660 00002808 008e3a9c 00000039 00020082 ..(...:....9.... 2670 008e3ad5 00000000 00000008 008e3ad5 ..:...........:. 2680 00000005 000200a2 008e3ada 00000004 ..........:..... 2690 000200a2 008e3ade 00000000 00000008 ......:......... 26a0 008e3ade 0000000b 000200a2 008e3ae9 ..:...........:. 26b0 00000000 00000008 008e3ae9 0000001b ..........:..... 26c0 000200a2 008e3b04 00000000 00000008 ......;......... 26d0 008e3b04 0000000b 000200a2 008e3b0f ..;...........;. 26e0 00000000 00000008 008e3b0f 0000000a ..........;..... 26f0 000200a2 008e3b19 00000000 00000008 ......;......... 2700 008e3b19 00000006 000200a2 008e3b1f ..;...........;. 2710 00000000 00000008 008e3b1f 00000006 ..........;..... 2720 000200a2 008e3b25 00000000 00000008 ......;%........ 2730 008e3b25 00000006 000200a2 008e3b2b ..;%..........;+ 2740 00000000 00002808 008e3b2c 00000026 ......(...;,...& 2750 00020082 008e3b52 00000000 00002808 ......;R......(. 2760 008e3b54 0000002a 00020082 008e3b7e ..;T...*......;~ 2770 00000000 00000008 008e3b7e 0000000c ..........;~.... 2780 000200a2 008e3b8a 00000004 000200a2 ......;......... 2790 008e3b8e 00000000 00000008 008e3b8e ..;...........;. 27a0 00000053 000200a2 008e3be1 00000000 ...S......;..... 27b0 00000008 008e3be1 00000018 000200a2 ......;......... 27c0 008e3bf9 00000000 00002808 008e3bfc ..;.......(...;. 27d0 00000023 00020082 008e3c1f 00000002 ...#......<..... 27e0 000200a2 008e3c21 00000000 00000008 ............... 2910 008e3e08 00000000 00002808 008e3e08 ..>.......(...>. 2920 00000043 00020082 008e3e4b 00000000 ...C......>K.... 2930 00000008 008e3e4b 00000018 000200a2 ......>K........ 2940 008e3e63 00000000 00002808 008e3e64 ..>c......(...>d 2950 0000002e 00020082 008e3e92 00000000 ..........>..... 2960 00000008 008e3e92 0000000a 000200a2 ......>......... 2970 008e3e9c 00000000 00000008 008e3e9c ..>...........>. 2980 0000000a 000200a2 008e3ea6 00000000 ..........>..... 2990 00000008 008e3ea6 0000000a 000200a2 ......>......... 29a0 008e3eb0 00000000 00000008 008e3eb0 ..>...........>. 29b0 0000000a 000200a2 008e3eba 00000000 ..........>..... 29c0 00000008 008e3eba 0000000a 000200a2 ......>......... 29d0 008e3ec4 00000000 00000008 008e3ec4 ..>...........>. 29e0 0000000a 000200a2 008e3ece 00000000 ..........>..... 29f0 00000008 008e3ece 0000000a 000200a2 ......>......... 2a00 008e3ed8 00000000 00000008 008e3ed8 ..>...........>. 2a10 0000000a 000200a2 008e3ee2 00000000 ..........>..... 2a20 00000008 008e3ee2 0000007f 000200a2 ......>......... 2a30 008e3f61 00000000 00002808 008e3f64 ..?a......(...?d 2a40 00000081 00020082 008e3fe5 00000000 ..........?..... 2a50 00000008 008e3fe5 0000001d 000200a2 ......?......... 2a60 008e4002 00000000 00000008 008e4002 ..@...........@. 2a70 00000054 000200a2 008e4056 00000000 ...T......@V.... 2a80 00002808 008e4058 00000059 00020082 ..(...@X...Y.... 2a90 008e40b1 00000021 00020092 008e40d2 ..@....!......@. 2aa0 00000003 00020092 008e40d5 00000000 ..........@..... 2ab0 00000008 008e40d5 0000001d 000200a2 ......@......... 2ac0 008e40f2 00000023 00020092 008e4115 ..@....#......A. 2ad0 000000a9 000200b2 008e41be 00000000 ..........A..... 2ae0 00000008 008e41be 0000000b 000200a2 ......A......... 2af0 008e41c9 00000000 00000008 008e41c9 ..A...........A. 2b00 00000005 000200a2 008e41ce 00000000 ..........A..... 2b10 00002808 008e41d0 00000081 00020082 ..(...A......... 2b20 008e4251 00000000 00002808 008e4254 ..BQ......(...BT 2b30 0000001a 00020082 008e426e 00000000 ..........Bn.... 2b40 00002808 008e4270 00000018 00020082 ..(...Bp........ 2b50 008e4288 00000000 00002808 008e4288 ..B.......(...B. 2b60 0000002e 00020082 008e42b6 00000000 ..........B..... 2b70 00000008 008e42b6 00000014 000200a2 ......B......... 2b80 008e42ca 00000002 00020092 008e42cc ..B...........B. 2b90 00000019 000200a2 008e42e5 00000000 ..........B..... 2ba0 00000008 008e42e5 00000009 00020082 ......B......... 2bb0 008e42ee 00000002 00020092 008e42f0 ..B...........B. 2bc0 00000000 00002808 008e42f0 00000031 ......(...B....1 2bd0 00020082 008e4321 0000001b 00020092 ......C!........ 2be0 008e433c 00000000 00000008 008e433c ..C<..........C< 2bf0 00000002 000200a2 008e433e 0000000b ..........C>.... 2c00 000200a2 008e4349 00000029 000200a2 ......CI...).... 2c10 008e4372 00000000 00000008 008e4372 ..Cr..........Cr 2c20 00000017 000200a2 008e4389 00000000 ..........C..... 2c30 00000008 008e4389 00000009 000200a2 ......C......... 2c40 008e4392 00000000 00002808 008e4394 ..C.......(...C. 2c50 00000011 00020082 008e43a5 00000000 ..........C..... 2c60 00002808 008e43a8 00000072 00020082 ..(...C....r.... 2c70 008e441a 00000000 00002808 008e441c ..D.......(...D. 2c80 00000042 00020082 008e445e 00000000 ...B......D^.... 2c90 00002808 008e4460 00000008 00020082 ..(...D`........ 2ca0 008e4468 00000000 00002808 008e4468 ..Dh......(...Dh 2cb0 0000001e 00020082 008e4486 00000014 ..........D..... 2cc0 000200a2 008e449a 00000012 000200a2 ......D......... 2cd0 008e44ac 00000009 000200a2 008e44b5 ..D...........D. 2ce0 00000009 000200a2 008e44be 00000016 ..........D..... 2cf0 000200a2 008e44d4 00000000 00000008 ......D......... 2d00 008e44d4 00000008 000200a2 008e44dc ..D...........D. 2d10 00000005 000200a2 008e44e1 0000000f ..........D..... 2d20 000200a2 008e44f0 00000015 000200a2 ......D......... 2d30 008e4505 00000015 000200a2 008e451a ..E...........E. 2d40 00000014 000200a2 008e452e 00000000 ..........E..... 2d50 00002808 008e4530 0000001b 00020082 ..(...E0........ 2d60 008e454b 00000012 000200a2 008e455d ..EK..........E] 2d70 0000002c 000200a2 008e4589 00000006 ...,......E..... 2d80 000200a2 008e458f 00000009 000200a2 ......E......... 2d90 008e4598 00000009 000200a2 008e45a1 ..E...........E. 2da0 00000010 000200a2 008e45b1 0000000b ..........E..... 2db0 000200a2 008e45bc 00000013 000200a2 ......E......... 2dc0 008e45cf 00000000 00000008 008e45cf ..E...........E. 2dd0 00000008 000200a2 008e45d7 00000005 ..........E..... 2de0 000200a2 008e45dc 00000027 000200a2 ......E....'.... 2df0 008e4603 0000002a 000200a2 008e462d ..F....*......F- 2e00 00000033 000200a2 008e4660 00000005 ...3......F`.... 2e10 000200a2 008e4665 00000000 00002808 ......Fe......(. 2e20 008e4668 00000051 00020082 008e46b9 ..Fh...Q......F. 2e30 00000000 00000008 008e46b9 00000029 ..........F....) 2e40 000200a2 008e46e2 00000000 00000008 ......F......... 2e50 008e46e2 0000008a 000200a2 008e476c ..F...........Gl 2e60 00000000 00002808 008e476c 00000048 ......(...Gl...H 2e70 00020082 008e47b4 00000048 000200a2 ......G....H.... 2e80 008e47fc 00000035 000200a2 008e4831 ..G....5......H1 2e90 00000000 00000008 008e4831 00000014 ..........H1.... 2ea0 000200a2 008e4845 00000000 00002808 ......HE......(. 2eb0 008e4848 0000000f 00020082 008e4857 ..HH..........HW 2ec0 00000000 00002808 008e4858 0000009d ......(...HX.... 2ed0 00020082 008e48f5 00000000 00000008 ......H......... 2ee0 00500730 00000000 00004804 004e8498 .P.0......H..N.. 2ef0 000000f8 00002804 00500780 00000000 ......(..P...... 2f00 00004804 004e0120 00000000 00004904 ..H..N. ......I. 2f10 004e0160 00000000 00004904 004e01a0 .N.`......I..N.. 2f20 00000000 00004904 004e01b0 00000000 ......I..N...... 2f30 00004904 004e01c0 00000000 00004904 ..I..N........I. 2f40 004e01e0 00000000 00004804 008e48f8 .N........H...H. 2f50 0000001c 00020082 008e4914 00000000 ..........I..... 2f60 00002808 008e4914 0000001e 00020082 ..(...I......... 2f70 008e4932 00000000 00002808 008e4934 ..I2......(...I4 2f80 0000001e 00020082 008e4952 00000000 ..........IR.... 2f90 00002808 008e4954 00000027 00020082 ..(...IT...'.... 2fa0 008e497b 00000000 00002808 008e497c ..I{......(...I| 2fb0 00000024 00020082 008e49a0 00000000 ...$......I..... 2fc0 00002808 008e49a0 0000004a 00020082 ..(...I....J.... 2fd0 008e49ea 00000000 00002808 008e49ec ..I.......(...I. 2fe0 0000018e 00020082 008e4b7a 00000002 ..........Kz.... 2ff0 000200a2 008e4b7c 00000000 00002808 ......K|......(. 3000 008e4b7c 0000012d 00020082 008e4ca9 ..K|...-......L. 3010 00000002 000200a2 008e4cab 00000000 ..........L..... 3020 00000008 004e8594 0000000c 00002804 .....N........(. 3030 008e4cac 0000000a 00020082 008e4cb6 ..L...........L. 3040 00000000 00002808 008e4cb8 0000000a ......(...L..... 3050 00020082 008e4cc2 00000000 00002808 ......L.......(. 3060 008e4cc4 00000013 00020082 008e4cd7 ..L...........L. 3070 00000000 00000008 008e4cd7 00000003 ..........L..... 3080 000200a2 008e4cda 0000000a 000200a2 ......L......... 3090 008e4ce4 00000000 00002808 008e4ce4 ..L.......(...L. 30a0 0000000d 00020082 008e4cf1 00000000 ..........L..... 30b0 00000008 008e4cf1 0000003b 000200a2 ......L....;.... 30c0 008e4d2c 00000000 00002808 008e4d2c ..M,......(...M, 30d0 0000004d 00020082 008e4d79 00000000 ...M......My.... 30e0 00002808 008e4d7c 0000000a 00020082 ..(...M|........ 30f0 008e4d86 00000000 00000008 008e4d86 ..M...........M. 3100 0000001f 000200a2 008e4da5 00000000 ..........M..... 3110 00002808 008e4da8 00000028 00020082 ..(...M....(.... 3120 008e4dd0 00000000 00002808 008e4dd0 ..M.......(...M. 3130 0000001b 00020082 008e4deb 00000000 ..........M..... 3140 00002808 008e4dec 00000029 00020082 ..(...M....).... 3150 008e4e15 0000000e 000200a2 008e4e23 ..N...........N# 3160 00000002 000200a2 008e4e25 00000000 ..........N%.... 3170 00002808 008e4e28 0000000d 00020082 ..(...N(........ 3180 008e4e35 00000000 00000008 008e4e35 ..N5..........N5 3190 00000019 000200a2 008e4e4e 00000000 ..........NN.... 31a0 00002808 008e4e50 0000001b 00020082 ..(...NP........ 31b0 008e4e6b 00000000 00002808 008e4e6c ..Nk......(...Nl 31c0 00000028 00020082 008e4e94 00000000 ...(......N..... 31d0 00002808 008e4e94 0000000a 00020082 ..(...N......... 31e0 008e4e9e 00000000 00000008 008e4e9e ..N...........N. 31f0 00000019 000200a2 008e4eb7 00000000 ..........N..... 3200 00002808 008e4eb8 00000037 00020082 ..(...N....7.... 3210 008e4eef 00000000 00000008 004e85a0 ..N..........N.. 3220 00000030 00002804 008e4ef0 00000020 ...0..(...N.... 3230 00020082 008e4f10 00000017 000200a2 ......O......... 3240 008e4f27 00000004 000200a2 008e4f2b ..O'..........O+ 3250 00000000 00002808 008e4f2c 0000001a ......(...O,.... 3260 00020082 008e4f46 00000000 00000008 ......OF........ 3270 008e4f46 00000004 000200a2 008e4f4a ..OF..........OJ 3280 00000000 00002808 008e4f4c 00000014 ......(...OL.... 3290 00020082 008e4f60 00000000 00002808 ......O`......(. 32a0 008e4f60 00000014 00020082 008e4f74 ..O`..........Ot 32b0 00000000 00000008 004e85d4 0000000c .........N...... 32c0 00002804 008e4f74 00000021 00020082 ..(...Ot...!.... 32d0 008e4f95 00000018 000200a2 008e4fad ..O...........O. 32e0 00000002 000200a2 008e4faf 00000000 ..........O..... 32f0 00002808 008e4fb0 00000014 00020082 ..(...O......... 3300 008e4fc4 00000000 00000008 008e4fc4 ..O...........O. 3310 00000004 000200a2 008e4fc8 00000000 ..........O..... 3320 00002808 008e4fc8 00000008 00020082 ..(...O......... 3330 008e4fd0 00000000 00002808 008e4fd0 ..O.......(...O. 3340 0000003d 00020082 008e500d 00000000 ...=......P..... 3350 00002808 008e5010 00000019 00020082 ..(...P......... 3360 008e5029 00000000 00000008 004e85e4 ..P).........N.. 3370 00000010 00002804 008e502c 00000009 ......(...P,.... 3380 00020082 008e5035 00000007 000200a2 ......P5........ 3390 008e503c 00000011 000200a2 008e504d ..P<..........PM 33a0 00000000 00002808 008e5050 00000029 ......(...PP...) 33b0 00020082 008e5079 00000000 00002808 ......Py......(. 33c0 008e507c 00000009 00020082 008e5085 ..P|..........P. 33d0 00000007 000200a2 008e508c 0000001b ..........P..... 33e0 000200a2 008e50a7 00000000 00002808 ......P.......(. 33f0 008e50a8 0000002a 00020082 008e50d2 ..P....*......P. 3400 00000000 00002808 008e50d4 00000008 ......(...P..... 3410 00020082 008e50dc 00000000 00002808 ......P.......(. 3420 008e50dc 00000015 00020082 008e50f1 ..P...........P. 3430 00000000 00002808 008e50f4 00000007 ......(...P..... 3440 00020082 008e50fb 00000000 00002808 ......P.......(. 3450 008e50fc 00000017 00020082 008e5113 ..P...........Q. 3460 00000000 00002808 008e5114 000000bd ......(...Q..... 3470 00020082 008e51d1 00000000 00000008 ......Q......... 3480 008e51d1 00000023 000200a2 008e51f4 ..Q....#......Q. 3490 00000000 00002808 008e51f4 00000005 ......(...Q..... 34a0 00020082 008e51f9 00000000 00002808 ......Q.......(. 34b0 008e51fc 0000000d 00020082 008e5209 ..Q...........R. 34c0 00000000 00002808 008e520c 00000052 ......(...R....R 34d0 00020082 008e525e 00000000 00002808 ......R^......(. 34e0 008e5260 00000076 00020082 008e52d6 ..R`...v......R. 34f0 00000000 00000008 008e52d6 00000002 ..........R..... 3500 000200a2 008e52d8 00000005 000200a2 ......R......... 3510 008e52dd 00000000 00002808 008e52e0 ..R.......(...R. 3520 0000000e 00020082 008e52ee 00000010 ..........R..... 3530 000200a2 008e52fe 00000019 000200a2 ......R......... 3540 008e5317 00000000 00002808 008e5318 ..S.......(...S. 3550 00000010 00020082 008e5328 00000000 ..........S(.... 3560 00002808 008e5328 0000006e 00020082 ..(...S(...n.... 3570 008e5396 00000088 000200a2 008e541e ..S...........T. 3580 00000018 000200a2 008e5436 0000000b ..........T6.... 3590 000200a2 008e5441 00000000 00000008 ......TA........ 35a0 008e5441 00000015 000200a2 008e5456 ..TA..........TV 35b0 00000026 000200a2 008e547c 00000000 ...&......T|.... 35c0 00000008 008e547c 00000006 000200a2 ......T|........ 35d0 008e5482 00000000 00002808 008e5484 ..T.......(...T. 35e0 00000005 00020082 008e5489 00000000 ..........T..... 35f0 00002808 008e548c 00000005 00020082 ..(...T......... 3600 008e5491 00000000 00002808 008e5494 ..T.......(...T. 3610 00000013 00020082 008e54a7 00000000 ..........T..... 3620 00002808 008e54a8 00000041 00020082 ..(...T....A.... 3630 008e54e9 00000000 00002808 008e54ec ..T.......(...T. 3640 00000015 00020082 008e5501 00000000 ..........U..... 3650 00002808 008e5504 0000001f 00020082 ..(...U......... 3660 008e5523 00000000 00002808 008e5524 ..U#......(...U$ 3670 00000020 00020082 008e5544 00000006 ... ......UD.... 3680 000200a2 008e554a 00000009 000200a2 ......UJ........ 3690 008e5553 0000000b 000200a2 008e555e ..US..........U^ 36a0 00000000 00000008 008e555e 00000010 ..........U^.... 36b0 000200a2 008e556e 0000000f 000200a2 ......Un........ 36c0 008e557d 00000005 000200a2 008e5582 ..U}..........U. 36d0 00000000 00002808 008e5584 0000004a ......(...U....J 36e0 00020082 008e55ce 00000008 000200a2 ......U......... 36f0 008e55d6 00000000 00000008 008e55d6 ..U...........U. 3700 0000000b 000200a2 008e55e1 00000002 ..........U..... 3710 000200a2 008e55e3 00000014 000200a2 ......U......... 3720 008e55f7 00000000 00000008 008e55f7 ..U...........U. 3730 00000085 000200a2 008e567c 00000000 ..........V|.... 3740 00002808 008e567c 0000006a 00020082 ..(...V|...j.... 3750 008e56e6 00000000 00000008 008e56e6 ..V...........V. 3760 00000006 000200a2 008e56ec 00000000 ..........V..... 3770 00000008 008e56ec 00000005 000200a2 ......V......... 3780 008e56f1 00000000 00002808 008e56f4 ..V.......(...V. 3790 00000008 00020082 008e56fc 00000000 ..........V..... 37a0 00000008 008e56fc 00000028 000200a2 ......V....(.... 37b0 008e5724 00000000 00000008 008e5724 ..W$..........W$ 37c0 00000005 000200a2 008e5729 0000001c ..........W).... 37d0 000200a2 008e5745 00000000 00000008 ......WE........ 37e0 008e5745 0000002b 000200a2 008e5770 ..WE...+......Wp 37f0 00000005 000200a2 008e5775 00000000 ..........Wu.... 3800 00000008 008e5775 00000005 000200a2 ......Wu........ 3810 008e577a 00000000 00002808 008e577c ..Wz......(...W| 3820 0000001d 00020082 008e5799 00000020 ..........W.... 3830 000200a2 008e57b9 00000002 000200a2 ......W......... 3840 008e57bb 00000000 00002808 008e57bc ..W.......(...W. 3850 00000027 00020082 008e57e3 00000000 ...'......W..... 3860 00002808 008e57e4 0000001c 00020082 ..(...W......... 3870 008e5800 0000000b 000200a2 008e580b ..X...........X. 3880 00000000 00000008 008e580b 00000006 ..........X..... 3890 000200a2 008e5811 00000000 00002808 ......X.......(. 38a0 008e5814 00000005 00020082 008e5819 ..X...........X. 38b0 00000000 00002808 008e581c 0000006d ......(...X....m 38c0 00020082 008e5889 00000000 00000008 ......X......... 38d0 008e5889 00000021 000200a2 008e58aa ..X....!......X. 38e0 0000001a 000200a2 008e58c4 00000000 ..........X..... 38f0 00000008 008e58c4 00000022 000200a2 ......X....".... 3900 008e58e6 00000000 00000008 008e58e6 ..X...........X. 3910 00000006 000200a2 008e58ec 0000002f ..........X..../ 3920 000200a2 008e591b 00000000 00000008 ......Y......... 3930 004e85f8 00000030 00002804 008e591c .N.....0..(...Y. 3940 00000005 00020082 008e5921 00000000 ..........Y!.... 3950 00002808 008e5924 0000003e 00020082 ..(...Y$...>.... 3960 008e5962 00000002 000200a2 008e5964 ..Yb..........Yd 3970 00000000 00002808 008e5964 00000010 ......(...Yd.... 3980 00020082 008e5974 00000000 00002808 ......Yt......(. 3990 008e5974 00000022 00020082 008e5996 ..Yt..."......Y. 39a0 00000027 000200a2 008e59bd 00000002 ...'......Y..... 39b0 00020092 008e59bf 00000000 00002808 ......Y.......(. 39c0 008e59c0 00000017 00020082 008e59d7 ..Y...........Y. 39d0 00000000 00002808 008e59d8 0000000d ......(...Y..... 39e0 00020082 008e59e5 00000049 000200a2 ......Y....I.... 39f0 008e5a2e 00000000 00000008 008e5a2e ..Z...........Z. 3a00 0000000f 000200a2 008e5a3d 00000000 ..........Z=.... 3a10 00000008 008e5a3d 00000013 000200a2 ......Z=........ 3a20 008e5a50 00000000 00002808 008e5a50 ..ZP......(...ZP 3a30 00000015 00020082 008e5a65 0000000d ..........Ze.... 3a40 000200a2 008e5a72 00000000 00000008 ......Zr........ 3a50 008e5a72 00000022 000200a2 008e5a94 ..Zr..."......Z. 3a60 0000000a 000200a2 008e5a9e 00000000 ..........Z..... 3a70 00000008 008e5a9e 00000009 000200a2 ......Z......... 3a80 008e5aa7 00000000 00002808 008e5aa8 ..Z.......(...Z. 3a90 0000000e 00020082 008e5ab6 0000006d ..........Z....m 3aa0 000200a2 008e5b23 00000000 00000008 ......[#........ 3ab0 008e5b23 0000000c 000200a2 008e5b2f ..[#..........[/ 3ac0 00000028 000200a2 008e5b57 00000000 ...(......[W.... 3ad0 00000008 008e5b57 0000000f 000200a2 ......[W........ 3ae0 008e5b66 00000000 00002808 008e5b68 ..[f......(...[h 3af0 00000005 00020082 008e5b6d 00000000 ..........[m.... 3b00 00002808 008e5b70 0000001a 00020082 ..(...[p........ 3b10 008e5b8a 00000000 00000008 008e5b8a ..[...........[. 3b20 00000004 000200a2 008e5b8e 00000000 ..........[..... 3b30 00002808 008e5b90 00000017 00020082 ..(...[......... 3b40 008e5ba7 00000000 00000008 008e5ba7 ..[...........[. 3b50 0000000d 000200a2 008e5bb4 00000000 ..........[..... 3b60 00000008 008e5bb4 0000000b 000200a2 ......[......... 3b70 008e5bbf 00000000 00002808 008e5bc0 ..[.......(...[. 3b80 00000017 00020082 008e5bd7 00000000 ..........[..... 3b90 00000008 008e5bd7 00000010 000200a2 ......[......... 3ba0 008e5be7 00000000 00000008 008e5be7 ..[...........[. 3bb0 0000000f 000200a2 008e5bf6 00000000 ..........[..... 3bc0 00002808 008e5bf8 00000029 00020082 ..(...[....).... 3bd0 008e5c21 00000000 00000008 008e5c21 ..\!..........\! 3be0 0000002a 000200a2 008e5c4b 0000000b ...*......\K.... 3bf0 000200a2 008e5c56 00000000 00002808 ......\V......(. 3c00 008e5c58 00000050 00020082 008e5ca8 ..\X...P......\. 3c10 00000000 00002808 008e5ca8 00000015 ......(...\..... 3c20 00020082 008e5cbd 00000013 000200a2 ......\......... 3c30 008e5cd0 00000013 000200a2 008e5ce3 ..\...........\. 3c40 00000002 000200a2 008e5ce5 00000000 ..........\..... 3c50 00000008 008e5ce5 0000000a 000200a2 ......\......... 3c60 008e5cef 00000000 00000008 004e8628 ..\..........N.( 3c70 0000004c 00002804 008e5cf0 00000010 ...L..(...\..... 3c80 00020082 008e5d00 00000000 00000008 ......]......... 3c90 008e5d00 0000001b 000200a2 008e5d1b ..]...........]. 3ca0 00000000 00000008 008e5d1b 0000000e ..........]..... 3cb0 000200a2 008e5d29 00000000 00002808 ......])......(. 3cc0 008e5d2c 0000008a 00020082 008e5db6 ..],..........]. 3cd0 00000000 00000008 008e5db6 00000008 ..........]..... 3ce0 000200a2 008e5dbe 00000000 00002808 ......].......(. 3cf0 008e5dc0 0000003d 00020082 008e5dfd ..]....=......]. 3d00 0000005a 000200a2 008e5e57 00000000 ...Z......^W.... 3d10 00000008 008e5e57 00000008 000200a2 ......^W........ 3d20 008e5e5f 00000000 00000008 004e8678 ..^_.........N.x 3d30 00000004 00002804 008e5e60 00000015 ......(...^`.... 3d40 00020082 008e5e75 00000000 00002808 ......^u......(. 3d50 008e5e78 00000038 00020082 008e5eb0 ..^x...8......^. 3d60 00000000 00002808 008e5eb0 00000018 ......(...^..... 3d70 00020082 008e5ec8 00000000 00002808 ......^.......(. 3d80 008e5ec8 0000001f 00020082 008e5ee7 ..^...........^. 3d90 00000003 00020092 008e5eea 00000000 ..........^..... 3da0 00000008 008e5eea 0000000c 000200a2 ......^......... 3db0 008e5ef6 00000000 00002808 008e5ef8 ..^.......(...^. 3dc0 00000033 00020082 008e5f2b 00000001 ...3......_+.... 3dd0 00000008 008e5f2c 00000022 000200a2 ......_,...".... 3de0 008e5f4e 00000002 00020092 008e5f50 .._N.........._P 3df0 00000004 000200a2 008e5f54 00000007 .........._T.... 3e00 000200a2 008e5f5b 0000003e 000200a2 ......_[...>.... 3e10 008e5f99 00000000 00000008 008e5f99 .._..........._. 3e20 0000001b 000200a2 008e5fb4 00000005 .........._..... 3e30 000200a2 008e5fb9 00000000 00000008 ......_......... 3e40 008e5fb9 0000001e 000200a2 008e5fd7 .._..........._. 3e50 00000000 00002808 008e5fd8 00000022 ......(..._...." 3e60 00020082 008e5ffa 00000000 00002808 ......_.......(. 3e70 008e5ffc 000000c2 00020082 008e60be .._...........`. 3e80 00000000 00002808 008e60c0 0000001a ......(...`..... 3e90 00020082 008e60da 00000014 000200a2 ......`......... 3ea0 008e60ee 0000000b 000200a2 008e60f9 ..`...........`. 3eb0 00000000 00002808 008e60fc 00000016 ......(...`..... 3ec0 00020082 008e6112 00000000 00002808 ......a.......(. 3ed0 008e6114 0000002e 00020082 008e6142 ..a...........aB 3ee0 0000000c 000200a2 008e614e 00000000 ..........aN.... 3ef0 00000008 008e614e 00000007 000200a2 ......aN........ 3f00 008e6155 00000004 000200b2 008e6159 ..aU..........aY 3f10 00000000 00002808 008e615c 00000018 ......(...a\.... 3f20 00020082 008e6174 00000016 000200a2 ......at........ 3f30 008e618a 0000000f 000200a2 008e6199 ..a...........a. 3f40 00000000 00002808 008e619c 00000019 ......(...a..... 3f50 00020082 008e61b5 00000002 00020092 ......a......... 3f60 008e61b7 00000000 00000008 008e61b7 ..a...........a. 3f70 00000004 000200a2 008e61bb 00000000 ..........a..... 3f80 00002808 008e61bc 00000052 00020082 ..(...a....R.... 3f90 008e620e 00000031 000200a2 008e623f ..b....1......b? 3fa0 00000000 00000008 008e623f 0000001e ..........b?.... 3fb0 000200a2 008e625d 00000007 000200a2 ......b]........ 3fc0 008e6264 00000000 00000008 004e867c ..bd.........N.| 3fd0 00000054 00002804 008e6264 00000023 ...T..(...bd...# 3fe0 00020082 008e6287 00000000 00002808 ......b.......(. 3ff0 008e6288 00000014 00020082 008e629c ..b...........b. 4000 00000002 00020092 008e629e 00000000 ..........b..... 4010 00002808 008e62a0 00000005 00020082 ..(...b......... 4020 008e62a5 00000000 00002808 008e62a8 ..b.......(...b. 4030 00000010 00020082 008e62b8 0000003e ..........b....> 4040 000200a2 008e62f6 00000000 00000008 ......b......... 4050 008e62f6 00000005 000200a2 008e62fb ..b...........b. 4060 00000000 00000008 008e62fb 00000002 ..........b..... 4070 000200a2 008e62fd 00000000 00002808 ......b.......(. 4080 008e6300 00000017 00020082 008e6317 ..c...........c. 4090 0000000b 000200a2 008e6322 00000000 ..........c".... 40a0 00002808 008e6324 00000010 00020082 ..(...c$........ 40b0 008e6334 00000000 00002808 008e6334 ..c4......(...c4 40c0 0000000f 00020082 008e6343 00000004 ..........cC.... 40d0 000200a2 008e6347 00000000 00000008 ......cG........ 40e0 004e86d0 0000001c 00002804 008e6348 .N........(...cH 40f0 00000034 00020082 008e637c 00000000 ...4......c|.... 4100 00000008 008e637c 00000004 000200a2 ......c|........ 4110 008e6380 00000000 00000008 008e6380 ..c...........c. 4120 00000029 000200a2 008e63a9 00000000 ...)......c..... 4130 00000008 004e86ec 00000010 00002804 .....N........(. 4140 008e6950 00000005 00020082 008e6955 ..iP..........iU 4150 00000000 00000008 008e63ac 00000005 ..........c..... 4160 00020082 008e63b1 00000000 00002808 ......c.......(. 4170 008e63b4 00000020 00020082 008e63d4 ..c.... ......c. 4180 00000003 00020182 008e63d7 00000029 ..........c....) 4190 00020082 008e6400 00000025 000200a2 ......d....%.... 41a0 008e6425 00000000 00000008 004e86fc ..d%.........N.. 41b0 00000000 00002804 008e6958 00000008 ......(...iX.... 41c0 00020082 008e6960 00000000 00002808 ......i`......(. 41d0 008e6960 0000000c 00020082 008e696c ..i`..........il 41e0 00000002 000200a2 008e696e 00000000 ..........in.... 41f0 00002808 008e6970 0000000a 00020082 ..(...ip........ 4200 008e697a 00000000 00000008 008e697a ..iz..........iz 4210 00000004 000200a2 008e697e 00000000 ..........i~.... 4220 00000008 004e87a4 00000000 00002904 .....N........). 4230 004e87a8 00000000 00002904 004e87ac .N........)..N.. 4240 00000000 00002904 004e87b0 00000000 ......)..N...... 4250 00002904 004e87b2 00000000 00001904 ..)..N.......... 4260 004e87b4 00000000 00002904 004e87b6 .N........)..N.. 4270 00000000 00001904 004e87b8 00000000 .........N...... 4280 00002904 004e87ba 00000000 00001904 ..)..N.......... 4290 004e87bc 00000000 00002904 004e87be .N........)..N.. 42a0 00000000 00001904 004e87c0 00000000 .........N...... 42b0 00002904 004e87c2 00000000 00001904 ..)..N.......... 42c0 004e87c4 00000000 00002904 004e87c8 .N........)..N.. 42d0 00000000 00002904 004e87cc 00000000 ......)..N...... 42e0 00002904 004e87d0 00000000 00002904 ..)..N........). 42f0 004e87d4 00000000 00002904 004e87d8 .N........)..N.. 4300 00000000 00002904 004e87dc 00000000 ......)..N...... 4310 00002904 004e87e0 00000000 00002904 ..)..N........). 4320 004e87e4 00000000 00002904 004e87e6 .N........)..N.. 4330 00000000 00001904 004e87e8 00000000 .........N...... 4340 00002904 004e87ec 00000000 00002904 ..)..N........). 4350 004e87f0 00000000 00002904 004e87f4 .N........)..N.. 4360 00000000 00002904 004e87f6 00000000 ......)..N...... 4370 00001904 004e87f8 00000000 00002904 .....N........). 4380 004e87fc 00000000 00002904 004e8800 .N........)..N.. 4390 00000000 00002904 004e8804 00000000 ......)..N...... 43a0 00002904 004e8808 00000000 00002904 ..)..N........). 43b0 004e880c 00000000 00002904 004e8810 .N........)..N.. 43c0 00000000 00002904 004e8814 00000000 ......)..N...... 43d0 00002904 004e8818 00000000 00002904 ..)..N........). 43e0 004e881c 00000000 00002904 004e8820 .N........)..N. 43f0 00000000 00002904 004e8824 00000000 ......)..N.$.... 4400 00002904 004e8828 00000000 00002904 ..)..N.(......). 4410 004e882c 00000000 00002904 004e8830 .N.,......)..N.0 4420 00000000 00002904 004e8834 00000000 ......)..N.4.... 4430 00002804 008e6428 0000004d 00020082 ..(...d(...M.... 4440 008e6475 00000000 00000008 008e6475 ..du..........du 4450 00000014 000200a2 008e6489 00000000 ..........d..... 4460 00000008 008e6489 00000024 000200a2 ......d....$.... 4470 008e64ad 00000000 00000008 008e64ad ..d...........d. 4480 00000035 000200a2 008e64e2 00000002 ...5......d..... 4490 00000008 008e64e4 00000018 000200a2 ......d......... 44a0 008e64fc 00000000 00000008 008e64fc ..d...........d. 44b0 00000004 000200a2 008e6500 00000002 ..........e..... 44c0 00000008 008e6502 0000000b 00020082 ......e......... 44d0 008e650d 0000000b 000200a2 008e6518 ..e...........e. 44e0 00000021 000200a2 008e6539 00000000 ...!......e9.... 44f0 00002808 008e653c 00000023 00020082 ..(...e<...#.... 4500 008e655f 00000000 00000008 004e86fc ..e_.........N.. 4510 00000004 00002804 008e6980 00000008 ......(...i..... 4520 00020082 008e6988 00000000 00000008 ......i......... 4530 008e6988 00000008 00020082 008e6990 ..i...........i. 4540 00000000 00000008 008e6990 00000008 ..........i..... 4550 00020082 008e6998 00000000 00000008 ......i......... 4560 008e6998 00000031 00020002 008e69c9 ..i....1......i. 4570 00000006 00020022 008e69cf 00000005 ......."..i..... 4580 00020012 008e69d4 00000008 00020022 ......i........" 4590 008e69dc 00000000 00000008 008e69dc ..i...........i. 45a0 0000000a 00020022 008e69e6 00000002 ......."..i..... 45b0 00000008 008e69e8 0000000c 00020022 ......i........" 45c0 008e69f4 00000001 00000008 008e69f5 ..i...........i. 45d0 00000003 00020022 008e69fc 00000004 ......."..i..... 45e0 00020022 008e6a00 00000000 00000008 ..."..j......... 45f0 008e6a00 0000002a 00020002 008e6a2a ..j....*......j* 4600 00000003 00020022 008e6a2d 00000006 ......."..j-.... 4610 00020022 008e6a33 00000006 00020022 ..."..j3......." 4620 008e6a39 00000002 00020022 008e6a3b ..j9......."..j; 4630 00000001 00000008 008e6a3c 00000005 ..........j<.... 4640 00020022 008e6a45 00000004 00020022 ..."..jE......." 4650 008e6a49 00000000 00000008 008e6a4c ..jI..........jL 4660 00000028 00020002 008e6a74 00000006 ...(......jt.... 4670 00020022 008e6a7a 00000006 00020012 ..."..jz........ 4680 008e6a80 00000002 00020022 008e6a82 ..j........"..j. 4690 00000000 00000008 008e6a82 00000004 ..........j..... 46a0 00020022 008e6a86 00000002 00000008 ..."..j......... 46b0 008e6a88 00000007 00020022 008e6a8f ..j........"..j. 46c0 00000002 00000008 008e6a91 00000003 ..........j..... 46d0 00020022 008e6a98 00000004 00020022 ..."..j........" 46e0 008e6a9c 00000000 00000008 008e6a9c ..j...........j. 46f0 00000023 00020002 008e6abf 00000003 ...#......j..... 4700 00020022 008e6ac2 00000006 00020022 ..."..j........" 4710 008e6ac8 00000002 00020022 008e6aca ..j........"..j. 4720 00000002 00000008 008e6acc 00000005 ..........j..... 4730 00020022 008e6ad5 00000004 00020022 ..."..j........" 4740 008e6ad9 00000000 00000008 008e6adc ..j...........j. 4750 0000003b 00020082 008e6b17 00000004 ...;......k..... 4760 000200a2 008e6b1b 00000001 00000008 ......k......... 4770 008e6b1c 00000005 000200a2 008e6b21 ..k...........k! 4780 00000000 00000008 008e6b25 0000000d ..........k%.... 4790 000200a2 008e6b32 00000002 00020092 ......k2........ 47a0 008e6b34 00000000 00002808 008e6b34 ..k4......(...k4 47b0 00000003 000201a2 008e6b37 0000000e ..........k7.... 47c0 00020082 008e6b45 00000003 00020182 ......kE........ 47d0 008e6b48 00000003 000201a2 008e6b4b ..kH..........kK 47e0 00000016 00020082 008e6b61 00000000 ..........ka.... 47f0 00002808 008e6b64 00000006 00020082 ..(...kd........ 4800 008e6b6a 00000006 00020182 008e6b70 ..kj..........kp 4810 00000006 000200a2 008e6b76 00000003 ..........kv.... 4820 00020182 008e6b79 00000019 00020082 ......ky........ 4830 008e6b92 0000000f 00020092 008e6ba1 ..k...........k. 4840 0000000b 000200a2 008e6bac 00000000 ..........k..... 4850 00000008 008e6bac 00000010 000200a2 ......k......... 4860 008e6bbc 00000000 00000008 008e6bbc ..k...........k. 4870 0000000f 000200a2 008e6bcb 00000001 ..........k..... 4880 00000008 008e6bcc 00000006 000200a2 ......k......... 4890 008e6bd2 00000002 000200a2 008e6bd4 ..k...........k. 48a0 00000000 00002808 008e6bd4 00000003 ......(...k..... 48b0 000201a2 008e6bd7 00000030 00020082 ......k....0.... 48c0 008e6c07 00000019 00020092 008e6c20 ..l...........l 48d0 00000010 000200a2 008e6c30 0000000a ..........l0.... 48e0 000200a2 008e6c3a 00000002 00000008 ......l:........ 48f0 008e6c3c 00000015 000200a2 008e6c51 ..l<..........lQ 4900 00000000 00000008 008e6c51 00000008 ..........lQ.... 4910 000200a2 008e6c59 00000000 00000008 ......lY........ 4920 008e6c5c 00000027 00020082 008e6c83 ..l\...'......l. 4930 00000004 00020092 008e6c87 00000001 ..........l..... 4940 00000008 008e6c88 0000000c 00020082 ......l......... 4950 008e6c94 0000001b 000200a2 008e6caf ..l...........l. 4960 0000006f 00020092 008e6d1e 00000000 ...o......m..... 4970 00000008 008e6d1e 00000038 000200a2 ......m....8.... 4980 008e6d56 00000051 00020092 008e6da7 ..mV...Q......m. 4990 00000002 00020092 008e6da9 0000001b ..........m..... 49a0 000200a2 008e6dc4 00000052 00020092 ......m....R.... 49b0 008e6e16 00000008 00020092 008e6e1e ..n...........n. 49c0 00000000 00000008 008e6e21 00000008 ..........n!.... 49d0 000200a2 008e6e29 00000002 00020092 ......n)........ 49e0 008e6e2b 00000000 00002808 008e6e2c ..n+......(...n, 49f0 0000000a 000200a2 008e6e36 00000003 ..........n6.... 4a00 00020182 008e6e39 0000000e 000200a2 ......n9........ 4a10 008e6e47 00000000 00002808 008e6e48 ..nG......(...nH 4a20 00000014 00020082 008e6e5c 00000006 ..........n\.... 4a30 00020182 008e6e62 00000011 000200a2 ......nb........ 4a40 008e6e73 0000000a 00020092 008e6e7d ..ns..........n} 4a50 00000007 000200a2 008e6e84 00000008 ..........n..... 4a60 000200a2 008e6e8c 00000006 000200a2 ......n......... 4a70 008e6e92 00000002 000200a2 008e6e94 ..n...........n. 4a80 00000000 00000008 008e6560 0000004a ..........e`...J 4a90 00020082 008e65aa 00000000 00002808 ......e.......(. 4aa0 008e65ac 00000002 000201a2 008e65ae ..e...........e. 4ab0 00000013 00020082 008e65c1 00000005 ..........e..... 4ac0 000200b2 008e65c6 00000000 00002808 ......e.......(. 4ad0 008e65c8 00000033 000201a2 008e65fb ..e....3......e. 4ae0 00000027 000201b2 008e6622 00000015 ...'......f".... 4af0 00020082 008e6637 00000004 000200b2 ......f7........ 4b00 008e663b 00000001 00000008 008e663c ..f;..........f< 4b10 0000000d 000200a2 008e6649 00000000 ..........fI.... 4b20 00000008 008e6649 00000004 000200a2 ......fI........ 4b30 008e664d 00000000 00000008 008e664d ..fM..........fM 4b40 0000001b 000200a2 008e6668 00000005 ..........fh.... 4b50 000200a2 008e666d 00000000 00000008 ......fm........ 4b60 008e666d 0000000b 000200a2 008e6678 ..fm..........fx 4b70 00000000 00000008 004e8700 00000010 .........N...... 4b80 00002804 008e6678 00000018 00020082 ..(...fx........ 4b90 008e6690 00000008 000200a2 008e6698 ..f...........f. 4ba0 00000001 00000008 008e6699 0000000f ..........f..... 4bb0 000200a2 008e66a8 00000015 000200a2 ......f......... 4bc0 008e66bd 00000002 000200a2 008e66bf ..f...........f. 4bd0 00000000 00002808 008e66c0 00000002 ......(...f..... 4be0 000201a2 008e66c2 00000017 00020082 ......f......... 4bf0 008e66d9 00000002 000200b2 008e66db ..f...........f. 4c00 00000001 00000008 008e66dc 00000007 ..........f..... 4c10 000200a2 008e66e3 00000001 00000008 ......f......... 4c20 008e66e4 00000008 000200a2 008e66ec ..f...........f. 4c30 00000000 00000008 008e66ec 0000000d ..........f..... 4c40 000200a2 008e66f9 00000000 00002808 ......f.......(. 4c50 008e66fc 00000002 00020182 008e66fe ..f...........f. 4c60 00000010 00020082 008e670e 00000002 ..........g..... 4c70 000200b2 008e6710 00000000 00000008 ......g......... 4c80 004e8710 00000000 00002804 008e6710 .N........(...g. 4c90 0000001b 00020082 008e672b 00000001 ..........g+.... 4ca0 00000008 008e672c 0000000a 000200a2 ......g,........ 4cb0 008e6736 00000011 000200a2 008e6747 ..g6..........gG 4cc0 00000000 00002808 008e6748 00000002 ......(...gH.... 4cd0 000201a2 008e674a 00000013 00020082 ......gJ........ 4ce0 008e675d 00000002 000200b2 008e675f ..g]..........g_ 4cf0 00000005 000200a2 008e6764 00000000 ..........gd.... 4d00 00000008 008e6764 00000007 000200a2 ......gd........ 4d10 008e676b 00000001 00000008 008e676c ..gk..........gl 4d20 00000007 000200a2 008e6773 00000000 ..........gs.... 4d30 00000008 004e8710 00000000 00002804 .....N........(. 4d40 008e6774 0000000f 00020082 008e6783 ..gt..........g. 4d50 00000028 000200a2 008e67ab 00000003 ...(......g..... 4d60 00020092 008e67ae 00000000 00000008 ......g......... 4d70 008e67ae 00000004 000200a2 008e67b2 ..g...........g. 4d80 00000002 00000008 008e67b4 00000005 ..........g..... 4d90 00020082 008e67b9 00000000 00000008 ......g......... 4da0 008e67b9 0000000b 000200a2 008e67c4 ..g...........g. 4db0 00000000 00000008 008e67c4 00000015 ..........g..... 4dc0 000200a2 008e67d9 00000000 00000008 ......g......... 4dd0 008e67d9 00000021 000200a2 008e67fa ..g....!......g. 4de0 00000000 00000008 008e67fa 00000004 ..........g..... 4df0 000200a2 008e67fe 00000000 00000008 ......g......... 4e00 008e67fe 00000004 000200a2 008e6802 ..g...........h. 4e10 00000000 00000008 004e8710 00000004 .........N...... 4e20 00002804 008e6804 00000014 000200a2 ..(...h......... 4e30 008e6818 00000021 000200a2 008e6839 ..h....!......h9 4e40 00000000 00000008 008e6839 00000002 ..........h9.... 4e50 000200a2 008e683b 00000000 00002808 ......h;......(. 4e60 008e683c 0000001a 00020082 008e6856 ..h<..........hV 4e70 00000008 000200a2 008e685e 00000000 ..........h^.... 4e80 00000008 008e685e 00000008 000200a2 ......h^........ 4e90 008e6866 0000000f 000200a2 008e6875 ..hf..........hu 4ea0 00000008 00020092 008e687d 0000000b ..........h}.... 4eb0 000200a2 008e6888 00000002 000200a2 ......h......... 4ec0 008e688a 00000002 00000008 008e688c ..h...........h. 4ed0 0000000d 000200a2 008e6899 00000015 ..........h..... 4ee0 000200a2 008e68ae 00000000 00002808 ......h.......(. 4ef0 008e68b0 00000002 000201a2 008e68b2 ..h...........h. 4f00 0000001d 00020082 008e68cf 00000003 ..........h..... 4f10 00020092 008e68d2 00000000 00000008 ......h......... 4f20 008e68d2 0000000c 000200a2 008e68de ..h...........h. 4f30 00000002 00000008 008e68e0 0000000e ..........h..... 4f40 000200a2 008e68ee 00000002 00000008 ......h......... 4f50 008e68f0 00000013 000200a2 008e6903 ..h...........i. 4f60 00000000 00002808 008e6904 00000002 ......(...i..... 4f70 000201a2 008e6906 00000014 00020082 ......i......... 4f80 008e691a 00000003 000200b2 008e691d ..i...........i. 4f90 00000000 00000008 008e691d 00000002 ..........i..... 4fa0 000200a2 008e691f 00000000 00000008 ......i......... 4fb0 004e8718 00000000 00002804 .N........(. Contents of section .xt.lit: 0000 008e0004 00000018 ........ Contents of section .xtensa.info: 0000 0000000c 0000019c 00000001 5874656e ............Xten 0010 73615f49 6e666f00 48575f43 4f4e4649 sa_Info.HW_CONFI 0020 47494430 3d307863 32383064 6266660a GID0=0xc280dbff. 0030 48575f43 4f4e4649 47494431 3d307830 HW_CONFIGID1=0x0 0040 64303232 3330660a 4255494c 445f554e d02230f.BUILD_UN 0050 49515545 5f49443d 30783030 30323233 IQUE_ID=0x000223 0060 30660a41 42493d30 0a555345 5f414253 0f.ABI=0.USE_ABS 0070 4f4c5554 455f4c49 54455241 4c533d31 OLUTE_LITERALS=1 0080 0a48575f 56455253 494f4e3d 224c5832 .HW_VERSION="LX2 0090 2e312e30 220a4857 5f4d494e 5f564552 .1.0".HW_MIN_VER 00a0 53494f4e 5f4d414a 4f523d32 3231300a SION_MAJOR=2210. 00b0 48575f4d 494e5f56 45525349 4f4e5f4d HW_MIN_VERSION_M 00c0 494e4f52 3d300a48 575f4d41 585f5645 INOR=0.HW_MAX_VE 00d0 5253494f 4e5f4d41 4a4f523d 32323130 RSION_MAJOR=2210 00e0 0a48575f 4d41585f 56455253 494f4e5f .HW_MAX_VERSION_ 00f0 4d494e4f 523d300a 52454c45 4153455f MINOR=0.RELEASE_ 0100 4e414d45 3d225242 2d323030 372e3222 NAME="RB-2007.2" 0110 0a52454c 45415345 5f564552 53494f4e .RELEASE_VERSION 0120 3d22372e 312e3022 0a52454c 45415345 ="7.1.0".RELEASE 0130 5f4d414a 4f523d37 3031300a 52454c45 _MAJOR=7010.RELE 0140 4153455f 4d494e4f 523d300a 434f5245 ASE_MINOR=0.CORE 0150 5f4e414d 453d224d 61677069 655f5030 _NAME="Magpie_P0 0160 220a5449 455f4348 45434b53 554d5f30 ".TIE_CHECKSUM_0 0170 3d300a54 49455f43 4845434b 53554d5f =0.TIE_CHECKSUM_ 0180 313d300a 5449455f 43484543 4b53554d 1=0.TIE_CHECKSUM 0190 5f323d30 0a544945 5f434845 434b5355 _2=0.TIE_CHECKSU 01a0 4d5f333d 300a5444 4b5f5041 54483d22 M_3=0.TDK_PATH=" 01b0 220a0000 "... Disassembly of section .lit4: 004e8000 <.lit4>: 4e8000: 0053fff0 00040020 00500950 00500b78 .S..... .P.P.P.x 004e8010 <.lit4+0x10>: 4e8010: 008e1240 008e12f4 ...@.... 004e8018 <.lit4+0x18>: 4e8018: 00500400 008e1074 .P.....t 004e8020 <.lit4+0x20>: 4e8020: 00050023 ...# 004e8024 <.lit4+0x24>: 4e8024: 80000007 .... 004e8028 <.lit4+0x28>: 4e8028: c0000000 00500598 008e6938 .....P....i8 004e8034 <.lit4+0x34>: 4e8034: 004e8840 00500508 008e6920 .N.@.P....i 004e8040 <.lit4+0x40>: 4e8040: 00007ffe 008e12e8 ........ 004e8048 <.lit4+0x48>: 4e8048: 00038000 008e1399 ........ 004e8050 <.lit4+0x50>: 4e8050: 004e8740 0053fe00 00520e00 40000000 .N.@.S...R..@... 4e8060: 00500000 004e8860 004e886c 004e88a8 .P...N.`.N.l.N.. 4e8070: 004e88b0 004e88c4 004e8908 004e8938 .N...N...N...N.8 4e8080: 00500640 005006f8 00500100 008e6980 .P.@.P...P....i. 4e8090: 008e6990 008e6988 008e1460 008e1454 ..i...i....`...T 4e80a0: 008e1468 008e1428 008e1474 008e14d4 ...h...(...t.... 4e80b0: 008e1604 02625a00 004e8954 004e8968 .....bZ..N.T.N.h 4e80c0: 41544852 10000000 00500b7c 00500b9c ATHR.....P.|.P.. 4e80d0: 00500ba8 00500bc0 00500be0 0004fe00 .P...P...P...... 4e80e0: 0005be00 00051e00 fffeefef 004e8970 .............N.p 4e80f0: 13fffe00 a55a0000 00036000 00000b7c .....Z....`....| 4e8100: 004e8984 008e1860 004e8998 008e189c .N.....`.N...... 4e8110: 00500978 008e18fc fffeefff 004e89b4 .P.x.........N.. 4e8120: 004e89b8 00500950 20000000 004e89e8 .N...P.P ....N.. 4e8130: 004e8a08 004e8a18 004e8a28 004e8a38 .N...N...N.(.N.8 4e8140: 00530000 00010000 004e8a48 004e8a54 .S.......N.H.N.T 4e8150: 004e8a70 004e89cc 004e89bc 008e1c14 .N.p.N...N...... 004e8160 <.lit4+0x160>: 4e8160: 00500954 004e8a8c 004e8ab0 004e8acc .P.T.N...N...N.. 4e8170: 008e1c34 008e1c44 ...4...D 004e8178 <.lit4+0x178>: 4e8178: 008e1c74 ...t 004e817c <.lit4+0x17c>: 4e817c: 0050095c 000c3500 fff3cb00 004e8750 .P.\..5......N.P 4e818c: 00500974 008e1d10 008e1cf8 008e1cac .P.t............ 4e819c: 008e1d00 008e1cdc 008e1ccc 008e1ce8 ................ 4e81ac: 008e1cf0 .... 004e81b0 <.lit4+0x1b0>: 4e81b0: 008e1d44 ...D 004e81b4 <.lit4+0x1b4>: 4e81b4: 00020000 10ff3e00 10ff2000 00000fff ......>... ..... 4e81c4: 004e8afc 004e8aec 004e8af4 004e8b04 .N...N...N...N.. 4e81d4: 00055e00 0003fe00 fffaffff 0001fe00 ..^............. 4e81e4: 0000ffc1 10ff0000 10ff1e00 000c0000 ................ 4e81f4: 04000000 008e1f04 008e1ebc 008e1e5c ...............\ 004e8204 <.lit4+0x204>: 4e8204: 008e1e24 ...$ 004e8208 <.lit4+0x208>: 4e8208: 00500980 008e2188 004e0600 004e0601 .P....!..N...N.. 4e8218: 00500990 004e0771 008e2190 008e2298 .P...N.q..!...". 4e8228: 008e2234 008e21b8 .."4..!. 004e8230 <.lit4+0x230>: 4e8230: 008e21f8 ..!. 004e8234 <.lit4+0x234>: 4e8234: 008e2318 008e6e48 008e6b64 008e6c5c ..#...nH..kd..l\ 004e8244 <.lit4+0x244>: 4e8244: 008e6adc ..j. 004e8248 <.lit4+0x248>: 4e8248: 01000000 fffe000f 004e0001 004e8b0c .........N...N.. 4e8258: 00500a28 005006f8 004e8b44 004e8b78 .P.(.P...N.D.N.x 4e8268: 004e8b90 004e8980 004e8b9c 004e0100 .N...N...N...N.. 4e8278: 008e2340 008e2354 008e23b0 008e235c ..#@..#T..#...#\ 4e8288: 008e241c 008e245c 008e242c ..$...$\..$, 004e8294 <.lit4+0x294>: 4e8294: 008e247c ..$| 004e8298 <.lit4+0x298>: 4e8298: 004e8bb0 004e8bd8 004e8ba4 004e8bc4 .N...N...N...N.. 4e82a8: 00500700 008e29b4 .P....). 004e82b0 <.lit4+0x2b0>: 4e82b0: 008e2980 ..). 004e82b4 <.lit4+0x2b4>: 4e82b4: 004e8be0 ffb00000 0003ffff 004e8bfc .N...........N.. 4e82c4: 004e8c20 00500704 00500a2c 004e8c44 .N. .P...P.,.N.D 4e82d4: 0053c000 008e2b00 008e2a9c 008e2a8c .S....+...*...*. 004e82e4 <.lit4+0x2e4>: 4e82e4: 008e2a50 ..*P 004e82e8 <.lit4+0x2e8>: 4e82e8: 008e2b24 008e6678 008e683c 008e6710 ..+$..fx..h<..g. 4e82f8: 008e6560 ..e` 004e82fc <.lit4+0x2fc>: 4e82fc: 008e6774 ..gt 004e8300 <.lit4+0x300>: 4e8300: 00500a30 008e2b50 008e2b5c 008e2b88 .P.0..+P..+\..+. 4e8310: 008e2b6c ..+l 004e8314 <.lit4+0x314>: 4e8314: 008e2bbc ..+. 004e8318 <.lit4+0x318>: 4e8318: 00500a34 00009c40 008e2c88 008e2c14 .P.4...@..,...,. 4e8328: 008e2c58 008e2c04 ..,X..,. 004e8330 <.lit4+0x330>: 4e8330: 008e2c94 ..,. 004e8334 <.lit4+0x334>: 4e8334: 5f574454 5f535553 00500a38 07270e00 _WDT_SUS.P.8.'.. 4e8344: 008e2d90 008e2d54 008e2d74 008e2d2c ..-...-T..-t..-, 4e8354: 008e2db8 008e2d10 ..-...-. 004e835c <.lit4+0x35c>: 4e835c: 008e2ce4 ..,. 004e8360 <.lit4+0x360>: 4e8360: 00050e00 0001c200 00500a44 00002710 .........P.D..'. 4e8370: 008e2e38 008e2e48 008e2df8 008e2ea0 ...8...H..-..... 4e8380: 008e2ed4 008e2ec0 008e2ef4 008e2ec8 ................ 004e8390 <.lit4+0x390>: 4e8390: 008e2f1c ../. 004e8394 <.lit4+0x394>: 4e8394: 00050018 004e8c64 00050010 004e8c80 .....N.d.....N.. 4e83a4: ffffdfff 00002000 00500708 004e8c8c ...... ..P...N.. 4e83b4: 004e8ca0 004e8cb0 004e8cc0 008e3110 .N...N...N....1. 4e83c4: 008e31a0 008e3164 008e3210 008e32b0 ..1...1d..2...2. 4e83d4: 008e32dc 008e32f8 008e3308 008e31a8 ..2...2...3...1. 4e83e4: 008e3320 008e3318 008e3328 008e30d8 ..3 ..3...3(..0. 4e83f4: 008e300c 008e31e8 008e31bc 008e3024 ..0...1...1...0$ 4e8404: 008e2fac 008e2fe8 ../.../. 004e840c <.lit4+0x40c>: 4e840c: 008e2fd4 ../. 004e8410 <.lit4+0x410>: 4e8410: 00500a54 008e33b0 008e33c4 008e33fc .P.T..3...3...3. 4e8420: 008e341c 00500a60 00500a68 00500a78 ..4..P.`.P.h.P.x 4e8430: 00500a80 004e8cd8 004e8cf0 00055a00 .P...N...N....Z. 4e8440: 00055600 004e8d04 004e8d1c 00054e00 ..V..N...N....N. 4e8450: 00010007 008e3430 008e34a0 008e3570 ......40..4...5p 4e8460: 008e36e4 008e361c 008e367c 008e36c0 ..6...6...6|..6. 4e8470: 008e36d0 008e348c 008e3484 008e351c ..6...4...4...5. 4e8480: 008e3794 004e8d34 004e8d48 004e8d60 ..7..N.4.N.H.N.` 4e8490: 004e8d74 004e8d88 .N.t.N.. 004e8498 <.lit4+0x498>: 4e8498: 00500a98 0000ff80 0000fe00 00500620 .P...........P. 4e84a8: 00500730 007efdfb 00500780 00500770 .P.0.~...P...P.p 4e84b8: 004e8d9c 004e01a0 004e01b0 004e01c0 .N...N...N...N.. 4e84c8: 004e01e0 008e3f64 004e8ddc 004e8dfc .N....?d.N...N.. 4e84d8: 08000000 004e8db4 004e8e08 f7ffffff .....N...N...... 4e84e8: 004e0160 004e0120 00010080 004e8e28 .N.`.N. .....N.( 4e84f8: 004e8e3c 00010001 0000c600 004e8e50 .N.<.........N.P 4e8508: 004e8e60 004e8e78 004e8e88 004e8e98 .N.`.N.x.N...N.. 4e8518: 00001010 004e8ea8 008e4270 008e4254 .....N....Bp..BT 4e8528: 008e476c 008e4468 008e4530 008e41d0 ..Gl..Dh..E0..A. 4e8538: 008e4058 008e3cd4 008e3d8c 008e3b2c ..@X..<...=...;, 4e8548: 008e3b54 008e3bfc 008e3c44 008e3e64 ..;T..;...d 4e8558: 008e4394 008e43a8 008e441c 008e4460 ..C...C...D...D` 4e8568: 008e3a9c 008e3a7c 008e39ac 008e3988 ..:...:|..9...9. 4e8578: 008e3954 008e3e08 008e4288 008e42f0 ..9T..>...B...B. 4e8588: 008e3884 008e38ac ..8...8. 004e8590 <.lit4+0x590>: 4e8590: 008e4668 ..Fh 004e8594 <.lit4+0x594>: 4e8594: 00500ace 00500ad0 00500ad2 .P...P...P.. 004e85a0 <.lit4+0x5a0>: 4e85a0: 005007c0 00053000 00054000 008e4ce4 .P....0...@...L. 4e85b0: 008e4d7c 008e4d2c 008e4da8 008e4dec ..M|..M,..M...M. 4e85c0: 008e4e28 008e4e50 008e4dd0 008e4e6c ..N(..NP..M...Nl 004e85d0 <.lit4+0x5d0>: 4e85d0: 008e4e94 ..N. 004e85d4 <.lit4+0x5d4>: 4e85d4: 00500af8 008e4ef0 008e4f2c .P....N...O, 004e85e0 <.lit4+0x5e0>: 4e85e0: 008e4f4c ..OL 004e85e4 <.lit4+0x5e4>: 4e85e4: 00500b04 008e4f74 008e4fb0 008e4fc8 .P....Ot..O...O. 004e85f4 <.lit4+0x5f4>: 4e85f4: 008e4fd0 ..O. 004e85f8 <.lit4+0x5f8>: 4e85f8: 008e5114 008e5318 008e52e0 008e520c ..Q...S...R...R. 4e8608: 008e51fc 008e5328 008e51f4 008e5494 ..Q...S(..Q...T. 4e8618: 008e581c 008e57bc 008e56f4 008e577c ..X...W...V...W| 004e8628 <.lit4+0x628>: 4e8628: 0000ff00 004e8ebc 004e8950 004e8ec8 .....N...N.P.N.. 4e8638: 004e8ed8 008e591c 008e5a50 008e5aa8 .N....Y...ZP..Z. 4e8648: 008e5b68 008e5b90 008e59c0 008e5bc0 ..[h..[...Y...[. 4e8658: 008e5974 008e5b70 008e5924 008e5964 ..Yt..[p..Y$..Yd 4e8668: 008e5bf8 008e5cf0 008e5d2c ..[...\...], 004e8674 <.lit4+0x674>: 4e8674: 008e5dc0 ..]. 004e8678 <.lit4+0x678>: 4e8678: 0000fffc .... 004e867c <.lit4+0x67c>: 4e867c: 008e5eb0 008e5ef8 00500b0c 00500b14 ..^...^..P...P.. 4e868c: 008e5ffc 004e8ee0 00001a00 ffffe5ff .._..N.......... 4e869c: 00054100 00060000 004e8efc 00054200 ..A......N....B. 4e86ac: 00054204 004e8f18 004e8f44 004e8f70 ..B..N...N.D.N.p 4e86bc: 004e8f90 004e8fac 004e8fc4 004e8ff0 .N...N...N...N.. 4e86cc: 004e900c .N.. 004e86d0 <.lit4+0x6d0>: 4e86d0: 008e6288 008e62a8 008e6300 008e6324 ..b...b...c...c$ 4e86e0: 008e6334 008e62a0 00500b18 ..c4..b..P.. 004e86ec <.lit4+0x6ec>: 4e86ec: 008e6950 00500850 008e63b4 008e6928 ..iP.P.P..c...i( 004e86fc <.lit4+0x6fc>: 4e86fc: fffbfff0 .... 004e8700 <.lit4+0x700>: 4e8700: ff000000 00ff0000 000000ff 40404040 ............@@@@ 004e8710 <.lit4+0x710>: 4e8710: fefefeff .... 004e8714 <.lit4+0x714>: 4e8714: 80808080 .... Disassembly of section .dport0.stack: 0053f7f0 <_reserved_stack_start>: ... Disassembly of section .dport0.usb_in_rom: 004e0100 : _reserved_rom_data_start(): 4e0100: 01120200 ffff40ff 0cf37010 02022010 ......@...p... . 4e0110: 01300000 00000000 00000000 00000000 .0.............. 004e0120 : 4e0120: 0209003c 01018000 09fa0004 060000ff ...<............ 4e0130: 00000507 02010200 07008205 00020002 ................ 4e0140: 05070383 00400701 04054003 01000507 .....@....@..... 4e0150: 02050200 07000605 00020002 00000000 ................ 004e0160 : 4e0160: 0209003c 01018000 09fa0004 060000ff ...<............ 4e0170: 00000507 02010040 07008205 40020000 .......@....@... 4e0180: 05070383 00400701 04054002 00000507 .....@....@..... 4e0190: 02050040 07000605 40020000 00000000 ...@....@....... 004e01a0 : 4e01a0: 03040409 00000000 00000000 00000000 ................ 004e01b0 : 4e01b0: 03100041 00540048 00450052 004f0053 ...A.T.H.E.R.O.S 004e01c0 : 4e01c0: 03180055 00530042 0032002e 00300020 ...U.S.B.2...0. 4e01d0: 0057004c 0041004e 00000000 00000000 .W.L.A.N........ 004e01e0 : 4e01e0: 030c0031 00320033 00340035 ...1.2.3.4.5 Disassembly of section .dport0.data: 00500000 <_indir_tbl>: ... Disassembly of section .rodata: 004e8720 <_rom_store_table>: 4e8720: 00500400 00500950 004e0260 00000000 .P...P.P.N.`.... ... 004e8740 : 4e8740: 55aa55aa aa55aa55 ffffffff 00000000 U.U..U.U........ 004e8750 : 4e8750: 00000000 00989680 00000000 00000000 ................ 4e8760: 00000000 00000001 01312d00 00000000 .........1-..... ... 4e8778: 00000002 02625a00 00000000 00000000 .....bZ......... 4e8788: 00000000 ffffffff 00000000 00000000 ................ ... 004e87a0 : 4e87a0: 00001b62 ...b 004e87a4 : 4e87a4: 004e9034 .N.4 004e87a8 : 4e87a8: 1b620000 .b.. 004e87ac : 4e87ac: 00000020 ... 004e87b0 : 4e87b0: 05 . 004e87b1 : 4e87b1: 01 . 004e87b2 : 4e87b2: 01 . 004e87b3 : 4e87b3: 01 . 004e87b4 : 4e87b4: 01 . 004e87b5 : 4e87b5: 01 . 004e87b6 : 4e87b6: 01 . 004e87b7 : 4e87b7: 01 . 004e87b8 : 4e87b8: 01 . 004e87b9 : 4e87b9: 01 . 004e87ba : 4e87ba: 02 . 004e87bb : 4e87bb: 01 . 004e87bc : 4e87bc: 01 . 004e87bd : 4e87bd: 01 . 004e87be : 4e87be: 01 . 004e87bf : 4e87bf: 01 . 004e87c0 : 4e87c0: 01 . 004e87c1 : 4e87c1: 01 . 004e87c2 : 4e87c2: 0100 .. 004e87c4 : 4e87c4: 00040000 .... 004e87c8 : 4e87c8: 0002230f ..#. 004e87cc : 4e87cc: c280dbff .... 004e87d0 : 4e87d0: 0d02230f ..#. 004e87d4 : 4e87d4: 000008a2 .... 004e87d8 : 4e87d8: 004e903c .N.< 004e87dc : 4e87dc: 000008a2 .... 004e87e0 : 4e87e0: 000008a2 .... 004e87e4 : 4e87e4: 01 . 004e87e5 : 4e87e5: 01 . 004e87e6 : 4e87e6: 01 . 004e87e7 : 4e87e7: 01 . 004e87e8 : 4e87e8: 01 . 004e87e9 : 4e87e9: 040000 ... 004e87ec : 4e87ec: 0000001d .... 004e87f0 : 4e87f0: 0000001d .... 004e87f4 : 4e87f4: 01 . 004e87f5 : 4e87f5: 01 . 004e87f6 : 4e87f6: 01 . 004e87f7 : 4e87f7: 01 . 004e87f8 : 4e87f8: 01 . 004e87f9 : 4e87f9: 010000 ... 004e87fc : 4e87fc: 00000000 .... 004e8800 : 4e8800: 00000000 .... 004e8804 : 4e8804: 00000000 .... 004e8808 : 4e8808: 00800000 .... 004e880c : 4e880c: 00800000 .... 004e8810 : 4e8810: 00400000 .@.. 004e8814 : 4e8814: 00000000 .... 004e8818 : 4e8818: 00000000 .... 004e881c : 4e881c: 00000000 .... 004e8820 : 4e8820: 00400000 .@.. 004e8824 : 4e8824: 00400000 .@.. 004e8828 : 4e8828: 00400000 .@.. 004e882c : 4e882c: 00000000 .... 004e8830 : 4e8830: 00000000 .... 004e8834 : ... 004e8840 : 4e8840: 01020202 02020202 02020202 02020203 ................ 4e8850: 03030500 00000000 00000000 00000000 ................ 4e8860: 52414d20 6661696c 0a0d0000 46617461 RAM fail....Fata 4e8870: 6c206578 63657074 696f6e20 28256429 l exception (%d) 4e8880: 3a207063 3d307825 78206261 64766164 : pc=0x%x badvad 4e8890: 64723d30 78257820 64756d70 20617265 dr=0x%x dump are 4e88a0: 613d3078 25780a00 2d41312d 0a0d0000 a=0x%x..-A1-.... 4e88b0: 6d697361 6c69676e 65645f6c 6f61640a misaligned_load. 4e88c0: 0d000000 46617461 6c206578 63657074 ....Fatal except 4e88d0: 696f6e20 28256429 3a200970 633d3078 ion (%d): .pc=0x 4e88e0: 2578200a 0d096261 64766164 64723d30 %x ...badvaddr=0 4e88f0: 78257820 0a0d0964 756d7020 61726561 x%x ...dump area 4e8900: 3d307825 780a0000 09657063 313d3078 =0x%x....epc1=0x 4e8910: 25782c20 65706332 3d307825 782c2065 %x, epc2=0x%x, e 4e8920: 7063333d 30782578 2c206570 63343d30 pc3=0x%x, epc4=0 4e8930: 7825780a 00000000 30782530 38782c20 x%x.....0x%08x, 4e8940: 30782530 38782c20 30782530 38782c20 0x%08x, 0x%08x, 4e8950: 0a0d0000 202d2025 735f7665 723a2025 .... - %s_ver: % 4e8960: 78202d20 0a0a0d00 61736963 00000000 x - ....asic.... 4e8970: 64696476 69642066 726f6d20 6f776c20 didvid from owl 4e8980: 0a000000 64656661 756c7420 64696476 ....default didv 4e8990: 6964200a 00000000 382e2077 61697420 id .....8. wait 4e89a0: 666f7220 646f776e 6c6f6164 2e2e2e2e for download.... 4e89b0: 200a0d00 32000000 33000000 20332e31 ...2...3... 3.1 4e89c0: 206e6f20 70617463 680a0d00 20332e31 no patch... 3.1 4e89d0: 206e6f20 65657020 6f722063 6f727275 no eep or corru 4e89e0: 70740a0d 00000000 20332e31 20534e53 pt...... 3.1 SNS 4e89f0: 543a2065 65702069 6e697420 6661696c T: eep init fail 4e8a00: 6564210a 0d000000 342e2077 64742073 ed!.....4. wdt s 4e8a10: 74617274 0a0d0000 342e2077 61726d20 tart....4. warm 4e8a20: 73746172 740a0d00 342e2063 6f6c6420 start...4. cold 4e8a30: 73746172 740a0d00 352e2068 69662028 start...5. hif ( 4e8a40: 2564290a 00000000 53544152 542e2e2e %d).....START... 4e8a50: 2e0a0000 524f4d3e 3a6d6469 6f20646f ....ROM>:mdio do 4e8a60: 776e6c6f 61642072 65616479 0a000000 wnload ready.... 4e8a70: 362e2053 4e53543a 20686f73 74696620 6. SNST: hostif 4e8a80: 636f7272 7570740a 0d000000 52414d20 corrupt.....RAM 4e8a90: 616c6c6f 63617469 6f6e2028 25642062 allocation (%d b 4e8aa0: 79746573 29206661 696c6564 210a0000 ytes) failed!... 4e8ab0: 414c4c4f 4352414d 20437572 72656e74 ALLOCRAM Current 4e8ac0: 20416464 72203078 25780a00 414c4c4f Addr 0x%x..ALLO 4e8ad0: 4352414d 2052656d 61696e69 6e672042 CRAM Remaining B 4e8ae0: 79746573 2025640a 00000000 2d453132 ytes %d.....-E12 4e8af0: 2d000000 2d453130 2d000000 2d453131 -...-E10-...-E11 4e8b00: 2d000000 2d453133 2d000000 4d697361 -...-E13-...Misa 4e8b10: 6c69676e 6564206c 6f61643a 2070633d ligned load: pc= 4e8b20: 30782578 20626164 76616464 723d3078 0x%x badvaddr=0x 4e8b30: 25782064 756d7020 61726561 3d307825 %x dump area=0x% 4e8b40: 780a0000 61737365 7274696f 6e206661 x...assertion fa 4e8b50: 696c6564 3f207063 3d307825 782c206c iled? pc=0x%x, l 4e8b60: 696e653d 25642c20 64756d70 20617265 ine=%d, dump are 4e8b70: 613d3078 25780a00 54617267 65742049 a=0x%x..Target I 4e8b80: 443a2030 78257820 28256429 0a000000 D: 0x%x (%d).... 4e8b90: 44656275 6720496e 666f3a00 30782530 Debug Info:.0x%0 4e8ba0: 38782000 30313233 34353637 38390000 8x .0123456789.. 4e8bb0: 30313233 34353637 38396162 63646566 0123456789abcdef 4e8bc0: 00000000 30313233 34353637 38394142 ....0123456789AB 4e8bd0: 43444546 00000000 3c6e756c 6c3e0000 CDEF...... 4e8be0: 09737a3a 2025642c 206c643a 2025702c .sz: %d, ld: %p, 4e8bf0: 20666e3a 2025700d 00000000 21696e76 fn: %p.....!inv 4e8c00: 616c6964 2064706f 72742061 64647265 alid dport addre 4e8c10: 73732c20 30782530 38780a0d 00000000 ss, 0x%08x...... 4e8c20: 63702025 64206279 74657320 66726f6d cp %d bytes from 4e8c30: 20307825 30387820 746f2030 78253038 0x%08x to 0x%08 4e8c40: 78000000 702e6f66 743a2030 78253034 x...p.oft: 0x%04 4e8c50: 782c2070 2e737a20 3a203078 25303478 x, p.sz : 0x%04x 4e8c60: 0a000000 57616974 696e6720 666f7220 ....Waiting for 4e8c70: 686f7374 20726573 65742e2e 00000000 host reset...... 4e8c80: 72656365 69766564 2e0a0000 42616420 received....Bad 4e8c90: 456e6769 6e65206e 756d6265 720a0000 Engine number... 4e8ca0: 456d7074 79205258 20526561 700a0000 Empty RX Reap... 4e8cb0: 456d7074 79205458 20526561 70200a00 Empty TX Reap .. 4e8cc0: 496e7661 6c696420 50697065 206e756d Invalid Pipe num 4e8cd0: 6265720a 00000000 0a0d093d 3e5b646e ber........=>[dn 4e8ce0: 515d2030 78253038 78200a5b 00000000 Q] 0x%08x .[.... 4e8cf0: 093d3e5b 7570515d 20307825 30387820 .=>[upQ] 0x%08x 4e8d00: 0a5b0000 093d3e5b 68702064 6e515d20 .[...=>[hp dnQ] 4e8d10: 30782530 3878200a 5b000000 093d3e5b 0x%08x .[....=>[ 4e8d20: 6d702064 6e515d20 30782530 3878200a mp dnQ] 0x%08x . 4e8d30: 5b000000 0a0d5b68 7020646e 515d2030 [.....[hp dnQ] 0 4e8d40: 78253038 782c2000 444d4120 54524143 x%08x, .DMA TRAC 4e8d50: 45203078 25303878 0a0d2020 20205b00 E 0x%08x.. [. 4e8d60: 0a0d5b6d 7020646e 515d2030 78253038 ..[mp dnQ] 0x%08 4e8d70: 782c2000 0a0d5b64 6e515d20 30782530 x, ...[dnQ] 0x%0 4e8d80: 38782c20 00000000 0a0a0d5b 7570515d 8x, .......[upQ] 4e8d90: 20307825 3038782c 20000000 2d206375 0x%08x, ...- cu 4e8da0: 73746f6d 20757362 20636f6e 6669670a stom usb config. 4e8db0: 00000000 095b6355 53425f52 45515f44 .....[cUSB_REQ_D 4e8dc0: 4f574e4c 4f41445d 3a203078 25303878 OWNLOAD]: 0x%08x 4e8dd0: 2c202530 32780a0d 00000000 090a0d3d , %02x.........= 4e8de0: 3d3e5b63 5553425f 5245515f 434f4d50 =>[cUSB_REQ_COMP 4e8df0: 5d3a2030 78253038 780a0d00 636b7375 ]: 0x%08x...cksu 4e8e00: 6d3d2578 00000000 56656e64 6f72436d m=%x....VendorCm 4e8e10: 643a2044 6f776e6c 6f616443 6f6d706c d: DownloadCompl 4e8e20: 65746521 0a000000 7a665265 73657455 ete!....zfResetU 4e8e30: 53424649 464f0a0d 00000000 7a665475 SBFIFO......zfTu 4e8e40: 726e4f66 66506f77 65720a0d 00000000 rnOffPower...... 4e8e50: 47656e65 72617465 20457665 6e740a00 Generate Event.. 4e8e60: 215b534f 55524345 5f305d20 62697437 ![SOURCE_0] bit7 4e8e70: 206f6e0a 0d000000 21555342 20726573 on.....!USB res 4e8e80: 65740a0d 00000000 21555342 20737573 et......!USB sus 4e8e90: 70656e64 0a0d0000 21555342 20726573 pend....!USB res 4e8ea0: 756d650a 0d000000 362e2075 73625f68 ume.....6. usb_h 4e8eb0: 636c6b20 7264790a 0d000000 30782530 clk rdy.....0x%0 4e8ec0: 38782854 295d0000 30782530 38782825 8x(T)]..0x%08x(% 4e8ed0: 63292d3e 00000000 0a0d2020 20000000 c)->...... ... 4e8ee0: 6d69692d 6d64696f 202d2072 65736574 mii-mdio - reset 4e8ef0: 202d2073 74617274 200a0000 6d69692d - start ...mii- 4e8f00: 6d64696f 202d2072 65736574 202d2065 mdio - reset - e 4e8f10: 6e64200a 00000000 73746172 74656420 nd .....started 4e8f20: 72656365 6976696e 67206279 74657320 receiving bytes 4e8f30: 746f2025 78206c65 6e677468 2025640a to %x length %d. 4e8f40: 00000000 636f6d70 6c657465 64207265 ....completed re 4e8f50: 63656976 696e6720 62797465 7320746f ceiving bytes to 4e8f60: 20257820 6c656e67 74682025 640a0000 %x length %d... 4e8f70: 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa 4e8f80: 64206c65 6e677468 20307825 780a0000 d length 0x%x... 4e8f90: 4669726d 77617265 20457865 63204164 Firmware Exec Ad 4e8fa0: 64726573 73203078 25780a00 4669726d dress 0x%x..Firm 4e8fb0: 77617265 20636865 636b7375 6d203078 ware checksum 0x 4e8fc0: 25780a00 4669726d 77617265 20636865 %x..Firmware che 4e8fd0: 636b7375 6d206661 696c6564 202d2072 cksum failed - r 4e8fe0: 65206e65 676f7469 6174696e 67200a00 e negotiating .. 4e8ff0: 4669726d 77617265 20446f77 6e6c6f61 Firmware Downloa 4e9000: 64206973 20676f6f 64200a00 434f4d4d d is good ..COMM 4e9010: 414e4420 544f2053 54415254 20464952 AND TO START FIR 4e9020: 4d574152 45205245 43454956 4544200a MWARE RECEIVED . 4e9030: 00000000 372e312e 30000000 4c58322e ....7.1.0...LX2. 4e9040: 312e3000 00500400 00500400 00500950 1.0..P...P...P.P 4e9050: 00500b78 .P.x Disassembly of section .data: 00500400 <_xtos_exc_handler_table>: _xtos_exc_handler_table(): 500400: 008e6928 008e1104 008e6928 008e6928 ..i(......i(..i( 500410: 008e1174 008e102c 008e6928 008e6928 ...t...,..i(..i( 500420: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500430: 008e63ac 008e63ac 008e63ac 008e63ac ..c...c...c...c. 500440: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500450: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500460: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500470: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500480: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 500490: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004a0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004b0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004c0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004d0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004e0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 5004f0: 008e6928 008e6928 008e6928 008e6928 ..i(..i(..i(..i( 00500500 <_xtos_enabled>: _xtos_intstruct(): 500500: 00000000 .... 00500504 <_xtos_vpri_enabled>: 500504: ffffffff .... 00500508 <_xtos_interrupt_table>: _xtos_interrupt_table(): 500508: 008e6920 00000012 008e6920 00000011 ..i ......i .... 500518: 008e6920 00000010 008e6920 0000000f ..i ......i .... 500528: 008e6920 0000000e 008e6920 0000000d ..i ......i .... 500538: 008e6920 0000000c 008e6920 0000000b ..i ......i .... 500548: 008e6920 0000000a 008e6920 00000009 ..i ......i .... 500558: 008e6920 00000008 008e6920 00000007 ..i ......i .... 500568: 008e6920 00000006 008e6920 00000005 ..i ......i .... 500578: 008e6920 00000004 008e6920 00000003 ..i ......i .... 500588: 008e6920 00000002 008e6920 00000001 ..i ......i .... 500598: 008e6920 00000000 ..i .... 005005a0 <_xtos_interrupt_mask_table>: _xtos_interrupt_mask_table(): 5005a0: fffffffe 00040000 fffffffe 00020000 ................ 5005b0: fffffffe 00010000 fffffffe 00008000 ................ 5005c0: fffffffe 00004000 fffffffe 00002000 ......@....... . 5005d0: fffffffe 00001000 fffffffe 00000800 ................ 5005e0: fffffffe 00000400 fffffffe 00000200 ................ 5005f0: fffffffe 00000100 fffffffe 00000080 ................ 500600: fffffffe 00000040 fffffffe 00000020 .......@....... 500610: fffffffe 00000010 fffffffe 00000008 ................ 500620: fffffffe 00000004 fffffffe 00000002 ................ 500630: fffffffe 00000001 00000000 00000000 ................ 00500640 : 500640: 008e2320 0050000c 008e2488 00500020 ..# .P....$..P. 500650: 008e29bc 00500044 008e2f5c 0050004c ..)..P.D../\.P.L 500660: 008e4858 00500070 008e22f8 00500118 ..HX.P.p.."..P.. 500670: 008e2cc4 00500104 008e1d6c 005000e0 ..,..P.....l.P.. 500680: 008e1c98 00500144 008e2b08 00500150 .....P.D..+..P.P 500690: 008e2dd0 00500160 008e216c 0050017c ..-..P.`..!l.P.| 5006a0: 00000000 0050006c 008e2b2c 0050018c .....P.l..+,.P.. 5006b0: 008e2be4 005001a4 008e5010 00500294 ..+..P....P..P.. 5006c0: 008e4f60 00500280 008e1548 005001c0 ..O`.P.....H.P.. 5006d0: 008e337c 00500328 008e4eb8 005002f4 ..3|.P.(..N..P.. 5006e0: 008e6264 00500264 008e4848 00500258 ..bd.P.d..HH.P.X 5006f0: 008e5c58 005002b4 ..\X.P.. 005006f8 : 5006f8: 00000001 .... 005006fc : 5006fc: 00000001 .... 00500700 <_putc>: 500700: 008e24b8 ..$. 00500704 : 500704: 00000000 .... 00500708 : ... 00500720 : 500720: 00020004 00080000 00000000 00000000 ................ 00500730 : ... 500738: aa00aa00 aaaaaaaa eeaaaaaa eeeeeeee ................ 500748: feeeeeee ffffffff ffffffff 7fffffff ................ 500758: f7efdfbf 7efcfdfb fdfbdfbf 00000000 ....~........... ... 00500770 : ... 00500780 : 500780: 07090000 00000000 00000000 00000000 ................ ... 005007c0 : 5007c0: 00053000 00000800 00000000 00000000 ..0............. ... 5007d8: 00053000 00000900 00000000 00000000 ..0............. ... 5007f0: 00053000 00000a00 00000000 00000000 ..0............. ... 500808: 00053000 00000b00 00000000 00000000 ..0............. ... 500820: 00053000 00000c00 00000000 00000000 ..0............. ... 500838: 00053000 00000d00 00000000 00000000 ..0............. ... 00500850 <_xtos_c_handler_table>: _xtos_c_handler_table(): 500850: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500860: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500870: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500880: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500890: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008a0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008b0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008c0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008d0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008e0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 5008f0: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500900: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500910: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500920: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500930: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP 500940: 008e6950 008e6950 008e6950 008e6950 ..iP..iP..iP..iP Disassembly of section .bss: 00500950 : 500950: 00000000 .... 00500954 : 500954: 00000000 .... 00500958 : 500958: 00000000 .... 0050095c : 50095c: 00000000 .... 00500960 : 500960: 00000000 .... 00500964 : 500964: 00000000 .... 00500968 : 500968: 00000000 .... 0050096c : 50096c: 00000000 .... 00500970 : 500970: 00000000 .... 00500974 : 500974: 00000000 .... 00500978 : ... 0050097a : 50097a: 00000000 00000000 ...... 00500980 : ... 00500990 : ... 00500a28 : 500a28: 00000000 .... 00500a2c : 500a2c: 00000000 .... 00500a30 : 500a30: 00000000 .... 00500a34 : 500a34: 00000000 .... 00500a38 : ... 00500a44 : ... 00500a54 : ... 00500a98 : ... 00500aa4 : ... 00500ab4 : 500ab4: 00000000 .... 00500ab8 : 500ab8: 00000000 .... 00500abc : 500abc: 00000000 .... 00500ac0 : 500ac0: 00000000 .... 00500ac4 : 500ac4: 00000000 .... 00500ac8 : 500ac8: 00000000 .... 00500acc : ... 00500ace : ... 00500ad0 : ... 00500ad2 : ... 00500ad4 : 500ad4: 00000000 .... 00500ad8 : 500ad8: 00000000 .... 00500adc : 500adc: 00000000 .... 00500ae0 : 500ae0: 00000000 .... 00500ae4 : 500ae4: 00000000 .... 00500ae8 : ... 00500af0 : ... 00500af8 : ... 00500b04 : ... 00500b0c : ... 00500b18 : ... 00500b44 : 500b44: 00000000 .... 00500b48 : 500b48: 00000000 .... 00500b4c : ... 00500b4d : ... 00500b4e : ... 00500b4f : ... 00500b50 : 500b50: 00000000 .... 00500b54 : 500b54: 00000000 .... 00500b58 : 500b58: 00000000 .... 00500b5c : 500b5c: 00000000 .... 00500b60 : 500b60: 00000000 .... 00500b64 : ... 00500b65 : ... 00500b66 : ... 00500b67 : ... 00500b68 : ... 00500b69 : ... 00500b6a : ... 00500b6b : ... 00500b6c : ... 00500b6d : ... 00500b6e : ... 00500b6f : ... 00500b70 : ... Disassembly of section .ResetVector.text: 008e0000 <_ResetVector>: _ResetVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:56 8e0000: 60001c j 8e0020 <_ResetHandler> 008e0003 <_ResetVector+0x3>: ... 008e0004 <_ResetVector+0x4>: 8e0004: 008e0800 22221221 e0000000 008e0063 ...."".!.......c 8e0014: 004e8720 00528001 .N. .R.. 008e001c <_ResetVector+0x1c>: 8e001c: 00000000 .... 008e0020 <_ResetHandler>: _ResetHandler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:73 8e0020: c000 movi.n a0, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:76 8e0022: 00e431 wsr.intenable a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:128 8e0025: 12fff7 l32r a2, 8e0004 <_ResetVector_text_start+0x4> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:129 8e0028: 02e731 wsr.vecbase a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:133 8e002b: 021600 rsil a2, 1 /cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:161 8e002e: 12fff6 l32r a2, 8e0008 <_ResetVector_text_start+0x8> /cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:396 8e0031: 15fff6 l32r a5, 8e000c <_ResetVector_text_start+0xc> 8e0034: 16fff7 l32r a6, 8e0010 <_ResetVector_text_start+0x10> 8e0037: c030 movi.n a3, 0 8e0039: d720 mov.n a7, a2 8e003b: 056601 and a6, a6, a5 8e003e: 600021 j 8e0063 <_ResetHandler+0x43> 008e0041 <_ResetHandler+0x21>: ... 008e0050 <_ResetHandler+0x30>: /cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:281 8e0050: 043605 witlb a4, a3 8e0053: 000200 isync 8e0056: d30f nop.n 008e0058 <_ResetHandler+0x38>: 8e0058: d30f nop.n 8e005a: 753114 beq a3, a5, 8e0072 <_ResetHandler+0x52> 008e005d <_ResetHandler+0x3d>: 8e005d: 074714 srli a7, a7, 4 8e0060: 05330c sub a3, a3, a5 /cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:304 8e0063: 070443 extui a4, a7, 0, 4 8e0066: 7631e6 beq a3, a6, 8e0050 <_ResetHandler+0x30> 8e0069: 043605 witlb a4, a3 8e006c: 7539ed bne a3, a5, 8e005d <_ResetHandler+0x3d> 8e006f: 000200 isync 008e0072 <_ResetHandler+0x52>: /cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:396 8e0072: 15ffe6 l32r a5, 8e000c <_ResetVector_text_start+0xc> 8e0075: c030 movi.n a3, 0 8e0077: d720 mov.n a7, a2 8e0079: 600006 j 8e0083 <_ResetHandler+0x63> 008e007c <_ResetHandler+0x5c>: ... 008e007d <_ResetHandler+0x5d>: 8e007d: 074714 srli a7, a7, 4 8e0080: 05330c sub a3, a3, a5 /cad/tensilica/tools/RB-2007.2/XtDevTools/install/tools/RB-2007.2-linux/XtensaTools/xtensa-elf/include/xtensa/cacheattrasm.h:343 8e0083: 070443 extui a4, a7, 0, 4 8e0086: 043e05 wdtlb a4, a3 8e0089: 7539f0 bne a3, a5, 8e007d <_ResetHandler+0x5d> 8e008c: 030200 dsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:172 8e008f: 12ffe1 l32r a2, 8e0014 <_ResetVector_text_start+0x14> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:173 8e0092: ca20 beqz.n a2, 8e00b6 008e0094 : unpack(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:174 8e0094: 8320 l32i.n a3, a2, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:175 8e0096: 8421 l32i.n a4, a2, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:176 8e0098: 8522 l32i.n a5, a2, 8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:177 8e009a: 222c0c addi a2, a2, 12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:178 8e009d: 743b0f bgeu a3, a4, 8e00b0 008e00a0 : uploop(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:179 8e00a0: 8650 l32i.n a6, a5, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:180 8e00a2: b455 addi.n a5, a5, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:181 8e00a4: 9630 s32i.n a6, a3, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:182 8e00a6: b433 addi.n a3, a3, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:183 8e00a8: 7433f4 bltu a3, a4, 8e00a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:184 8e00ab: 63ffe5 j 8e0094 008e00ae : ... 008e00b0 : upnext(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:185 8e00b0: 653fe0 bnez a3, 8e0094 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:186 8e00b3: 655fdd bnez a5, 8e0094 008e00b6 : unpackdone(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:254 8e00b6: 12ffd8 l32r a2, 8e0018 <_ResetVector_text_start+0x18> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:255 8e00b9: 020531 wsr.litbase a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:256 8e00bc: 010200 rsync 008e00bf : /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:266 8e00bf: 000200 isync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:281 8e00c2: c011 movi.n a1, 1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:282 8e00c4: 014931 wsr.windowstart a1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:286 8e00c7: 004831 wsr.windowbase a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:287 8e00ca: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:288 8e00cd: c000 movi.n a0, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:353 8e00cf: 140004 l32r a4, 4e8010 <_lit4_start+0x10> 8e00d2: 04d231 wsr.excsave2 a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:354 8e00d5: 140005 l32r a4, 4e8014 <_lit4_start+0x14> 8e00d8: 04d331 wsr.excsave3 a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/reset-vector.S:372 8e00db: 5003c9 call0 8e1000 <_iram0_text_end> Disassembly of section .WindowVectors.text: 008e0800 <_WindowOverflow4>: _WindowOverflow4(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:105 8e0800: 005c94 s32e a0, a5, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:106 8e0803: 015d94 s32e a1, a5, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:107 8e0806: 025e94 s32e a2, a5, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:108 8e0809: 035f94 s32e a3, a5, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:110 8e080c: 004300 rfwo 008e080f <_WindowOverflow4+0xf>: ... 008e0840 <_WindowUnderflow4>: _WindowUnderflow4(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:133 8e0840: 005c90 l32e a0, a5, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:134 8e0843: 015d90 l32e a1, a5, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:135 8e0846: 025e90 l32e a2, a5, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:136 8e0849: 035f90 l32e a3, a5, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:138 8e084c: 005300 rfwu 008e084f <_WindowUnderflow4+0xf>: ... 008e0880 <_WindowOverflow8>: _WindowOverflow8(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:169 8e0880: 009c94 s32e a0, a9, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:170 8e0883: 001d90 l32e a0, a1, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:171 8e0886: 019d94 s32e a1, a9, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:172 8e0889: 029e94 s32e a2, a9, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:173 8e088c: 039f94 s32e a3, a9, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:174 8e088f: 040894 s32e a4, a0, -32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:175 8e0892: 050994 s32e a5, a0, -28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:176 8e0895: 060a94 s32e a6, a0, -24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:177 8e0898: 070b94 s32e a7, a0, -20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:179 8e089b: 004300 rfwo 008e089e <_WindowOverflow8+0x1e>: ... 008e08c0 <_WindowUnderflow8>: _WindowUnderflow8(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:210 8e08c0: 009c90 l32e a0, a9, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:211 8e08c3: 019d90 l32e a1, a9, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:212 8e08c6: 029e90 l32e a2, a9, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:213 8e08c9: 071d90 l32e a7, a1, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:214 8e08cc: 039f90 l32e a3, a9, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:215 8e08cf: 047890 l32e a4, a7, -32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:216 8e08d2: 057990 l32e a5, a7, -28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:217 8e08d5: 067a90 l32e a6, a7, -24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:218 8e08d8: 077b90 l32e a7, a7, -20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:220 8e08db: 005300 rfwu 008e08de <_WindowUnderflow8+0x1e>: ... 008e0900 <_WindowOverflow12>: _WindowOverflow12(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:255 8e0900: 00dc94 s32e a0, a13, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:256 8e0903: 001d90 l32e a0, a1, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:257 8e0906: 01dd94 s32e a1, a13, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:258 8e0909: 02de94 s32e a2, a13, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:259 8e090c: 03df94 s32e a3, a13, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:260 8e090f: 040494 s32e a4, a0, -48 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:261 8e0912: 050594 s32e a5, a0, -44 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:262 8e0915: 060694 s32e a6, a0, -40 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:263 8e0918: 070794 s32e a7, a0, -36 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:264 8e091b: 080894 s32e a8, a0, -32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:265 8e091e: 090994 s32e a9, a0, -28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:266 8e0921: 0a0a94 s32e a10, a0, -24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:267 8e0924: 0b0b94 s32e a11, a0, -20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:269 8e0927: 004300 rfwo 008e092a <_WindowOverflow12+0x2a>: ... 008e0940 <_WindowUnderflow12>: _WindowUnderflow12(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:304 8e0940: 00dc90 l32e a0, a13, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:305 8e0943: 01dd90 l32e a1, a13, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:306 8e0946: 02de90 l32e a2, a13, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:307 8e0949: 0b1d90 l32e a11, a1, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:308 8e094c: 03df90 l32e a3, a13, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:309 8e094f: 04b490 l32e a4, a11, -48 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:310 8e0952: 05b590 l32e a5, a11, -44 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:311 8e0955: 06b690 l32e a6, a11, -40 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:312 8e0958: 07b790 l32e a7, a11, -36 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:313 8e095b: 08b890 l32e a8, a11, -32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:314 8e095e: 09b990 l32e a9, a11, -28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:315 8e0961: 0aba90 l32e a10, a11, -24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:316 8e0964: 0bbb90 l32e a11, a11, -20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/window-vectors.S:318 8e0967: 005300 rfwu Disassembly of section .KernelExceptionVector.text: 008e0d40 <_KernelExceptionVector>: _KernelExceptionVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/kernel-vector.S:43 8e0d40: 001400 break 1, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/kernel-vector.S:51 8e0d43: 63fff9 j 8e0d40 <_KernelExceptionVector_text_start> Disassembly of section .UserExceptionVector.text: 008e0e20 <_UserExceptionVector>: _UserExceptionVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:25 8e0e20: 211c90 addi a1, a1, -112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:26 8e0e23: 9214 s32i.n a2, a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:27 8e0e25: 9315 s32i.n a3, a1, 20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:28 8e0e27: 130006 l32r a3, 4e8018 <_lit4_start+0x18> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:29 8e0e2a: 02e830 rsr.exccause a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:31 8e0e2d: 03230a addx4 a3, a2, a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:32 8e0e30: 8330 l32i.n a3, a3, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:33 8e0e32: 9416 s32i.n a4, a1, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/user-vector.S:34 8e0e34: 0a3000 jx a3 Disassembly of section .DoubleExceptionVector.text: 008e0f20 <_DoubleExceptionVector>: _DoubleExceptionVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/double-vector.S:47 8e0f20: 041400 break 1, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/double-vector.S:55 8e0f23: 63fff9 j 8e0f20 <_DoubleExceptionVector_text_start> Disassembly of section .Level2InterruptVector.text: 008e0a20 <_Level2Vector>: _Level2Vector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:37 8e0a20: 02d216 xsr.excsave2 a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:38 8e0a23: 0a2000 jx a2 Disassembly of section .Level3InterruptVector.text: 008e0b20 <_Level3Vector>: _Level3Vector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:37 8e0b20: 02d316 xsr.excsave3 a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-vector.S:38 8e0b23: 0a2000 jx a2 Disassembly of section .DebugExceptionVector.text: 008e0c20 <_DebugExceptionVector>: _DebugExceptionVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/debug-vector.S:42 8e0c20: 004700 waiti 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/debug-vector.S:44 8e0c23: 63fff9 j 8e0c20 <_DebugExceptionVector_text_start> Disassembly of section .NMIExceptionVector.text: 008e0ce4 <_NMIExceptionVector>: _NMIExceptionVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/nmi-vector.S:40 8e0ce4: 015300 rfi 5 Disassembly of section .text: 008e1000 <_start>: _stext(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:49 8e1000: 200a00 movi a0, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:50 8e1003: 110000 l32r a1, 4e8000 <_lit4_start> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:56 8e1006: 130001 l32r a3, 4e8004 <_lit4_start+0x4> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:58 8e1009: 03e631 wsr.ps a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:59 8e100c: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:68 8e100f: 160002 l32r a6, 4e8008 <_lit4_start+0x8> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:69 8e1012: 170003 l32r a7, 4e800c <_lit4_start+0xc> 8e1015: d30f nop.n /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:70 8e1017: 776b06 bgeu a6, a7, 8e1021 <_iram0_text_end+0x21> 008e101a <_start+0x1a>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:71 8e101a: 9060 s32i.n a0, a6, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:72 8e101c: b466 addi.n a6, a6, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:73 8e101e: 7763f8 bltu a6, a7, 8e101a <_iram0_text_end+0x1a> 008e1021 <_start+0x21>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:80 8e1021: 5402fc call4 8e1c14
/folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:90 8e1024: 0f1400 break 1, 15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/crt1-tiny.S:92 8e1027: 63fff9 j 8e1024 <_iram0_text_end+0x24> 008e102a <_start+0x2a>: ... 008e102c <_xtos_alloca_handler>: _xtos_alloca_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:101 8e102c: 03b130 rsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:102 8e102f: 9517 s32i.n a5, a1, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:103 8e1031: b332 addi.n a2, a3, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:110 8e1033: 040130 rsr.lend a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:111 8e1036: 050230 rsr.lcount a5 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:112 8e1039: 72490b bne a4, a2, 8e1048 <_xtos_alloca_handler+0x1c> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:113 8e103c: 645008 beqz a5, 8e1048 <_xtos_alloca_handler+0x1c> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:114 8e103f: 255cff addi a5, a5, -1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:115 8e1042: 050231 wsr.lcount a5 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:116 8e1045: 020030 rsr.lbeg a2 008e1048 <_xtos_alloca_handler+0x1c>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:118 8e1048: 02b131 wsr.epc1 a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:126 8e104b: b133 addi.n a3, a3, 1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:127 8e104d: 030241 extui a2, a3, 0, 2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:128 8e1050: 02330c sub a3, a3, a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:129 8e1053: 8330 l32i.n a3, a3, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:130 8e1055: 040330 rsr.sar a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:133 8e1058: 002304 ssa8b a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:134 8e105b: 00331a sll a3, a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:135 8e105e: 03c353 extui a3, a3, 28, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:141 8e1061: 040331 wsr.sar a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:144 8e1064: 140007 l32r a4, 4e801c <_lit4_start+0x1c> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:145 8e1067: d510 mov.n a5, a1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:146 8e1069: 211c70 addi a1, a1, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:149 8e106c: 04340a addx4 a4, a3, a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:162 8e106f: 0a4000 jx a4 008e1072 <_xtos_alloca_handler+0x46>: ... 008e1074 <_xtos_alloca_handler+0x48>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:165 8e1074: d100 mov.n a1, a0 8e1076: cf48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:166 8e1078: cf46 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> 008e107a <_xtos_alloca_handler+0x4e>: ... 008e107c <_xtos_alloca_handler+0x50>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:167 8e107c: 8154 l32i.n a1, a5, 16 8e107e: cf40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:168 8e1080: 8155 l32i.n a1, a5, 20 8e1082: ce4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:169 8e1084: 8156 l32i.n a1, a5, 24 8e1086: ce48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:170 8e1088: 8157 l32i.n a1, a5, 28 8e108a: ce44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:171 8e108c: d160 mov.n a1, a6 8e108e: ce40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:172 8e1090: d170 mov.n a1, a7 8e1092: cd4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:173 8e1094: d180 mov.n a1, a8 8e1096: cd48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:174 8e1098: d190 mov.n a1, a9 8e109a: cd44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:175 8e109c: d1a0 mov.n a1, a10 8e109e: cd40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:176 8e10a0: d1b0 mov.n a1, a11 8e10a2: cc4c bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:177 8e10a4: d1c0 mov.n a1, a12 8e10a6: cc48 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:178 8e10a8: d1d0 mov.n a1, a13 8e10aa: cc44 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:179 8e10ac: d1e0 mov.n a1, a14 8e10ae: cc40 bnez.n a4, 8e10b2 <_xtos_alloca_handler+0x86> 008e10b0 <_xtos_alloca_handler+0x84>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:180 8e10b0: d1f0 mov.n a1, a15 008e10b2 <_xtos_alloca_handler+0x86>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:187 8e10b2: 010341 extui a3, a1, 0, 2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:188 8e10b5: c834 beqz.n a3, 8e10bd <_xtos_alloca_handler+0x91> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:193 8e10b7: 0f1400 break 1, 15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:195 8e10ba: 03110c sub a1, a1, a3 008e10bd <_xtos_alloca_handler+0x91>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:200 8e10bd: 225c70 addi a2, a5, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:205 8e10c0: 240a70 movi a4, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:206 8e10c3: 05130c sub a3, a1, a5 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:207 8e10c6: 743b16 bgeu a3, a4, 8e10e0 <_xtos_alloca_handler+0xb4> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:210 8e10c9: 04550c sub a5, a5, a4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:211 8e10cc: 235220 l32i a3, a5, 128 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:212 8e10cf: 245221 l32i a4, a5, 132 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:213 8e10d2: 9354 s32i.n a3, a5, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:214 8e10d4: 9455 s32i.n a4, a5, 20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:215 8e10d6: 235222 l32i a3, a5, 136 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:216 8e10d9: 245223 l32i a4, a5, 140 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:217 8e10dc: 9356 s32i.n a3, a5, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:218 8e10de: 9457 s32i.n a4, a5, 28 008e10e0 <_xtos_alloca_handler+0xb4>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:223 8e10e0: 032c90 l32e a3, a2, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:224 8e10e3: 042d90 l32e a4, a2, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:225 8e10e6: 031c94 s32e a3, a1, -16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:226 8e10e9: 041d94 s32e a4, a1, -12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:227 8e10ec: 032e90 l32e a3, a2, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:228 8e10ef: 042f90 l32e a4, a2, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:229 8e10f2: 031e94 s32e a3, a1, -8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:230 8e10f5: 041f94 s32e a4, a1, -4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:246 8e10f8: 8254 l32i.n a2, a5, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:247 8e10fa: 8355 l32i.n a3, a5, 20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:248 8e10fc: 8456 l32i.n a4, a5, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:249 8e10fe: 8557 l32i.n a5, a5, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-alloca-handler.S:250 8e1100: 000300 rfe 008e1103 <_xtos_alloca_handler+0xd7>: ... 008e1104 <_xtos_syscall_handler>: _xtos_syscall_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:74 8e1104: 03b130 rsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:80 8e1107: 020130 rsr.lend a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:81 8e110a: b333 addi.n a3, a3, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:82 8e110c: 73290c bne a2, a3, 8e111c <_xtos_syscall_handler+0x18> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:83 8e110f: 020230 rsr.lcount a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:84 8e1112: c826 beqz.n a2, 8e111c <_xtos_syscall_handler+0x18> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:85 8e1114: b022 addi.n a2, a2, -1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:86 8e1116: 020231 wsr.lcount a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:87 8e1119: 030030 rsr.lbeg a3 008e111c <_xtos_syscall_handler+0x18>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:88 8e111c: 8214 l32i.n a2, a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:94 8e111e: 03b131 wsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:95 8e1121: 8315 l32i.n a3, a1, 20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:99 8e1123: 652044 bnez a2, 8e116b <_SyscallException+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:106 8e1126: 231615 s32i a3, a1, 84 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:107 8e1129: 241616 s32i a4, a1, 88 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:108 8e112c: 251617 s32i a5, a1, 92 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:110 8e112f: 130008 l32r a3, 4e8020 <_lit4_start+0x20> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:111 8e1132: 02e630 rsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:112 8e1135: 03e631 wsr.ps a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:114 8e1138: 03b130 rsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:115 8e113b: 211c70 addi a1, a1, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:116 8e113e: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:123 8e1141: c040 movi.n a4, 0 008e1143 <_SyscallException>: _SyscallException(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:129 8e1143: 6c1008 entry a1, 64 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:131 8e1146: 5c0007 call12 8e1164 <_SyscallException+0x21> 008e1149 <_SyscallException+0x6>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:132 8e1149: 1e0009 l32r a14, 4e8024 <_lit4_start+0x24> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:133 8e114c: aec0 add.n a0, a12, a14 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:134 8e114e: d10f retw.n 008e1150 <_SyscallException+0xd>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:137 8e1150: 02e631 wsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:138 8e1153: c020 movi.n a2, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:139 8e1155: 845a l32i.n a4, a5, 40 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:140 8e1157: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:141 8e115a: 03b131 wsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:142 8e115d: 8359 l32i.n a3, a5, 36 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:143 8e115f: 855b l32i.n a5, a5, 44 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:144 8e1161: 000300 rfe 008e1164 <_SyscallException+0x21>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:150 8e1164: 6c1006 entry a1, 48 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:152 8e1167: dff0 mov.n a15, a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:163 8e1169: d10f retw.n 008e116b <_SyscallException+0x28>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:168 8e116b: c72f movi.n a2, -1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:169 8e116d: 211c70 addi a1, a1, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-syscall-handler.S:170 8e1170: 000300 rfe 008e1173 <_SyscallException+0x30>: ... 008e1174 <_xtos_l1int_handler>: _xtos_l1int_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:69 8e1174: 9517 s32i.n a5, a1, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:85 8e1176: 120008 l32r a2, 4e8020 <_lit4_start+0x20> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:87 8e1179: 03b130 rsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:88 8e117c: 02e616 xsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:98 8e117f: 9310 s32i.n a3, a1, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:99 8e1181: 9211 s32i.n a2, a1, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:170 8e1183: 211c70 addi a1, a1, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:172 8e1186: 12000a l32r a2, 4e8028 <_lit4_start+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:174 8e1189: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:257 8e118c: 032402 or a4, a2, a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:258 8e118f: 042409 addx2 a4, a2, a4 008e1192 <_LevelOneInterrupt>: _LevelOneInterrupt(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:266 8e1192: 6c100e entry a1, 112 008e1195 <_LevelOneInterrupt+0x3>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:315 8e1195: 0f1600 rsil a15, 1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:345 8e1198: c0d1 movi.n a13, 1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:348 8e119a: 1c000b l32r a12, 4e802c <_lit4_start+0x2c> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:350 8e119d: 0de331 wsr.intclear a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:554 8e11a0: 0d0230 rsr.lcount a13 8e11a3: 0f0030 rsr.lbeg a15 8e11a6: 9d19 s32i.n a13, a1, 36 8e11a8: 0d0130 rsr.lend a13 8e11ab: 9f1a s32i.n a15, a1, 40 8e11ad: 9d1b s32i.n a13, a1, 44 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:556 8e11af: 0f0330 rsr.sar a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:561 8e11b2: 8dc0 l32i.n a13, a12, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:562 8e11b4: 9f12 s32i.n a15, a1, 8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:570 8e11b6: df10 mov.n a15, a1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:571 8e11b8: 8ec1 l32i.n a14, a12, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:572 8e11ba: 0fd000 callx12 a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:576 8e11bd: 8d19 l32i.n a13, a1, 36 8e11bf: 8e1a l32i.n a14, a1, 40 8e11c1: 8f1b l32i.n a15, a1, 44 8e11c3: 0d0231 wsr.lcount a13 8e11c6: 0e0031 wsr.lbeg a14 8e11c9: 0f0131 wsr.lend a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:578 8e11cc: 8c12 l32i.n a12, a1, 8 008e11ce : spurious_int(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:684 8e11ce: 10000c l32r a0, 4e8030 <_lit4_start+0x30> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:692 8e11d1: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:693 8e11d4: 0c0331 wsr.sar a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:694 8e11d7: 0d0002 or a0, a0, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:695 8e11da: 00d009 addx2 a0, a13, a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:698 8e11dd: 0d3600 rsil a13, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-lowpri-dispatcher.S:701 8e11e0: d10f retw.n 008e11e2 : ... 008e11e4 <_xtos_set_interrupt_handler_arg>: _xtos_set_interrupt_handler_arg(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:31 8e11e4: 6c1004 entry a1, 32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:36 8e11e7: c152 movi.n a5, 18 8e11e9: 72533b bltu a5, a2, 8e1228 <_xtos_set_interrupt_handler_arg+0x44> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:38 8e11ec: 18000d l32r a8, 4e8034 <_lit4_start+0x34> 8e11ef: a828 add.n a8, a2, a8 8e11f1: 288000 l8ui a8, a8, 0 8e11f4: 17000e l32r a7, 4e8038 <_lit4_start+0x38> 8e11f7: 6f8531 bgeui a8, 5, 8e122c <_xtos_set_interrupt_handler_arg+0x48> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:41 8e11fa: 0d2811 slli a8, a2, 3 8e11fd: 08770c sub a7, a7, a8 8e1200: 18000f l32r a8, 4e803c <_lit4_start+0x3c> 8e1203: 267224 l32i a6, a7, 144 8e1206: c83e beqz.n a3, 8e1218 <_xtos_set_interrupt_handler_arg+0x34> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:49 8e1208: 237624 s32i a3, a7, 144 8e120b: 247625 s32i a4, a7, 148 8e120e: c020 movi.n a2, 0 8e1210: 08690c sub a9, a6, a8 8e1213: 096239 movnez a2, a6, a9 8e1216: d10f retw.n 008e1218 <_xtos_set_interrupt_handler_arg+0x34>: 8e1218: 287624 s32i a8, a7, 144 8e121b: 227625 s32i a2, a7, 148 8e121e: 086a0c sub a10, a6, a8 8e1221: c020 movi.n a2, 0 8e1223: 0a6239 movnez a2, a6, a10 8e1226: d10f retw.n 008e1228 <_xtos_set_interrupt_handler_arg+0x44>: 8e1228: c020 movi.n a2, 0 8e122a: d10f retw.n 008e122c <_xtos_set_interrupt_handler_arg+0x48>: 8e122c: c020 movi.n a2, 0 8e122e: d10f retw.n 008e1230 <_xtos_set_interrupt_handler>: _xtos_set_interrupt_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:57 8e1230: 6c1004 entry a1, 32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-sethandler.c:58 8e1233: da20 mov.n a10, a2 8e1235: db30 mov.n a11, a3 8e1237: dc20 mov.n a12, a2 8e1239: 5bffea call8 8e11e4 <_xtos_set_interrupt_handler_arg> 8e123c: d2a0 mov.n a2, a10 8e123e: d10f retw.n 008e1240 <_Level2FromVector>: _Level2FromVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:53 8e1240: 02d216 xsr.excsave2 a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:57 8e1243: 211c90 addi a1, a1, -112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:58 8e1246: 9214 s32i.n a2, a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:62 8e1248: 120008 l32r a2, 4e8020 <_lit4_start+0x20> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:64 8e124b: 9416 s32i.n a4, a1, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:65 8e124d: 9517 s32i.n a5, a1, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:66 8e124f: 02e631 wsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:67 8e1252: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:84 8e1255: c040 movi.n a4, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:85 8e1257: 211c70 addi a1, a1, 112 008e125a <_Level2FromVector+0x1a>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:86 8e125a: 6c100e entry a1, 112 008e125d <_Level2FromVector+0x1d>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:93 8e125d: 0f2600 rsil a15, 2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:129 8e1260: 0fe230 rsr.interrupt a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:130 8e1263: 0ce430 rsr.intenable a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:131 8e1266: 1d0010 l32r a13, 4e8040 <_lit4_start+0x40> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:132 8e1269: 0cff01 and a15, a15, a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:133 8e126c: 0dff01 and a15, a15, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:134 8e126f: 0e0330 rsr.sar a14 008e1272 <_Level2FromVector+0x32>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:135 8e1272: 64f05e beqz a15, 8e12d4 008e1275 <_Level2FromVector+0x35>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:136 8e1275: 9e12 s32i.n a14, a1, 8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:137 8e1277: 0d0230 rsr.lcount a13 8e127a: 0e0030 rsr.lbeg a14 8e127d: 9d19 s32i.n a13, a1, 36 8e127f: 0d0130 rsr.lend a13 8e1282: 2e160a s32i a14, a1, 40 8e1285: 2d160b s32i a13, a1, 44 008e1288 <_Level2FromVector+0x48>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:142 8e1288: 0f0c06 neg a12, a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:143 8e128b: 0fcc01 and a12, a12, a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:144 8e128e: 0ce331 wsr.intclear a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:145 8e1291: 1d000e l32r a13, 4e8038 <_lit4_start+0x38> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:146 8e1294: c1ef movi.n a14, 31 8e1296: 0ccf04 nsau a12, a12 8e1299: 0cef0c sub a15, a14, a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:147 8e129c: 0f0f06 neg a15, a15 8e129f: 2ffc12 addi a15, a15, 18 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:148 8e12a2: 0dfc0b addx8 a12, a15, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:149 8e12a5: 8dc0 l32i.n a13, a12, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:155 8e12a7: 2ec201 l32i a14, a12, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:156 8e12aa: 011f02 or a15, a1, a1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:157 8e12ad: 0fd000 callx12 a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:159 8e12b0: 0fe230 rsr.interrupt a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:160 8e12b3: 0ce430 rsr.intenable a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:161 8e12b6: 1d0010 l32r a13, 4e8040 <_lit4_start+0x40> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:162 8e12b9: 0cff01 and a15, a15, a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:163 8e12bc: 0dff01 and a15, a15, a13 008e12bf <_Level2FromVector+0x7f>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:164 8e12bf: 65ffc5 bnez a15, 8e1288 <_Level2FromVector+0x48> 008e12c2 <_Level2FromVector+0x82>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:170 8e12c2: 8d19 l32i.n a13, a1, 36 8e12c4: 8e1a l32i.n a14, a1, 40 8e12c6: 8f1b l32i.n a15, a1, 44 8e12c8: 0d0231 wsr.lcount a13 8e12cb: 0e0031 wsr.lbeg a14 8e12ce: 0f0131 wsr.lend a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:171 8e12d1: 2e1202 l32i a14, a1, 8 008e12d4 : spurious2int(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:196 8e12d4: 100011 l32r a0, 4e8044 <_lit4_start+0x44> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:197 8e12d7: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:198 8e12da: 0e0331 wsr.sar a14 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:199 8e12dd: 0d0002 or a0, a0, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:200 8e12e0: 00d009 addx2 a0, a13, a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:206 8e12e3: 0e3600 rsil a14, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:208 8e12e6: d10f retw.n 008e12e8 : return2from_exc(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:210 8e12e8: 8254 l32i.n a2, a5, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:211 8e12ea: 8456 l32i.n a4, a5, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:212 8e12ec: 8557 l32i.n a5, a5, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:213 8e12ee: 012300 rfi 2 008e12f1 <_Level2HandlerLabel>: _Level2HandlerLabel(): 8e12f1: 000000 ... 008e12f4 <_Level3FromVector>: _Level3FromVector(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:53 8e12f4: 02d316 xsr.excsave3 a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:57 8e12f7: 211c90 addi a1, a1, -112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:58 8e12fa: 9214 s32i.n a2, a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:62 8e12fc: 120008 l32r a2, 4e8020 <_lit4_start+0x20> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:64 8e12ff: 9416 s32i.n a4, a1, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:65 8e1301: 9517 s32i.n a5, a1, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:66 8e1303: 02e631 wsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:67 8e1306: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:84 8e1309: c040 movi.n a4, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:85 8e130b: 211c70 addi a1, a1, 112 008e130e <_Level3FromVector+0x1a>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:86 8e130e: 6c100e entry a1, 112 008e1311 <_Level3FromVector+0x1d>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:93 8e1311: 0f3600 rsil a15, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:129 8e1314: 0fe230 rsr.interrupt a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:130 8e1317: 0ce430 rsr.intenable a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:131 8e131a: 1d0012 l32r a13, 4e8048 <_lit4_start+0x48> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:132 8e131d: 0cff01 and a15, a15, a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:133 8e1320: 0dff01 and a15, a15, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:134 8e1323: 0e0330 rsr.sar a14 008e1326 <_Level3FromVector+0x32>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:135 8e1326: 64f05e beqz a15, 8e1388 008e1329 <_Level3FromVector+0x35>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:136 8e1329: 9e12 s32i.n a14, a1, 8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:137 8e132b: 0d0230 rsr.lcount a13 8e132e: 0e0030 rsr.lbeg a14 8e1331: 9d19 s32i.n a13, a1, 36 8e1333: 0d0130 rsr.lend a13 8e1336: 2e160a s32i a14, a1, 40 8e1339: 2d160b s32i a13, a1, 44 008e133c <_Level3FromVector+0x48>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:142 8e133c: 0f0c06 neg a12, a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:143 8e133f: 0fcc01 and a12, a12, a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:144 8e1342: 0ce331 wsr.intclear a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:145 8e1345: 1d000e l32r a13, 4e8038 <_lit4_start+0x38> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:146 8e1348: c1ef movi.n a14, 31 8e134a: 0ccf04 nsau a12, a12 8e134d: 0cef0c sub a15, a14, a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:147 8e1350: 0f0f06 neg a15, a15 8e1353: 2ffc12 addi a15, a15, 18 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:148 8e1356: 0dfc0b addx8 a12, a15, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:149 8e1359: 8dc0 l32i.n a13, a12, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:155 8e135b: 2ec201 l32i a14, a12, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:156 8e135e: 011f02 or a15, a1, a1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:157 8e1361: 0fd000 callx12 a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:159 8e1364: 0fe230 rsr.interrupt a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:160 8e1367: 0ce430 rsr.intenable a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:161 8e136a: 1d0012 l32r a13, 4e8048 <_lit4_start+0x48> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:162 8e136d: 0cff01 and a15, a15, a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:163 8e1370: 0dff01 and a15, a15, a13 008e1373 <_Level3FromVector+0x7f>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:164 8e1373: 65ffc5 bnez a15, 8e133c <_Level3FromVector+0x48> 008e1376 <_Level3FromVector+0x82>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:170 8e1376: 8d19 l32i.n a13, a1, 36 8e1378: 8e1a l32i.n a14, a1, 40 8e137a: 8f1b l32i.n a15, a1, 44 8e137c: 0d0231 wsr.lcount a13 8e137f: 0e0031 wsr.lbeg a14 8e1382: 0f0131 wsr.lend a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:171 8e1385: 2e1202 l32i a14, a1, 8 008e1388 : spurious3int(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:196 8e1388: 100013 l32r a0, 4e804c <_lit4_start+0x4c> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:197 8e138b: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:198 8e138e: 0e0331 wsr.sar a14 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:199 8e1391: 0d0002 or a0, a0, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:200 8e1394: 00d009 addx2 a0, a13, a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:208 8e1397: d10f retw.n 008e1399 : return3from_exc(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:210 8e1399: 8254 l32i.n a2, a5, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:211 8e139b: 8456 l32i.n a4, a5, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:212 8e139d: 8557 l32i.n a5, a5, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/int-medpri-dispatcher.S:213 8e139f: 013300 rfi 3 008e13a2 <_Level3HandlerLabel>: ... 008e13a4 : post(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:119 8e13a4: 6c1006 entry a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:121 8e13a7: da10 mov.n a10, a1 8e13a9: 1b0014 l32r a11, 4e8050 <_lit4_start+0x50> 8e13ac: c1c0 movi.n a12, 16 8e13ae: 5815ed call8 8e6b64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:148 8e13b1: c020 movi.n a2, 0 8e13b3: 160015 l32r a6, 4e8054 <_lit4_start+0x54> 8e13b6: d410 mov.n a4, a1 8e13b8: 130016 l32r a3, 4e8058 <_lit4_start+0x58> 8e13bb: 150017 l32r a5, 4e805c <_lit4_start+0x5c> 8e13be: 170018 l32r a7, 4e8060 <_lit4_start+0x60> 008e13c1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:165 8e13c1: 04280a addx4 a8, a2, a4 8e13c4: 8880 l32i.n a8, a8, 0 8e13c6: 0c0200 memw 8e13c9: 283680 s32i a8, a3, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:166 8e13cc: 0c0200 memw 8e13cf: 293280 l32i a9, a3, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:167 8e13d2: 798117 beq a8, a9, 8e13ed /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:170 8e13d5: 287216 l32i a8, a7, 88 8e13d8: 1a0019 l32r a10, 4e8064 <_lit4_start+0x64> 008e13db : 8e13db: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:171 8e13de: 0c0200 memw 8e13e1: 29627d l32i a9, a6, 0x1f4 8e13e4: 059902 or a9, a9, a5 8e13e7: 0c0200 memw 8e13ea: 29667d s32i a9, a6, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:173 8e13ed: b122 addi.n a2, a2, 1 8e13ef: 02024f extui a2, a2, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:148 8e13f2: 6924cb bnei a2, 4, 8e13c1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:180 8e13f5: d10f retw.n 008e13f7 : ... 008e13f8 : Magpie_fatal_exception_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:188 8e13f8: 6c1016 entry a1, 176 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:191 8e13fb: 2a1c10 addi a10, a1, 16 8e13fe: db20 mov.n a11, a2 8e1400: 2c0a80 movi a12, 128 8e1403: 5815d8 call8 8e6b64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:192 8e1406: 0dee30 rsr.excvaddr a13 8e1409: 9d13 s32i.n a13, a1, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:193 8e140b: 0be830 rsr.exccause a11 8e140e: 1a001a l32r a10, 4e8068 <_lit4_start+0x68> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 8e1411: de10 mov.n a14, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:195 8e1413: c090 movi.n a9, 0 8e1415: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:193 8e1418: 9b1c s32i.n a11, a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:194 8e141a: 8c20 l32i.n a12, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 8e141c: 288212 l32i a8, a8, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:194 8e141f: 9c12 s32i.n a12, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:195 8e1421: 9911 s32i.n a9, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:198 8e1423: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:202 8e1426: d10f retw.n 008e1428 : athos_linkage_check(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:209 8e1428: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:210 8e142b: 69280e bnei a2, 8, 8e143d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:214 8e142e: 8830 l32i.n a8, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:219 8e1430: 8a31 l32i.n a10, a3, 4 8e1432: 293a4c movi a9, 0x34c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:214 8e1435: 698404 bnei a8, 4, 8e143d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:219 8e1438: 79a111 beq a10, a9, 8e144d 8e143b: c8ae beqz.n a10, 8e144d 008e143d : 8e143d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:227 8e1440: 288216 l32i a8, a8, 88 8e1443: 1a001b l32r a10, 4e806c <_lit4_start+0x6c> 8e1446: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:228 8e1449: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:223 8e144b: d10f retw.n 008e144d : 8e144d: c021 movi.n a2, 1 8e144f: d10f retw.n 008e1451 : 8e1451: 000000 ... 008e1454 : athos_block_all_intrlvl(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:239 8e1454: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:247 8e1457: 022600 rsil a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:249 8e145a: 020243 extui a2, a2, 0, 4 8e145d: d10f retw.n 008e145f : ... 008e1460 : athos_unblock_all_intrlvl(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:254 8e1460: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:257 8e1463: 020600 rsil a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:258 8e1466: d10f retw.n 008e1468 : athos_restore_intrlvl(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:262 8e1468: 6c1004 entry a1, 32 8e146b: cc21 bnez.n a2, 8e1470 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:264 8e146d: 5bfffc call8 8e1460 008e1470 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:266 8e1470: d10f retw.n 008e1472 : ... 008e1474 : AR6002_misaligned_load_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:272 8e1474: 6c1016 entry a1, 176 8e1477: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:277 8e147a: 283212 l32i a8, a3, 72 8e147d: 1a001c l32r a10, 4e8070 <_lit4_start+0x70> 8e1480: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:282 8e1483: 2a1c10 addi a10, a1, 16 8e1486: db20 mov.n a11, a2 8e1488: 2c0a80 movi a12, 128 8e148b: 5815b6 call8 8e6b64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:283 8e148e: 0aee30 rsr.excvaddr a10 8e1491: 9a13 s32i.n a10, a1, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:284 8e1493: 8920 l32i.n a9, a2, 0 8e1495: 9912 s32i.n a9, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:286 8e1497: d210 mov.n a2, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:289 8e1499: 581428 call8 8e653c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:297 8e149c: 011902 or a9, a1, a1 8e149f: 2ddaf8 movi a13, 0xfffffdf8 8e14a2: 2b0a05 movi a11, 5 8e14a5: 6dba20 loopgtz a11, 8e14c9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:298 8e14a8: ad2e add.n a14, a2, a13 8e14aa: 2ce27e l32i a12, a14, 0x1f8 8e14ad: 2c9610 s32i a12, a9, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:299 8e14b0: 2ae27f l32i a10, a14, 0x1fc 8e14b3: 2a9611 s32i a10, a9, 68 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:300 8e14b6: 2fe280 l32i a15, a14, 0x200 8e14b9: 2f9612 s32i a15, a9, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:301 8e14bc: 2ee281 l32i a14, a14, 0x204 8e14bf: 2e9613 s32i a14, a9, 76 8e14c2: c8c3 beqz.n a12, 8e14c9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:306 8e14c4: 299c10 addi a9, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:305 8e14c7: d2a0 mov.n a2, a10 008e14c9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:309 8e14c9: 883b l32i.n a8, a3, 44 8e14cb: da10 mov.n a10, a1 8e14cd: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:311 8e14d0: d10f retw.n 008e14d2 : ... 008e14d4 : AR6002_fatal_exception_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:315 8e14d4: 6c1016 entry a1, 176 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:319 8e14d7: 2a1c10 addi a10, a1, 16 8e14da: db20 mov.n a11, a2 8e14dc: 2c0a80 movi a12, 128 8e14df: 5815a1 call8 8e6b64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:320 8e14e2: 0dee30 rsr.excvaddr a13 8e14e5: 9d13 s32i.n a13, a1, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:321 8e14e7: 0be830 rsr.exccause a11 8e14ea: 1a001d l32r a10, 4e8074 <_lit4_start+0x74> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 8e14ed: de10 mov.n a14, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:323 8e14ef: c090 movi.n a9, 0 8e14f1: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:321 8e14f4: 9b1c s32i.n a11, a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:322 8e14f6: 8c20 l32i.n a12, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 8e14f8: 283212 l32i a8, a3, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:322 8e14fb: 9c12 s32i.n a12, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:323 8e14fd: 9911 s32i.n a9, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:327 8e14ff: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:328 8e1502: 0bb130 rsr.epc1 a11 8e1505: 0cb230 rsr.epc2 a12 8e1508: 0db330 rsr.epc3 a13 8e150b: 0eb430 rsr.epc4 a14 8e150e: 283212 l32i a8, a3, 72 8e1511: 1a001e l32r a10, 4e8078 <_lit4_start+0x78> 8e1514: 0b8000 callx8 a8 8e1517: 1d0015 l32r a13, 4e8054 <_lit4_start+0x54> 8e151a: 1a001f l32r a10, 4e807c <_lit4_start+0x7c> 8e151d: 0c0200 memw 8e1520: 2bd27d l32i a11, a13, 0x1f4 8e1523: 0c0200 memw 8e1526: 2cd27e l32i a12, a13, 0x1f8 8e1529: 0c0200 memw 8e152c: 283212 l32i a8, a3, 72 8e152f: 2dd27f l32i a13, a13, 0x1fc 8e1532: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:333 8e1535: 883a l32i.n a8, a3, 40 8e1537: da10 mov.n a10, a1 8e1539: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:341 8e153c: 283259 l32i a8, a3, 0x164 8e153f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:344 8e1542: 63fffc j 8e1542 008e1545 : 8e1545: 000000 ... 008e1548 : generic_hif_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:446 8e1548: 6c1004 entry a1, 32 8e154b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:449 8e154e: 888e l32i.n a8, a8, 56 8e1550: 0b8000 callx8 a8 8e1553: c8ae beqz.n a10, 8e1565 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:467 8e1555: 68a105 beqi a10, 1, 8e155e 8e1558: 68a210 beqi a10, 2, 8e156c 8e155b: 69a30b bnei a10, 3, 8e156a 008e155e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:458 8e155e: da20 mov.n a10, a2 8e1560: 580775 call8 8e3338 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 8e1563: d10f retw.n 008e1565 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:453 8e1565: da20 mov.n a10, a2 8e1567: 58088f call8 8e37a4 008e156a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 8e156a: d10f retw.n 008e156c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:464 8e156c: da20 mov.n a10, a2 8e156e: 58129a call8 8e5fd8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:468 8e1571: d10f retw.n 008e1573 : ... 008e1574 : athos_indirection_table_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:472 8e1574: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:481 8e1577: c0a0 movi.n a10, 0 8e1579: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e157c: 120020 l32r a2, 4e8080 <_lit4_start+0x80> 8e157f: 283a4c movi a8, 0x34c 8e1582: 033902 or a9, a3, a3 8e1585: 6d8a04 loopgtz a8, 8e158d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:482 8e1588: 2a9400 s8i a10, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:483 8e158b: b199 addi.n a9, a9, 1 008e158d : 8e158d: 140021 l32r a4, 4e8084 <_lit4_start+0x84> 008e1590 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:492 8e1590: 8b20 l32i.n a11, a2, 0 8e1592: c8b3 beqz.n a11, 8e1599 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:493 8e1594: 8a21 l32i.n a10, a2, 4 008e1596 : 8e1596: 0bb000 callx8 a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:494 8e1599: b822 addi.n a2, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:491 8e159b: 7429f1 bne a2, a4, 8e1590 8e159e: 1c0027 l32r a12, 4e809c <_lit4_start+0x9c> 8e15a1: 1a0028 l32r a10, 4e80a0 <_lit4_start+0xa0> 8e15a4: 1d0026 l32r a13, 4e8098 <_lit4_start+0x98> 8e15a7: 1e0025 l32r a14, 4e8094 <_lit4_start+0x94> 8e15aa: 1f0024 l32r a15, 4e8090 <_lit4_start+0x90> 8e15ad: 1b0022 l32r a11, 4e8088 <_lit4_start+0x88> 8e15b0: 180023 l32r a8, 4e808c <_lit4_start+0x8c> 8e15b3: 190029 l32r a9, 4e80a4 <_lit4_start+0xa4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:503 8e15b6: 9930 s32i.n a9, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:509 8e15b8: 98bd s32i.n a8, a11, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:510 8e15ba: 9fbe s32i.n a15, a11, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:511 8e15bc: 9ebf s32i.n a14, a11, 60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:512 8e15be: 2db610 s32i a13, a11, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:514 8e15c1: 9ab9 s32i.n a10, a11, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:513 8e15c3: 9cb8 s32i.n a12, a11, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:518 8e15c5: c0a9 movi.n a10, 9 8e15c7: 1b002a l32r a11, 4e80a8 <_lit4_start+0xa8> 8e15ca: 58135f call8 8e6348 <_xtos_set_exception_handler> 8e15cd: 12002b l32r a2, 4e80ac <_lit4_start+0xac> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:519 8e15d0: c0a3 movi.n a10, 3 8e15d2: db20 mov.n a11, a2 8e15d4: 58135c call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:520 8e15d7: c0a0 movi.n a10, 0 8e15d9: db20 mov.n a11, a2 8e15db: 58135b call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:521 8e15de: c0a2 movi.n a10, 2 8e15e0: db20 mov.n a11, a2 8e15e2: 581359 call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:522 8e15e5: c0a8 movi.n a10, 8 8e15e7: db20 mov.n a11, a2 8e15e9: 581357 call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:523 8e15ec: c0ac movi.n a10, 12 8e15ee: db20 mov.n a11, a2 8e15f0: 581355 call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:524 8e15f3: c0ad movi.n a10, 13 8e15f5: db20 mov.n a11, a2 8e15f7: 581354 call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:525 8e15fa: db20 mov.n a11, a2 8e15fc: c0a6 movi.n a10, 6 8e15fe: 581352 call8 8e6348 <_xtos_set_exception_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:526 8e1601: d10f retw.n 008e1603 : ... 008e1604 : athos_interrupt_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:540 8e1604: 6c1004 entry a1, 32 8e1607: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:541 8e160a: 288247 l32i a8, a8, 0x11c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:540 8e160d: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:541 8e160f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:542 8e1612: d10f retw.n 008e1614 : athos_interrupt_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:547 8e1614: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 8e1617: c230 movi.n a3, 32 8e1619: 150015 l32r a5, 4e8054 <_lit4_start+0x54> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:547 8e161c: 26fa00 movi a6, 0xffffff00 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 8e161f: 0c0200 memw 8e1622: 22527d l32i a2, a5, 0x1f4 8e1625: 062201 and a2, a2, a6 8e1628: 032202 or a2, a2, a3 8e162b: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 8e162e: c133 movi.n a3, 19 8e1630: 14002c l32r a4, 4e80b0 <_lit4_start+0xb0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:550 8e1633: 22567d s32i a2, a5, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 8e1636: c020 movi.n a2, 0 008e1638 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:553 8e1638: db40 mov.n a11, a4 8e163a: da20 mov.n a10, a2 8e163c: 5bfefc call8 8e1230 <_xtos_set_interrupt_handler> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:554 8e163f: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:552 8e1641: 7329f3 bne a2, a3, 8e1638 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:556 8e1644: c2a1 movi.n a10, 33 8e1646: 0c0200 memw 8e1649: 29527d l32i a9, a5, 0x1f4 8e164c: 069901 and a9, a9, a6 8e164f: 0a9902 or a9, a9, a10 8e1652: 0c0200 memw 8e1655: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:557 8e1658: 288246 l32i a8, a8, 0x118 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:556 8e165b: 29567d s32i a9, a5, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:557 8e165e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:560 8e1661: c2b2 movi.n a11, 34 8e1663: 0c0200 memw 8e1666: 2a527d l32i a10, a5, 0x1f4 8e1669: 06aa01 and a10, a10, a6 8e166c: 0baa02 or a10, a10, a11 8e166f: 0c0200 memw 8e1672: 2a567d s32i a10, a5, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:561 8e1675: 5bff7a call8 8e1460 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:563 8e1678: d10f retw.n 008e167a : ... 008e167c : athos_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:927 8e167c: 6c1004 entry a1, 32 8e167f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:931 8e1682: 282238 l32i a8, a2, 224 8e1685: 1a002d l32r a10, 4e80b4 <_lit4_start+0xb4> 8e1688: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:934 8e168b: 282213 l32i a8, a2, 76 8e168e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:935 8e1691: 282211 l32i a8, a2, 68 8e1694: 0b8000 callx8 a8 8e1697: 1a002e l32r a10, 4e80b8 <_lit4_start+0xb8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:941 8e169a: 282212 l32i a8, a2, 72 8e169d: 1b002f l32r a11, 4e80bc <_lit4_start+0xbc> 8e16a0: 2c2a02 movi a12, 0x202 8e16a3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:943 8e16a6: 28225f l32i a8, a2, 0x17c 8e16a9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:945 8e16ac: d10f retw.n 008e16ae : ... 008e16b0 <_read_usb_desc>: _read_usb_desc(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:949 8e16b0: 6c1006 entry a1, 48 8e16b3: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:954 8e16b6: da30 mov.n a10, a3 8e16b8: 285260 l32i a8, a5, 0x180 8e16bb: c0b1 movi.n a11, 1 8e16bd: dc10 mov.n a12, a1 8e16bf: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 8e16c2: da30 mov.n a10, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:959 8e16c4: 2b1100 l16ui a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 8e16c7: dc20 mov.n a12, a2 8e16c9: 285260 l32i a8, a5, 0x180 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:959 8e16cc: 0b1b46 extui a11, a11, 1, 7 8e16cf: 0b4b36 minu a11, a4, a11 8e16d2: 0b0b4f extui a11, a11, 0, 16 8e16d5: 2b1500 s16i a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:962 8e16d8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:963 8e16db: 28523b l32i a8, a5, 236 8e16de: 2a1af4 movi a10, 0x1f4 8e16e1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:965 8e16e4: d10f retw.n 008e16e6 <_read_usb_desc+0x36>: ... 008e16e8 : read_usb_conf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:968 8e16e8: 6c1006 entry a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:969 8e16eb: c0a0 movi.n a10, 0 8e16ed: 9a10 s32i.n a10, a1, 0 8e16ef: 120015 l32r a2, 4e8054 <_lit4_start+0x54> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:968 8e16f2: 23fa00 movi a3, 0xffffff00 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:971 8e16f5: c0ac movi.n a10, 12 8e16f7: 0c0200 memw 8e16fa: 29227d l32i a9, a2, 0x1f4 8e16fd: 039901 and a9, a9, a3 8e1700: 0a9902 or a9, a9, a10 8e1703: 0c0200 memw 8e1706: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e1709: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:972 8e170c: 2a0a80 movi a10, 128 8e170f: 288260 l32i a8, a8, 0x180 8e1712: c0b2 movi.n a11, 2 8e1714: dc10 mov.n a12, a1 8e1716: 0b8000 callx8 a8 8e1719: 1c0030 l32r a12, 4e80c0 <_lit4_start+0xc0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:974 8e171c: 8b10 l32i.n a11, a1, 0 8e171e: 7cb967 bne a11, a12, 8e1789 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:976 8e1721: c186 movi.n a8, 22 8e1723: 0c0200 memw 8e1726: 2f227d l32i a15, a2, 0x1f4 8e1729: 03ff01 and a15, a15, a3 8e172c: 08ff02 or a15, a15, a8 8e172f: 0c0200 memw 8e1732: 2f267d s32i a15, a2, 0x1f4 8e1735: 1e0031 l32r a14, 4e80c4 <_lit4_start+0xc4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:977 8e1738: 0c0200 memw 8e173b: 2d227d l32i a13, a2, 0x1f4 8e173e: 0edd02 or a13, a13, a14 8e1741: 0c0200 memw 8e1744: 2d267d s32i a13, a2, 0x1f4 8e1747: 1a0003 l32r a10, 4e800c <_lit4_start+0xc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:981 8e174a: 2b0a80 movi a11, 128 8e174d: c0c2 movi.n a12, 2 8e174f: 5bffd8 call8 8e16b0 <_read_usb_desc> 8e1752: 1a0032 l32r a10, 4e80c8 <_lit4_start+0xc8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:982 8e1755: 2b0a82 movi a11, 130 8e1758: c1c0 movi.n a12, 16 8e175a: 5bffd5 call8 8e16b0 <_read_usb_desc> 8e175d: 1a0033 l32r a10, 4e80cc <_lit4_start+0xcc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:983 8e1760: 2b0a92 movi a11, 146 8e1763: c0c6 movi.n a12, 6 8e1765: 5bffd2 call8 8e16b0 <_read_usb_desc> 8e1768: 1a0034 l32r a10, 4e80d0 <_lit4_start+0xd0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:984 8e176b: 2b0a98 movi a11, 152 8e176e: c0cc movi.n a12, 12 8e1770: 5bffcf call8 8e16b0 <_read_usb_desc> 8e1773: 1a0035 l32r a10, 4e80d4 <_lit4_start+0xd4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:985 8e1776: 2b0aa4 movi a11, 164 8e1779: c1c0 movi.n a12, 16 8e177b: 5bffcd call8 8e16b0 <_read_usb_desc> 8e177e: 1a0036 l32r a10, 4e80d8 <_lit4_start+0xd8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:986 8e1781: 2b0ab4 movi a11, 180 8e1784: c0c8 movi.n a12, 8 8e1786: 5bffca call8 8e16b0 <_read_usb_desc> 008e1789 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:988 8e1789: d10f retw.n 008e178b : ... 008e178c : set_pci_conf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:992 8e178c: 6c1004 entry a1, 32 8e178f: c0b2 movi.n a11, 2 8e1791: 1d0037 l32r a13, 4e80dc <_lit4_start+0xdc> 8e1794: 190039 l32r a9, 4e80e4 <_lit4_start+0xe4> 8e1797: 120038 l32r a2, 4e80e0 <_lit4_start+0xe0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:997 8e179a: 0c0200 memw 8e179d: 2f928a l32i a15, a9, 0x228 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:998 8e17a0: 0c0200 memw 8e17a3: 1c003a l32r a12, 4e80e8 <_lit4_start+0xe8> 8e17a6: 2a9280 l32i a10, a9, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1001 8e17a9: 0cfc01 and a12, a15, a12 8e17ac: 0c0200 memw 8e17af: 2c968a s32i a12, a9, 0x228 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1002 8e17b2: 0bab02 or a11, a10, a11 8e17b5: 0c0200 memw 8e17b8: 2b9680 s32i a11, a9, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1004 8e17bb: 0c0200 memw 8e17be: 289281 l32i a8, a9, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1006 8e17c1: 0c0200 memw 8e17c4: 2a9680 s32i a10, a9, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1007 8e17c7: 0c0200 memw 8e17ca: 2f968a s32i a15, a9, 0x228 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1010 8e17cd: c1e0 movi.n a14, 16 8e17cf: 0c0200 memw 8e17d2: 2cd286 l32i a12, a13, 0x218 8e17d5: 0ecc02 or a12, a12, a14 8e17d8: 0c0200 memw 8e17db: 1b0018 l32r a11, 4e8060 <_lit4_start+0x60> 8e17de: 2cd686 s32i a12, a13, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1012 8e17e1: 2bb212 l32i a11, a11, 72 8e17e4: 7e8766 bbci a8, 30, 8e184e 8e17e7: 1a003b l32r a10, 4e80ec <_lit4_start+0xec> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1013 8e17ea: 0bb000 callx8 a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1015 8e17ed: c7ac movi.n a10, -4 8e17ef: 1b003c l32r a11, 4e80f0 <_lit4_start+0xf0> 8e17f2: 0c0200 memw 8e17f5: 190032 l32r a9, 4e80c8 <_lit4_start+0xc8> 8e17f8: 1e003d l32r a14, 4e80f4 <_lit4_start+0xf4> 8e17fb: 2bb280 l32i a11, a11, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1018 8e17fe: 0a9f01 and a15, a9, a10 8e1801: 0c0200 memw 8e1804: 9ef0 s32i.n a14, a15, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1019 8e1806: b49d addi.n a13, a9, 4 8e1808: 1c003e l32r a12, 4e80f8 <_lit4_start+0xf8> 8e180b: 0add01 and a13, a13, a10 8e180e: 0c0200 memw 8e1811: 9cd0 s32i.n a12, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1020 8e1813: 010404 ssai 16 8e1816: b89c addi.n a12, a9, 8 8e1818: 0bbb18 src a11, a11, a11 8e181b: 0acc01 and a12, a12, a10 8e181e: 0c0200 memw 8e1821: 9bc0 s32i.n a11, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1021 8e1823: bc99 addi.n a9, a9, 12 8e1825: c78f movi.n a8, -1 8e1827: 0a9901 and a9, a9, a10 8e182a: 0c0200 memw 8e182d: 9890 s32i.n a8, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1023 8e182f: c0f0 movi.n a15, 0 8e1831: 0c0200 memw 8e1834: 2f2680 s32i a15, a2, 0x200 8e1837: 1d003f l32r a13, 4e80fc <_lit4_start+0xfc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1028 8e183a: 0c0200 memw 8e183d: 2d2681 s32i a13, a2, 0x204 8e1840: 1e0031 l32r a14, 4e80c4 <_lit4_start+0xc4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1029 8e1843: 0edd02 or a13, a13, a14 8e1846: 0c0200 memw 8e1849: 2d2681 s32i a13, a2, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1035 8e184c: d10f retw.n 008e184e : 8e184e: 1a0040 l32r a10, 4e8100 <_lit4_start+0x100> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1031 8e1851: 0bb000 callx8 a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1033 8e1854: c0c1 movi.n a12, 1 8e1856: 0c0200 memw 8e1859: 2c2680 s32i a12, a2, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1035 8e185c: d10f retw.n 008e185e : ... 008e1860 : bootload(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1040 8e1860: 6c1004 entry a1, 32 8e1863: 120018 l32r a2, 4e8060 <_lit4_start+0x60> 8e1866: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1041 8e1869: c0ce movi.n a12, 14 8e186b: 2dfa00 movi a13, 0xffffff00 8e186e: 0c0200 memw 8e1871: 2ba27d l32i a11, a10, 0x1f4 8e1874: 0dbb01 and a11, a11, a13 8e1877: 0cbb02 or a11, a11, a12 8e187a: 0c0200 memw 8e187d: 2ba67d s32i a11, a10, 0x1f4 8e1880: 190041 l32r a9, 4e8104 <_lit4_start+0x104> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1042 8e1883: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1043 8e1886: 282216 l32i a8, a2, 88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1042 8e1889: 29a67c s32i a9, a10, 0x1f0 8e188c: 1a0042 l32r a10, 4e8108 <_lit4_start+0x108> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1043 8e188f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1050 8e1892: 28221d l32i a8, a2, 116 8e1895: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1044 8e1898: 63fff6 j 8e1892 008e189b : ... 008e189c : pci_gmac_bootload(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1058 8e189c: 6c1004 entry a1, 32 8e189f: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> 8e18a2: 120018 l32r a2, 4e8060 <_lit4_start+0x60> 8e18a5: 190043 l32r a9, 4e810c <_lit4_start+0x10c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1059 8e18a8: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1060 8e18ab: 282216 l32i a8, a2, 88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1059 8e18ae: 29a67c s32i a9, a10, 0x1f0 8e18b1: 1a0042 l32r a10, 4e8108 <_lit4_start+0x108> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1060 8e18b4: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1062 8e18b7: 28227b l32i a8, a2, 0x1ec 8e18ba: c0a0 movi.n a10, 0 8e18bc: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1063 8e18bf: 282217 l32i a8, a2, 92 8e18c2: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1061 8e18c5: 63ffee j 8e18b7 008e18c8 : turn_off_rc(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1076 8e18c8: 6c1004 entry a1, 32 8e18cb: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> 8e18ce: 1b0044 l32r a11, 4e8110 <_lit4_start+0x110> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1083 8e18d1: 297a80 movi a9, 0x780 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1080 8e18d4: c0a0 movi.n a10, 0 8e18d6: 2ab500 s16i a10, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1083 8e18d9: 0c0200 memw 8e18dc: 283284 l32i a8, a3, 0x210 8e18df: 098802 or a8, a8, a9 8e18e2: 0c0200 memw 8e18e5: 283684 s32i a8, a3, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1086 8e18e8: c042 movi.n a4, 2 8e18ea: 0c0200 memw 8e18ed: 223286 l32i a2, a3, 0x218 8e18f0: 042202 or a2, a2, a4 8e18f3: 0c0200 memw 8e18f6: 223686 s32i a2, a3, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1087 8e18f9: d10f retw.n 008e18fb : ... 008e18fc : bootentry(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1091 8e18fc: 6c1008 entry a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1099 8e18ff: 5bff1d call8 8e1574 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1101 8e1902: c0a1 movi.n a10, 1 8e1904: 120015 l32r a2, 4e8054 <_lit4_start+0x54> 8e1907: 24fa00 movi a4, 0xffffff00 8e190a: 0c0200 memw 8e190d: 29227d l32i a9, a2, 0x1f4 8e1910: 049901 and a9, a9, a4 8e1913: 0a9902 or a9, a9, a10 8e1916: 0c0200 memw 8e1919: 29267d s32i a9, a2, 0x1f4 8e191c: 180045 l32r a8, 4e8114 <_lit4_start+0x114> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1102 8e191f: 0c0200 memw 8e1922: 28267c s32i a8, a2, 0x1f0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1104 8e1925: 5bff55 call8 8e167c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1106 8e1928: 5bff3a call8 8e1614 8e192b: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1108 8e192e: 28325a l32i a8, a3, 0x168 8e1931: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1111 8e1934: 28325e l32i a8, a3, 0x178 8e1937: 0b8000 callx8 a8 8e193a: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1147 8e193c: c0b2 movi.n a11, 2 8e193e: 0c0200 memw 8e1941: 29227d l32i a9, a2, 0x1f4 8e1944: 049901 and a9, a9, a4 8e1947: 0b9902 or a9, a9, a11 8e194a: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1173 8e194d: 883e l32i.n a8, a3, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1147 8e194f: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1173 8e1952: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1188 8e1955: 2cea0f movi a12, 0xfffffe0f 8e1958: 190039 l32r a9, 4e80e4 <_lit4_start+0xe4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1173 8e195b: c170 movi.n a7, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1188 8e195d: 0c0200 memw 8e1960: 2b928a l32i a11, a9, 0x228 8e1963: 0cbb01 and a11, a11, a12 8e1966: 0c0200 memw 8e1969: 2b968a s32i a11, a9, 0x228 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1189 8e196c: 69a323 bnei a10, 3, 8e1993 8e196f: 1f0046 l32r a15, 4e8118 <_lit4_start+0x118> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1195 8e1972: 0c0200 memw 8e1975: 2e928a l32i a14, a9, 0x228 8e1978: 0fee01 and a14, a14, a15 8e197b: 0c0200 memw 8e197e: 2e968a s32i a14, a9, 0x228 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1197 8e1981: 0c0200 memw 8e1984: 2d928a l32i a13, a9, 0x228 8e1987: 07dd02 or a13, a13, a7 8e198a: 0c0200 memw 8e198d: 2d968a s32i a13, a9, 0x228 8e1990: 600027 j 8e19bb 008e1993 : 8e1993: cda3 bnez.n a10, 8e19aa /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1202 8e1995: 2a1a00 movi a10, 0x100 8e1998: 0c0200 memw 8e199b: 28928a l32i a8, a9, 0x228 8e199e: 0a8802 or a8, a8, a10 8e19a1: 0c0200 memw 8e19a4: 28968a s32i a8, a9, 0x228 8e19a7: 600010 j 8e19bb 008e19aa : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1210 8e19aa: c4c0 movi.n a12, 64 8e19ac: 0c0200 memw 8e19af: 2b928a l32i a11, a9, 0x228 8e19b2: 0cbb02 or a11, a11, a12 8e19b5: 0c0200 memw 8e19b8: 2b968a s32i a11, a9, 0x228 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1235 8e19bb: c0a5 movi.n a10, 5 8e19bd: 0c0200 memw 8e19c0: 29227d l32i a9, a2, 0x1f4 8e19c3: 049901 and a9, a9, a4 8e19c6: 0a9902 or a9, a9, a10 8e19c9: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1236 8e19cc: 283216 l32i a8, a3, 88 8e19cf: 1a0047 l32r a10, 4e811c <_lit4_start+0x11c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1235 8e19d2: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1236 8e19d5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1237 8e19d8: 5bfe72 call8 8e13a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1248 8e19db: c0a6 movi.n a10, 6 8e19dd: 0c0200 memw 8e19e0: 29227d l32i a9, a2, 0x1f4 8e19e3: 049901 and a9, a9, a4 8e19e6: 0a9902 or a9, a9, a10 8e19e9: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1249 8e19ec: 283216 l32i a8, a3, 88 8e19ef: 1a0048 l32r a10, 4e8120 <_lit4_start+0x120> 008e19f2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1248 8e19f2: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1249 8e19f5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1251 8e19f8: 283262 l32i a8, a3, 0x188 8e19fb: 0b8000 callx8 a8 8e19fe: d6a0 mov.n a6, a10 8e1a00: cea0 bnez.n a10, 8e1a24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1254 8e1a02: c0a7 movi.n a10, 7 8e1a04: 0c0200 memw 8e1a07: 29227d l32i a9, a2, 0x1f4 8e1a0a: 049901 and a9, a9, a4 8e1a0d: 0a9902 or a9, a9, a10 8e1a10: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1256 8e1a13: 283255 l32i a8, a3, 0x154 8e1a16: 2a0afc movi a10, 252 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1254 8e1a19: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1256 8e1a1c: 0b8000 callx8 a8 8e1a1f: cea7 bnez.n a10, 8e1a4a 8e1a21: 6001e0 j 8e1c05 008e1a24 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1267 8e1a24: 2bacfe addi a11, a10, -2 8e1a27: 6fb202 bgeui a11, 2, 8e1a2d 8e1a2a: 6001b4 j 8e1be2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1273 8e1a2d: 69a14e bnei a10, 1, 8e1a7f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1277 8e1a30: 28325f l32i a8, a3, 0x17c 8e1a33: 0b8000 callx8 a8 8e1a36: 1b0049 l32r a11, 4e8124 <_lit4_start+0x124> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1280 8e1a39: 29b100 l16ui a9, a11, 0 8e1a3c: b199 addi.n a9, a9, 1 8e1a3e: 09094f extui a9, a9, 0, 16 8e1a41: 29b500 s16i a9, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1281 8e1a44: 6e92b0 bltui a9, 2, 8e19f8 8e1a47: 600014 j 8e1a5f 008e1a4a : 8e1a4a: 1b004a l32r a11, 4e8128 <_lit4_start+0x128> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1258 8e1a4d: 0c0200 memw 8e1a50: 2a227d l32i a10, a2, 0x1f4 8e1a53: 0baa02 or a10, a10, a11 8e1a56: 0c0200 memw 8e1a59: 2a267d s32i a10, a2, 0x1f4 8e1a5c: 60001f j 8e1a7f 008e1a5f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1285 8e1a5f: 5bff9a call8 8e18c8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1286 8e1a62: c1a4 movi.n a10, 20 8e1a64: 0c0200 memw 8e1a67: 29227d l32i a9, a2, 0x1f4 8e1a6a: 049901 and a9, a9, a4 8e1a6d: 0a9902 or a9, a9, a10 8e1a70: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1288 8e1a73: 283216 l32i a8, a3, 88 8e1a76: 1a004b l32r a10, 4e812c <_lit4_start+0x12c> 008e1a79 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1286 8e1a79: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1288 8e1a7c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1292 8e1a7f: c0b9 movi.n a11, 9 8e1a81: 0c0200 memw 8e1a84: 2a227d l32i a10, a2, 0x1f4 8e1a87: 04aa01 and a10, a10, a4 8e1a8a: 0baa02 or a10, a10, a11 8e1a8d: 0c0200 memw 8e1a90: 2a267d s32i a10, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1297 8e1a93: 2b3216 l32i a11, a3, 88 8e1a96: 695108 bnei a5, 1, 8e1aa2 8e1a99: 1a004c l32r a10, 4e8130 <_lit4_start+0x130> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1302 8e1a9c: 0bb000 callx8 a11 8e1a9f: 600011 j 8e1ab4 008e1aa2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1305 8e1aa2: 695308 bnei a5, 3, 8e1aae 8e1aa5: 1a004d l32r a10, 4e8134 <_lit4_start+0x134> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1310 8e1aa8: 0bb000 callx8 a11 8e1aab: 600005 j 8e1ab4 008e1aae : 8e1aae: 1a004e l32r a10, 4e8138 <_lit4_start+0x138> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1314 8e1ab1: 0bb000 callx8 a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1323 8e1ab4: c1a5 movi.n a10, 21 8e1ab6: 0c0200 memw 8e1ab9: 29227d l32i a9, a2, 0x1f4 8e1abc: 049901 and a9, a9, a4 8e1abf: 0a9902 or a9, a9, a10 8e1ac2: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1324 8e1ac5: 883e l32i.n a8, a3, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1323 8e1ac7: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1324 8e1aca: 0b8000 callx8 a8 8e1acd: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1326 8e1acf: 283212 l32i a8, a3, 72 8e1ad2: 1a004f l32r a10, 4e813c <_lit4_start+0x13c> 8e1ad5: db50 mov.n a11, a5 8e1ad7: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1328 8e1ada: cf5f bnez.n a5, 8e1b1d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1330 8e1adc: c0ab movi.n a10, 11 8e1ade: 0c0200 memw 8e1ae1: 29227d l32i a9, a2, 0x1f4 8e1ae4: 049901 and a9, a9, a4 8e1ae7: 0a9902 or a9, a9, a10 8e1aea: 0c0200 memw 8e1aed: 29267d s32i a9, a2, 0x1f4 8e1af0: cc6a bnez.n a6, 8e1afe /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1334 8e1af2: 28325f l32i a8, a3, 0x17c 8e1af5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1337 8e1af8: 5bfefb call8 8e16e8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1340 8e1afb: 5bff73 call8 8e18c8 008e1afe : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1343 8e1afe: 28321c l32i a8, a3, 112 8e1b01: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1345 8e1b04: c0ad movi.n a10, 13 8e1b06: 0c0200 memw 8e1b09: 29227d l32i a9, a2, 0x1f4 8e1b0c: 049901 and a9, a9, a4 8e1b0f: 0a9902 or a9, a9, a10 8e1b12: 0c0200 memw 8e1b15: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1346 8e1b18: 5bff51 call8 8e1860 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 8e1b1b: d10f retw.n 008e1b1d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1349 8e1b1d: 695302 bnei a5, 3, 8e1b23 8e1b20: 600086 j 8e1baa 8e1b23: 695102 bnei a5, 1, 8e1b29 8e1b26: 600080 j 8e1baa /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1367 8e1b29: 695249 bnei a5, 2, 8e1b76 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1369 8e1b2c: 283251 l32i a8, a3, 0x144 8e1b2f: 1a0050 l32r a10, 4e8140 <_lit4_start+0x140> 8e1b32: 1b0051 l32r a11, 4e8144 <_lit4_start+0x144> 8e1b35: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1370 8e1b38: 2832a0 l32i a8, a3, 0x280 8e1b3b: 2a0a64 movi a10, 100 8e1b3e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1371 8e1b41: 2832a5 l32i a8, a3, 0x294 8e1b44: 2a0a64 movi a10, 100 8e1b47: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1373 8e1b4a: 283212 l32i a8, a3, 72 8e1b4d: 1a0052 l32r a10, 4e8148 <_lit4_start+0x148> 8e1b50: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1379 8e1b53: 283212 l32i a8, a3, 72 8e1b56: 1a0053 l32r a10, 4e814c <_lit4_start+0x14c> 8e1b59: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1380 8e1b5c: 581127 call8 8e5ffc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1381 8e1b5f: c0a0 movi.n a10, 0 8e1b61: 581166 call8 8e60fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1384 8e1b64: da10 mov.n a10, a1 8e1b66: 581195 call8 8e61bc 8e1b69: 65a073 bnez a10, 8e1be0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1393 8e1b6c: 8a12 l32i.n a10, a1, 8 8e1b6e: 64a06e beqz a10, 8e1be0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1395 8e1b71: 0ba000 callx8 a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 8e1b74: d10f retw.n 008e1b76 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1400 8e1b76: 695566 bnei a5, 5, 8e1be0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1402 8e1b79: c0aa movi.n a10, 10 8e1b7b: 0c0200 memw 8e1b7e: 29227d l32i a9, a2, 0x1f4 8e1b81: 049901 and a9, a9, a4 8e1b84: 0a9902 or a9, a9, a10 8e1b87: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1403 8e1b8a: 283216 l32i a8, a3, 88 8e1b8d: 1a0054 l32r a10, 4e8150 <_lit4_start+0x150> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1402 8e1b90: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1403 8e1b93: 0b8000 callx8 a8 8e1b96: 1a0037 l32r a10, 4e80dc <_lit4_start+0xdc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1406 8e1b99: c0b3 movi.n a11, 3 8e1b9b: 0c0200 memw 8e1b9e: 2ba682 s32i a11, a10, 0x208 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1407 8e1ba1: 0c0200 memw 8e1ba4: 27a683 s32i a7, a10, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1409 8e1ba7: 63fffc j 8e1ba7 008e1baa : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1351 8e1baa: 283251 l32i a8, a3, 0x144 8e1bad: 1a0050 l32r a10, 4e8140 <_lit4_start+0x140> 8e1bb0: 1b0051 l32r a11, 4e8144 <_lit4_start+0x144> 8e1bb3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1352 8e1bb6: 2832a0 l32i a8, a3, 0x280 8e1bb9: 2a0a64 movi a10, 100 8e1bbc: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1353 8e1bbf: 2832a5 l32i a8, a3, 0x294 8e1bc2: 2a0a64 movi a10, 100 8e1bc5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1355 8e1bc8: 283212 l32i a8, a3, 72 8e1bcb: 1a0052 l32r a10, 4e8148 <_lit4_start+0x148> 8e1bce: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1357 8e1bd1: 5810a9 call8 8e5e78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1359 8e1bd4: 5bfeed call8 8e178c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1361 8e1bd7: 2832ca l32i a8, a3, 0x328 8e1bda: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1362 8e1bdd: 5bff2f call8 8e189c 008e1be0 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1411 8e1be0: d10f retw.n 008e1be2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1269 8e1be2: 5bff39 call8 8e18c8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1270 8e1be5: c0a8 movi.n a10, 8 8e1be7: 0c0200 memw 8e1bea: 29227d l32i a9, a2, 0x1f4 8e1bed: 049901 and a9, a9, a4 8e1bf0: 0a9902 or a9, a9, a10 8e1bf3: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1271 8e1bf6: 283216 l32i a8, a3, 88 8e1bf9: 1a0055 l32r a10, 4e8154 <_lit4_start+0x154> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1270 8e1bfc: 29267d s32i a9, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1271 8e1bff: 0b8000 callx8 a8 8e1c02: 63fe79 j 8e1a7f 008e1c05 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1262 8e1c05: 5bff30 call8 8e18c8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1264 8e1c08: 283216 l32i a8, a3, 88 8e1c0b: 1a0056 l32r a10, 4e8158 <_lit4_start+0x158> 8e1c0e: 0b8000 callx8 a8 8e1c11: 63fe6a j 8e1a7f 008e1c14
: main(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1418 8e1c14: 6c1004 entry a1, 32 8e1c17: 190015 l32r a9, 4e8054 <_lit4_start+0x54> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1421 8e1c1a: c0a0 movi.n a10, 0 8e1c1c: 0c0200 memw 8e1c1f: 2a967d s32i a10, a9, 0x1f4 8e1c22: 180057 l32r a8, 4e815c <_lit4_start+0x15c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1422 8e1c25: 0c0200 memw 8e1c28: 28967c s32i a8, a9, 0x1f0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1425 8e1c2b: 5bff34 call8 8e18fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/athos/src/athos_main.c:1429 8e1c2e: c020 movi.n a2, 0 8e1c30: d10f retw.n 008e1c32 : ... 008e1c34 : cmnos_allocram_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:27 8e1c34: 6c1004 entry a1, 32 8e1c37: d520 mov.n a5, a2 8e1c39: 120058 l32r a2, 4e8160 <_lit4_start+0x160> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:57 8e1c3c: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:55 8e1c3e: 9520 s32i.n a5, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:64 8e1c40: c020 movi.n a2, 0 8e1c42: d10f retw.n 008e1c44 : cmnos_allocram(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:74 8e1c44: 6c1004 entry a1, 32 8e1c47: 1d0058 l32r a13, 4e8160 <_lit4_start+0x160> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:78 8e1c4a: b33c addi.n a12, a3, 3 8e1c4c: 0c2c14 srli a12, a12, 2 8e1c4f: 8ad1 l32i.n a10, a13, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:75 8e1c51: 82d0 l32i.n a2, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:78 8e1c53: 0ecb11 slli a11, a12, 2 8e1c56: 7ba30b bltu a10, a11, 8e1c65 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:80 8e1c59: 02ce0a addx4 a14, a12, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:79 8e1c5c: 0baf0c sub a15, a10, a11 8e1c5f: 9fd1 s32i.n a15, a13, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:80 8e1c61: 9ed0 s32i.n a14, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:87 8e1c63: d10f retw.n 008e1c65 : 8e1c65: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:82 8e1c68: 288212 l32i a8, a8, 72 8e1c6b: 1a0059 l32r a10, 4e8164 <_lit4_start+0x164> 8e1c6e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:84 8e1c71: 63fffc j 8e1c71 008e1c74 : cmnos_allocram_debug(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:92 8e1c74: 6c1004 entry a1, 32 8e1c77: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e1c7a: 120058 l32r a2, 4e8160 <_lit4_start+0x160> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:93 8e1c7d: 283212 l32i a8, a3, 72 8e1c80: 1a005a l32r a10, 4e8168 <_lit4_start+0x168> 8e1c83: 8b20 l32i.n a11, a2, 0 8e1c85: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:94 8e1c88: 283212 l32i a8, a3, 72 8e1c8b: 8b21 l32i.n a11, a2, 4 8e1c8d: 1a005b l32r a10, 4e816c <_lit4_start+0x16c> 8e1c90: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:95 8e1c93: d10f retw.n 008e1c95 : 8e1c95: 000000 ... 008e1c98 : cmnos_allocram_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:99 8e1c98: 6c1004 entry a1, 32 8e1c9b: 18005d l32r a8, 4e8174 <_lit4_start+0x174> 8e1c9e: 19005c l32r a9, 4e8170 <_lit4_start+0x170> 8e1ca1: 13005e l32r a3, 4e8178 <_lit4_start+0x178> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:102 8e1ca4: 9322 s32i.n a3, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:101 8e1ca6: 9821 s32i.n a8, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:100 8e1ca8: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/allocram/src/cmnos_allocram.c:103 8e1caa: d10f retw.n 008e1cac : cmnos_delay_us(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:109 8e1cac: 6c1004 entry a1, 32 8e1caf: 13005f l32r a3, 4e817c <_lit4_start+0x17c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:113 8e1cb2: 8332 l32i.n a3, a3, 8 8e1cb4: 8331 l32i.n a3, a3, 4 8e1cb6: 03435b extui a3, a3, 20, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:114 8e1cb9: 581327 call8 8e6958 8e1cbc: 032228 mull a2, a2, a3 8e1cbf: d3a0 mov.n a3, a10 008e1cc1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:117 8e1cc1: 581325 call8 8e6958 8e1cc4: 03a80c sub a8, a10, a3 8e1cc7: 7283f6 bltu a8, a2, 8e1cc1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:120 8e1cca: d10f retw.n 008e1ccc : cmnos_milliseconds(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:129 8e1ccc: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:143 8e1ccf: 58001d call8 8e1d44 8e1cd2: 12005f l32r a2, 4e817c <_lit4_start+0x17c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:145 8e1cd5: 8220 l32i.n a2, a2, 0 8e1cd7: d10f retw.n 008e1cd9 : 8e1cd9: 000000 ... 008e1cdc : cmnos_refclk_speed_get(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:154 8e1cdc: 6c1004 entry a1, 32 8e1cdf: 12005f l32r a2, 4e817c <_lit4_start+0x17c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:155 8e1ce2: 8222 l32i.n a2, a2, 8 8e1ce4: 8221 l32i.n a2, a2, 4 8e1ce6: d10f retw.n 008e1ce8 : cmnos_uart_frequency(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:161 8e1ce8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:172 8e1ceb: d10f retw.n 008e1ced : 8e1ced: 000000 ... 008e1cf0 : cmnos_sysclk_change(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:181 8e1cf0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:183 8e1cf3: d10f retw.n 008e1cf5 : 8e1cf5: 000000 ... 008e1cf8 : cmnos_clockregs_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:188 8e1cf8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:191 8e1cfb: d10f retw.n 008e1cfd : 8e1cfd: 000000 ... 008e1d00 : cmnos_wlan_band_set(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:201 8e1d00: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:204 8e1d03: d10f retw.n 008e1d05 : 8e1d05: 000000 ... 008e1d08 : cmnos_pll_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:208 8e1d08: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:211 8e1d0b: d10f retw.n 008e1d0d : 8e1d0d: 000000 ... 008e1d10 : cmnos_clock_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:215 8e1d10: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:222 8e1d13: c060 movi.n a6, 0 8e1d15: 190060 l32r a9, 4e8180 <_lit4_start+0x180> 8e1d18: 1a0062 l32r a10, 4e8188 <_lit4_start+0x188> 8e1d1b: 170061 l32r a7, 4e8184 <_lit4_start+0x184> 8e1d1e: c033 movi.n a3, 3 8e1d20: d5a0 mov.n a5, a10 8e1d22: 6d3a10 loopgtz a3, 8e1d36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:228 8e1d25: 8851 l32i.n a8, a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:232 8e1d27: b166 addi.n a6, a6, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:228 8e1d29: a784 add.n a4, a8, a7 8e1d2b: 724b04 bgeu a4, a2, 8e1d33 8e1d2e: a98b add.n a11, a8, a9 8e1d30: 7b2308 bltu a2, a11, 8e1d3c 008e1d33 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:232 8e1d33: 255c14 addi a5, a5, 20 008e1d36 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:222 8e1d36: 06650a addx4 a5, a6, a6 8e1d39: 0a550a addx4 a5, a5, a10 8e1d3c: 18005f l32r a8, 4e817c <_lit4_start+0x17c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:234 8e1d3f: 9582 s32i.n a5, a8, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:238 8e1d41: d10f retw.n 008e1d43 : ... 008e1d44 : cmnos_tick(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:244 8e1d44: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:253 8e1d47: 581304 call8 8e6958 8e1d4a: 150063 l32r a5, 4e818c <_lit4_start+0x18c> 8e1d4d: 2b3ae8 movi a11, 0x3e8 8e1d50: 8250 l32i.n a2, a5, 0 8e1d52: d3a0 mov.n a3, a10 8e1d54: 245ce8 addi a4, a5, -24 8e1d57: 02aa0c sub a10, a10, a2 8e1d5a: 58133c call8 8e6a4c <__udivsi3> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:269 8e1d5d: 8840 l32i.n a8, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:266 8e1d5f: 0a3239 movnez a2, a3, a10 8e1d62: 9250 s32i.n a2, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:269 8e1d64: aa88 add.n a8, a8, a10 8e1d66: 9840 s32i.n a8, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:271 8e1d68: d10f retw.n 008e1d6a : ... 008e1d6c : cmnos_clock_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:277 8e1d6c: 6c1004 entry a1, 32 8e1d6f: 18006b l32r a8, 4e81ac <_lit4_start+0x1ac> 8e1d72: 19006a l32r a9, 4e81a8 <_lit4_start+0x1a8> 8e1d75: 1a0069 l32r a10, 4e81a4 <_lit4_start+0x1a4> 8e1d78: 1b0068 l32r a11, 4e81a0 <_lit4_start+0x1a0> 8e1d7b: 1c0067 l32r a12, 4e819c <_lit4_start+0x19c> 8e1d7e: 1d0066 l32r a13, 4e8198 <_lit4_start+0x198> 8e1d81: 1e0065 l32r a14, 4e8194 <_lit4_start+0x194> 8e1d84: 1f0064 l32r a15, 4e8190 <_lit4_start+0x190> 8e1d87: 13006c l32r a3, 4e81b0 <_lit4_start+0x1b0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:287 8e1d8a: 9328 s32i.n a3, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:285 8e1d8c: 9827 s32i.n a8, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:284 8e1d8e: 9922 s32i.n a9, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:283 8e1d90: 9a26 s32i.n a10, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:282 8e1d92: 9b25 s32i.n a11, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:281 8e1d94: 9c24 s32i.n a12, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:280 8e1d96: 9d23 s32i.n a13, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:279 8e1d98: 9e21 s32i.n a14, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:278 8e1d9a: 9f20 s32i.n a15, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/clock/src/cmnos_clock.c:288 8e1d9c: d10f retw.n 008e1d9e : ... 008e1da0 : cmnos_eeprom_write_hword(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:45 8e1da0: 6c1004 entry a1, 32 8e1da3: 1a006d l32r a10, 4e81b4 <_lit4_start+0x1b4> 8e1da6: 15006e l32r a5, 4e81b8 <_lit4_start+0x1b8> 8e1da9: c070 movi.n a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:57 8e1dab: 0c0200 memw 8e1dae: 295295 l32i a9, a5, 0x254 8e1db1: 0a9902 or a9, a9, a10 8e1db4: 0c0200 memw 8e1db7: 295695 s32i a9, a5, 0x254 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:58 8e1dba: 0c0200 memw 8e1dbd: 275698 s32i a7, a5, 0x260 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:59 8e1dc0: 0c0200 memw 8e1dc3: 275699 s32i a7, a5, 0x264 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:62 8e1dc6: 280ac0 movi a8, 192 8e1dc9: 0c0200 memw 8e1dcc: 285693 s32i a8, a5, 0x24c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:65 8e1dcf: 0c0200 memw 8e1dd2: 16006f l32r a6, 4e81bc <_lit4_start+0x1bc> 8e1dd5: 275692 s32i a7, a5, 0x248 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:68 8e1dd8: 0e2411 slli a4, a2, 2 8e1ddb: a644 add.n a4, a4, a6 8e1ddd: c76c movi.n a6, -4 8e1ddf: 064401 and a4, a4, a6 8e1de2: 0c0200 memw 8e1de5: 9340 s32i.n a3, a4, 0 008e1de7 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:73 8e1de7: 0c0200 memw 8e1dea: 2b529f l32i a11, a5, 0x27c 8e1ded: 0b0b51 extui a11, a11, 16, 2 8e1df0: 65bff3 bnez a11, 8e1de7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:75 8e1df3: c021 movi.n a2, 1 8e1df5: d10f retw.n 008e1df7 : ... 008e1df8 : cmnos_eeprom_read_hword(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:90 8e1df8: 6c1004 entry a1, 32 8e1dfb: 18006f l32r a8, 4e81bc <_lit4_start+0x1bc> 8e1dfe: 0e2411 slli a4, a2, 2 8e1e01: a844 add.n a4, a4, a8 8e1e03: c78c movi.n a8, -4 8e1e05: 084401 and a4, a4, a8 8e1e08: 0c0200 memw 8e1e0b: 8440 l32i.n a4, a4, 0 8e1e0d: 12006e l32r a2, 4e81b8 <_lit4_start+0x1b8> 008e1e10 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:99 8e1e10: 0c0200 memw 8e1e13: 25229f l32i a5, a2, 0x27c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:101 8e1e16: 050951 extui a9, a5, 16, 2 8e1e19: 659ff3 bnez a9, 8e1e10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:103 8e1e1c: 253500 s16i a5, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:104 8e1e1f: c021 movi.n a2, 1 8e1e21: d10f retw.n 008e1e23 : ... 008e1e24 : cmnos_eep_is_exist(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:122 8e1e24: 6c1004 entry a1, 32 8e1e27: 120044 l32r a2, 4e8110 <_lit4_start+0x110> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:123 8e1e2a: 232100 l16ui a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:125 8e1e2d: 282101 l16ui a8, a2, 2 8e1e30: c93c beqz.n a3, 8e1e50 8e1e32: cd86 bnez.n a8, 8e1e4c 8e1e34: 14006e l32r a4, 4e81b8 <_lit4_start+0x1b8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:127 8e1e37: 0c0200 memw 8e1e3a: 244287 l32i a4, a4, 0x21c 8e1e3d: 04044f extui a4, a4, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:129 8e1e40: 774710 bbci a4, 23, 8e1e54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:130 8e1e43: c022 movi.n a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 8e1e45: d10f retw.n 008e1e47 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:134 8e1e47: c081 movi.n a8, 1 008e1e49 : 8e1e49: 282501 s16i a8, a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:135 8e1e4c: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 8e1e4e: d10f retw.n 008e1e50 : 8e1e50: c021 movi.n a2, 1 8e1e52: d10f retw.n 008e1e54 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:131 8e1e54: 7647ef bbci a4, 22, 8e1e47 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:132 8e1e57: c023 movi.n a2, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:142 8e1e59: d10f retw.n 008e1e5b : ... 008e1e5c : cmnos_eep_write(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:153 8e1e5c: 6c1004 entry a1, 32 8e1e5f: 180044 l32r a8, 4e8110 <_lit4_start+0x110> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:162 8e1e62: 288100 l16ui a8, a8, 0 8e1e65: 1a0070 l32r a10, 4e81c0 <_lit4_start+0x1c0> 8e1e68: 160018 l32r a6, 4e8060 <_lit4_start+0x60> 8e1e6b: cb83 beqz.n a8, 8e1ea2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:164 8e1e6d: 72a33e bltu a10, a2, 8e1eaf 8e1e70: a325 add.n a5, a2, a3 8e1e72: 75a239 blt a10, a5, 8e1eaf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:171 8e1e75: 752a25 bge a2, a5, 8e1e9e 8e1e78: c030 movi.n a3, 0 008e1e7a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:173 8e1e7a: da20 mov.n a10, a2 8e1e7c: 043b09 addx2 a11, a3, a4 8e1e7f: 2bb100 l16ui a11, a11, 0 8e1e82: 5bffc7 call8 8e1da0 8e1e85: 68a108 beqi a10, 1, 8e1e91 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:178 8e1e88: 286216 l32i a8, a6, 88 8e1e8b: 1a0071 l32r a10, 4e81c4 <_lit4_start+0x1c4> 008e1e8e : 8e1e8e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:179 8e1e91: b122 addi.n a2, a2, 1 8e1e93: b133 addi.n a3, a3, 1 8e1e95: 03034f extui a3, a3, 0, 16 8e1e98: 02024f extui a2, a2, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:171 8e1e9b: 7259db bne a5, a2, 8e1e7a 008e1e9e : 8e1e9e: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 8e1ea0: d10f retw.n 008e1ea2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:184 8e1ea2: 286216 l32i a8, a6, 88 8e1ea5: 1a0072 l32r a10, 4e81c8 <_lit4_start+0x1c8> 8e1ea8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:185 8e1eab: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 8e1ead: d10f retw.n 008e1eaf : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:166 8e1eaf: 286216 l32i a8, a6, 88 8e1eb2: 1a0073 l32r a10, 4e81cc <_lit4_start+0x1cc> 8e1eb5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:167 8e1eb8: c024 movi.n a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:188 8e1eba: d10f retw.n 008e1ebc : cmnos_eep_read(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:199 8e1ebc: 6c1004 entry a1, 32 8e1ebf: 180044 l32r a8, 4e8110 <_lit4_start+0x110> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:207 8e1ec2: 288100 l16ui a8, a8, 0 8e1ec5: 1a0070 l32r a10, 4e81c0 <_lit4_start+0x1c0> 8e1ec8: ca81 beqz.n a8, 8e1eed /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:209 8e1eca: 72a323 bltu a10, a2, 8e1ef1 8e1ecd: a323 add.n a3, a2, a3 8e1ecf: 73a21e blt a10, a3, 8e1ef1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:216 8e1ed2: 732a13 bge a2, a3, 8e1ee9 008e1ed5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:218 8e1ed5: da20 mov.n a10, a2 8e1ed7: db40 mov.n a11, a4 8e1ed9: 5bffc7 call8 8e1df8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:222 8e1edc: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:220 8e1ede: b248 addi.n a8, a4, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:218 8e1ee0: 0a8439 movnez a4, a8, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:222 8e1ee3: 02024f extui a2, a2, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:216 8e1ee6: 7239eb bne a3, a2, 8e1ed5 008e1ee9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:224 8e1ee9: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 8e1eeb: d10f retw.n 008e1eed : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:228 8e1eed: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 8e1eef: d10f retw.n 008e1ef1 : 8e1ef1: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:211 8e1ef4: 288216 l32i a8, a8, 88 8e1ef7: 1a0074 l32r a10, 4e81d0 <_lit4_start+0x1d0> 8e1efa: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:212 8e1efd: c024 movi.n a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:230 8e1eff: d10f retw.n 008e1f01 : 8e1f01: 000000 ... 008e1f04 : cmnos_eep_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:249 8e1f04: 6c1006 entry a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:251 8e1f07: 293ae8 movi a9, 0x3e8 8e1f0a: 150044 l32r a5, 4e8110 <_lit4_start+0x110> 8e1f0d: 140018 l32r a4, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:249 8e1f10: c070 movi.n a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:251 8e1f12: 0c0200 memw 8e1f15: 9910 s32i.n a9, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:252 8e1f17: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:255 8e1f1a: 285100 l16ui a8, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:252 8e1f1d: 9711 s32i.n a7, a1, 4 8e1f1f: b088 addi.n a8, a8, -1 8e1f21: 6481ea beqz a8, 8e210f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:257 8e1f24: c4d0 movi.n a13, 64 8e1f26: 120015 l32r a2, 4e8054 <_lit4_start+0x54> 8e1f29: 23fa00 movi a3, 0xffffff00 8e1f2c: 160037 l32r a6, 4e80dc <_lit4_start+0xdc> 8e1f2f: 0c0200 memw 8e1f32: 2c227d l32i a12, a2, 0x1f4 8e1f35: 03cc01 and a12, a12, a3 8e1f38: 0dcc02 or a12, a12, a13 8e1f3b: 0c0200 memw 8e1f3e: 2c267d s32i a12, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:294 8e1f41: c4c3 movi.n a12, 67 8e1f43: 0c0200 memw 8e1f46: 2b227d l32i a11, a2, 0x1f4 8e1f49: 03bb01 and a11, a11, a3 8e1f4c: 0cbb02 or a11, a11, a12 8e1f4f: 0c0200 memw 8e1f52: 2b267d s32i a11, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:295 8e1f55: 2a5ac0 movi a10, 0x5c0 8e1f58: 0c0200 memw 8e1f5b: 296284 l32i a9, a6, 0x210 8e1f5e: 0a9902 or a9, a9, a10 8e1f61: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:296 8e1f64: 28423b l32i a8, a4, 236 8e1f67: c1a4 movi.n a10, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:295 8e1f69: 296684 s32i a9, a6, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:296 8e1f6c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:299 8e1f6f: c4c4 movi.n a12, 68 8e1f71: 0c0200 memw 8e1f74: 2b227d l32i a11, a2, 0x1f4 8e1f77: 03bb01 and a11, a11, a3 8e1f7a: 0cbb02 or a11, a11, a12 8e1f7d: 0c0200 memw 8e1f80: 2b267d s32i a11, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:300 8e1f83: 2aaa3f movi a10, 0xfffffa3f 8e1f86: 0c0200 memw 8e1f89: 296284 l32i a9, a6, 0x210 8e1f8c: 0a9901 and a9, a9, a10 8e1f8f: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:301 8e1f92: 28423b l32i a8, a4, 236 8e1f95: 2a1af4 movi a10, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:300 8e1f98: 296684 s32i a9, a6, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:301 8e1f9b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:312 8e1f9e: c4c9 movi.n a12, 73 8e1fa0: 0c0200 memw 8e1fa3: 2b227d l32i a11, a2, 0x1f4 8e1fa6: 03bb01 and a11, a11, a3 8e1fa9: 0cbb02 or a11, a11, a12 8e1fac: 0c0200 memw 8e1faf: 2b267d s32i a11, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:313 8e1fb2: c0a2 movi.n a10, 2 8e1fb4: 0c0200 memw 8e1fb7: 296286 l32i a9, a6, 0x218 8e1fba: 0a9902 or a9, a9, a10 8e1fbd: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:315 8e1fc0: 28423b l32i a8, a4, 236 8e1fc3: c1a4 movi.n a10, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:313 8e1fc5: 296686 s32i a9, a6, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:315 8e1fc8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:319 8e1fcb: c7eb movi.n a14, -5 8e1fcd: 160076 l32r a6, 4e81d8 <_lit4_start+0x1d8> 8e1fd0: 1a0075 l32r a10, 4e81d4 <_lit4_start+0x1d4> 8e1fd3: 0c0200 memw 8e1fd6: 2d6286 l32i a13, a6, 0x218 8e1fd9: 0edd01 and a13, a13, a14 8e1fdc: 0c0200 memw 8e1fdf: 2d6686 s32i a13, a6, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:324 8e1fe2: c5d0 movi.n a13, 80 8e1fe4: 0c0200 memw 8e1fe7: 2c227d l32i a12, a2, 0x1f4 8e1fea: 03cc01 and a12, a12, a3 8e1fed: 0dcc02 or a12, a12, a13 8e1ff0: 0c0200 memw 8e1ff3: 2c267d s32i a12, a2, 0x1f4 8e1ff6: 1b0077 l32r a11, 4e81dc <_lit4_start+0x1dc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:325 8e1ff9: 0c0200 memw 8e1ffc: 29a287 l32i a9, a10, 0x21c 8e1fff: 0b9901 and a9, a9, a11 8e2002: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:329 8e2005: 28423b l32i a8, a4, 236 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:325 8e2008: 29a687 s32i a9, a10, 0x21c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:329 8e200b: 2a0a64 movi a10, 100 8e200e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:333 8e2011: c4d5 movi.n a13, 69 8e2013: 1a0078 l32r a10, 4e81e0 <_lit4_start+0x1e0> 8e2016: 0c0200 memw 8e2019: 2c227d l32i a12, a2, 0x1f4 8e201c: 03cc01 and a12, a12, a3 8e201f: 0dcc02 or a12, a12, a13 8e2022: 0c0200 memw 8e2025: 2c267d s32i a12, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:334 8e2028: c0b6 movi.n a11, 6 8e202a: 0c0200 memw 8e202d: 29a281 l32i a9, a10, 0x204 8e2030: 0b9902 or a9, a9, a11 8e2033: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:335 8e2036: 28423b l32i a8, a4, 236 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:334 8e2039: 29a681 s32i a9, a10, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:335 8e203c: c1a4 movi.n a10, 20 8e203e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:338 8e2041: c0a4 movi.n a10, 4 8e2043: 0c0200 memw 8e2046: 296286 l32i a9, a6, 0x218 8e2049: 0a9902 or a9, a9, a10 8e204c: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:339 8e204f: 28423b l32i a8, a4, 236 8e2052: c1a4 movi.n a10, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:338 8e2054: 296686 s32i a9, a6, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:339 8e2057: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:342 8e205a: c4e6 movi.n a14, 70 8e205c: 0c0200 memw 8e205f: 2d227d l32i a13, a2, 0x1f4 8e2062: 03dd01 and a13, a13, a3 8e2065: 0edd02 or a13, a13, a14 8e2068: 0c0200 memw 8e206b: 2d267d s32i a13, a2, 0x1f4 8e206e: 1c0079 l32r a12, 4e81e4 <_lit4_start+0x1e4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:343 8e2071: 0c0200 memw 8e2074: 2b6280 l32i a11, a6, 0x200 8e2077: 0cbb02 or a11, a11, a12 8e207a: 0c0200 memw 8e207d: 2b6680 s32i a11, a6, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:353 8e2080: 0c0200 memw 8e2083: 8e10 l32i.n a14, a1, 0 8e2085: b0ef addi.n a15, a14, -1 8e2087: 0c0200 memw 8e208a: 9f10 s32i.n a15, a1, 0 8e208c: 6be102 bgei a14, 1, 8e2092 8e208f: 6000b8 j 8e214b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:355 8e2092: 0c0200 memw 8e2095: 296286 l32i a9, a6, 0x218 8e2098: 0c0200 memw 8e209b: 9911 s32i.n a9, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:356 8e209d: 0c0200 memw 8e20a0: 8811 l32i.n a8, a1, 4 8e20a2: 080840 extui a8, a8, 0, 1 8e20a5: 6580a2 bnez a8, 8e214b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:358 8e20a8: 28423b l32i a8, a4, 236 8e20ab: c1a4 movi.n a10, 20 8e20ad: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:353 8e20b0: 63ffcc j 8e2080 008e20b3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:368 8e20b3: c4c7 movi.n a12, 71 8e20b5: 16003c l32r a6, 4e80f0 <_lit4_start+0xf0> 8e20b8: 0c0200 memw 8e20bb: 2b227d l32i a11, a2, 0x1f4 8e20be: 03bb01 and a11, a11, a3 8e20c1: 0cbb02 or a11, a11, a12 8e20c4: 0c0200 memw 8e20c7: 2b267d s32i a11, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:369 8e20ca: 2a1a16 movi a10, 0x116 8e20cd: 0c0200 memw 8e20d0: 296281 l32i a9, a6, 0x204 8e20d3: 0a9902 or a9, a9, a10 8e20d6: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:370 8e20d9: 28423b l32i a8, a4, 236 8e20dc: c1a4 movi.n a10, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:369 8e20de: 296681 s32i a9, a6, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:370 8e20e1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:372 8e20e4: c488 movi.n a8, 72 8e20e6: 0c0200 memw 8e20e9: 2f227d l32i a15, a2, 0x1f4 8e20ec: 03ff01 and a15, a15, a3 8e20ef: 08ff02 or a15, a15, a8 8e20f2: 0c0200 memw 8e20f5: 2f267d s32i a15, a2, 0x1f4 8e20f8: 1e007a l32r a14, 4e81e8 <_lit4_start+0x1e8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:373 8e20fb: 0c0200 memw 8e20fe: 2d6284 l32i a13, a6, 0x210 8e2101: 0edd02 or a13, a13, a14 8e2104: 0c0200 memw 8e2107: 2d6684 s32i a13, a6, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:374 8e210a: c0c1 movi.n a12, 1 008e210c : 8e210c: 2c5500 s16i a12, a5, 0 8e210f: 12007b l32r a2, 4e81ec <_lit4_start+0x1ec> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:382 8e2112: 0c0200 memw 8e2115: 222281 l32i a2, a2, 0x204 8e2118: 12006e l32r a2, 4e81b8 <_lit4_start+0x1b8> 8e211b: 13007c l32r a3, 4e81f0 <_lit4_start+0x1f0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:389 8e211e: 0c0200 memw 8e2121: 2a229f l32i a10, a2, 0x27c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:392 8e2124: 7a3004 bnone a3, a10, 8e212c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:394 8e2127: 275500 s16i a7, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 8e212a: d10f retw.n 008e212c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:398 8e212c: 0a0851 extui a8, a10, 16, 2 8e212f: c88a beqz.n a8, 8e213d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:406 8e2131: 28423b l32i a8, a4, 236 8e2134: 2a0a64 movi a10, 100 8e2137: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:387 8e213a: 63ffe0 j 8e211e 008e213d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:400 8e213d: 0a094f extui a9, a10, 0, 16 8e2140: ca96 beqz.n a9, 8e216a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:401 8e2142: c0a1 movi.n a10, 1 8e2144: c0b0 movi.n a11, 0 8e2146: 5bff16 call8 8e1da0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 8e2149: d10f retw.n 008e214b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:362 8e214b: 0c0200 memw 8e214e: 8a10 l32i.n a10, a1, 0 8e2150: 6aa102 blti a10, 1, 8e2156 8e2153: 63ff5c j 8e20b3 8e2156: 1c007d l32r a12, 4e81f4 <_lit4_start+0x1f4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:364 8e2159: 0c0200 memw 8e215c: 2b227d l32i a11, a2, 0x1f4 8e215f: 0cbb02 or a11, a11, a12 8e2162: 0c0200 memw 8e2165: 2b267d s32i a11, a2, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:414 8e2168: d10f retw.n 008e216a : 8e216a: d10f retw.n 008e216c : cmnos_eep_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:416 8e216c: 6c1004 entry a1, 32 8e216f: 180080 l32r a8, 4e8200 <_lit4_start+0x200> 8e2172: 19007f l32r a9, 4e81fc <_lit4_start+0x1fc> 8e2175: 1a007e l32r a10, 4e81f8 <_lit4_start+0x1f8> 8e2178: 130081 l32r a3, 4e8204 <_lit4_start+0x204> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:420 8e217b: 9323 s32i.n a3, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:419 8e217d: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:418 8e217f: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:417 8e2181: 9a20 s32i.n a10, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/eeprom/src/cmnos_eeprom.c:421 8e2183: d10f retw.n 008e2185 : 8e2185: 000000 ... 008e2188 : cmnos_intr_dummy(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:30 8e2188: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:32 8e218b: d10f retw.n 008e218d : 8e218d: 000000 ... 008e2190 : cmnos_intr_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:42 8e2190: 6c1004 entry a1, 32 8e2193: 190082 l32r a9, 4e8208 <_lit4_start+0x208> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:46 8e2196: c0a0 movi.n a10, 0 8e2198: c183 movi.n a8, 19 8e219a: 9a90 s32i.n a10, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:49 8e219c: 299c10 addi a9, a9, 16 8e219f: 1a0083 l32r a10, 4e820c <_lit4_start+0x20c> 8e21a2: 6d8a03 loopgtz a8, 8e21a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:50 8e21a5: 9a90 s32i.n a10, a9, 0 8e21a7: b899 addi.n a9, a9, 8 008e21a9 : 8e21a9: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:53 8e21ac: 28824e l32i a8, a8, 0x138 8e21af: c0a0 movi.n a10, 0 8e21b1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:55 8e21b4: d10f retw.n 008e21b6 : ... 008e21b8 : cmnos_intr_mask_inum(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:60 8e21b8: 6c1004 entry a1, 32 8e21bb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:65 8e21be: 283248 l32i a8, a3, 0x120 8e21c1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:66 8e21c4: 28324d l32i a8, a3, 0x134 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:65 8e21c7: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:66 8e21c9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 8e21cc: 28324e l32i a8, a3, 0x138 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:67 8e21cf: c7df movi.n a13, -1 8e21d1: 1c0082 l32r a12, 4e8208 <_lit4_start+0x208> 8e21d4: 002104 ssl a2 8e21d7: c091 movi.n a9, 1 8e21d9: 00991a sll a9, a9 8e21dc: 8bc0 l32i.n a11, a12, 0 8e21de: 0d9903 xor a9, a9, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 8e21e1: 0a9a01 and a10, a9, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:67 8e21e4: 0b9b01 and a11, a9, a11 8e21e7: 9bc0 s32i.n a11, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:69 8e21e9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:70 8e21ec: 283249 l32i a8, a3, 0x124 8e21ef: da40 mov.n a10, a4 8e21f1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:71 8e21f4: d10f retw.n 008e21f6 : ... 008e21f8 : cmnos_intr_unmask_inum(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:75 8e21f8: 6c1004 entry a1, 32 8e21fb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:80 8e21fe: 283248 l32i a8, a3, 0x120 8e2201: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:81 8e2204: 28324d l32i a8, a3, 0x134 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:80 8e2207: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:81 8e2209: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 8e220c: 28324e l32i a8, a3, 0x138 8e220f: 1c0082 l32r a12, 4e8208 <_lit4_start+0x208> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:82 8e2212: 002104 ssl a2 8e2215: c091 movi.n a9, 1 8e2217: 8bc0 l32i.n a11, a12, 0 8e2219: 00991a sll a9, a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 8e221c: 0a9a02 or a10, a9, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:82 8e221f: 0b9b02 or a11, a9, a11 8e2222: 9bc0 s32i.n a11, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:86 8e2224: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:87 8e2227: 283249 l32i a8, a3, 0x124 8e222a: da40 mov.n a10, a4 8e222c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:88 8e222f: d10f retw.n 008e2231 : 8e2231: 000000 ... 008e2234 : cmnos_intr_attach_isr(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:93 8e2234: 6c1004 entry a1, 32 8e2237: 1a0084 l32r a10, 4e8210 <_lit4_start+0x210> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:96 8e223a: c182 movi.n a8, 18 8e223c: 728b1d bgeu a8, a2, 8e225d 8e223f: 190085 l32r a9, 4e8214 <_lit4_start+0x214> 008e2242 : 8e2242: 0c0200 memw 8e2245: 2d9000 l8ui a13, a9, 0 8e2248: 0c0200 memw 8e224b: 2c9001 l8ui a12, a9, 1 8e224e: 0c0200 memw 8e2251: 2b9002 l8ui a11, a9, 2 8e2254: 0c0200 memw 8e2257: 299003 l8ui a9, a9, 3 8e225a: 0c0200 memw 8e225d: cd3c bnez.n a3, 8e227d 008e225f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:97 8e225f: 2eac11 addi a14, a10, 17 8e2262: 0c0200 memw 8e2265: 29e000 l8ui a9, a14, 0 8e2268: 0c0200 memw 8e226b: 28e001 l8ui a8, a14, 1 8e226e: 0c0200 memw 8e2271: 2fe002 l8ui a15, a14, 2 8e2274: 0c0200 memw 8e2277: 2ee003 l8ui a14, a14, 3 8e227a: 0c0200 memw 8e227d: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:99 8e2280: 285248 l32i a8, a5, 0x120 8e2283: 0b8000 callx8 a8 8e2286: 190086 l32r a9, 4e8218 <_lit4_start+0x218> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:104 8e2289: 285249 l32i a8, a5, 0x124 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:101 8e228c: 09290b addx8 a9, a2, a9 8e228f: 9390 s32i.n a3, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:102 8e2291: 9491 s32i.n a4, a9, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:104 8e2293: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:105 8e2296: d10f retw.n 008e2298 : cmnos_intr_invoke_isr(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:118 8e2298: 6c1004 entry a1, 32 8e229b: 1a0084 l32r a10, 4e8210 <_lit4_start+0x210> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:119 8e229e: c182 movi.n a8, 18 8e22a0: 728b1d bgeu a8, a2, 8e22c1 8e22a3: 190087 l32r a9, 4e821c <_lit4_start+0x21c> 008e22a6 : 8e22a6: 0c0200 memw 8e22a9: 2d9000 l8ui a13, a9, 0 8e22ac: 0c0200 memw 8e22af: 2c9001 l8ui a12, a9, 1 8e22b2: 0c0200 memw 8e22b5: 2b9002 l8ui a11, a9, 2 8e22b8: 0c0200 memw 8e22bb: 299003 l8ui a9, a9, 3 8e22be: 0c0200 memw 8e22c1: 1c0086 l32r a12, 4e8218 <_lit4_start+0x218> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:120 8e22c4: 0c2c0b addx8 a12, a2, a12 8e22c7: 8bc0 l32i.n a11, a12, 0 8e22c9: ceb1 bnez.n a11, 8e22ee 8e22cb: 2dad01 addmi a13, a10, 0x100 8e22ce: 2ddc7f addi a13, a13, 127 8e22d1: b2dd addi.n a13, a13, 2 008e22d3 : 8e22d3: 0c0200 memw 8e22d6: 28d000 l8ui a8, a13, 0 8e22d9: 0c0200 memw 8e22dc: 2fd001 l8ui a15, a13, 1 8e22df: 0c0200 memw 8e22e2: 2ed002 l8ui a14, a13, 2 8e22e5: 0c0200 memw 8e22e8: 2dd003 l8ui a13, a13, 3 8e22eb: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:124 8e22ee: 8ac1 l32i.n a10, a12, 4 8e22f0: 0bb000 callx8 a11 8e22f3: d2a0 mov.n a2, a10 8e22f5: d10f retw.n 008e22f7 : ... 008e22f8 : cmnos_intr_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:132 8e22f8: 6c1004 entry a1, 32 8e22fb: 18008b l32r a8, 4e822c <_lit4_start+0x22c> 8e22fe: 19008a l32r a9, 4e8228 <_lit4_start+0x228> 8e2301: 1a0089 l32r a10, 4e8224 <_lit4_start+0x224> 8e2304: 1b0088 l32r a11, 4e8220 <_lit4_start+0x220> 8e2307: 13008c l32r a3, 4e8230 <_lit4_start+0x230> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:137 8e230a: 9325 s32i.n a3, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:136 8e230c: 9824 s32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:135 8e230e: 9926 s32i.n a9, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:134 8e2310: 9a21 s32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:133 8e2312: 9b20 s32i.n a11, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/intr/src/cmnos_intr.c:148 8e2314: d10f retw.n 008e2316 : ... 008e2318 : cmnos_mem_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:10 8e2318: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:11 8e231b: d10f retw.n 008e231d : 8e231d: 000000 ... 008e2320 : cmnos_mem_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:22 8e2320: 6c1004 entry a1, 32 8e2323: 180090 l32r a8, 4e8240 <_lit4_start+0x240> 8e2326: 19008f l32r a9, 4e823c <_lit4_start+0x23c> 8e2329: 1a008e l32r a10, 4e8238 <_lit4_start+0x238> 8e232c: 1b008d l32r a11, 4e8234 <_lit4_start+0x234> 8e232f: 130091 l32r a3, 4e8244 <_lit4_start+0x244> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:27 8e2332: 9324 s32i.n a3, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:26 8e2334: 9823 s32i.n a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:25 8e2336: 9922 s32i.n a9, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:24 8e2338: 9a21 s32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:23 8e233a: 9b20 s32i.n a11, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/mem/src/cmnos_mem.c:28 8e233c: d10f retw.n 008e233e : ... 008e2340 : cmnos_system_reset(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:21 8e2340: 6c1004 entry a1, 32 8e2343: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> 8e2346: 120092 l32r a2, 4e8248 <_lit4_start+0x248> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:26 8e2349: 0c0200 memw 8e234c: 223684 s32i a2, a3, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:27 8e234f: d10f retw.n 008e2351 : 8e2351: 000000 ... 008e2354 : cmnos_mac_reset(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:44 8e2354: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:49 8e2357: d10f retw.n 008e2359 : 8e2359: 000000 ... 008e235c : cmnos_misaligned_load_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:58 8e235c: 6c1004 entry a1, 32 8e235f: 180093 l32r a8, 4e824c <_lit4_start+0x24c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:60 8e2362: 8c23 l32i.n a12, a2, 12 8e2364: 190094 l32r a9, 4e8250 <_lit4_start+0x250> 8e2367: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e236a: 08c801 and a8, a12, a8 8e236d: 79890e bne a8, a9, 8e237f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:66 8e2370: da20 mov.n a10, a2 8e2372: 883a l32i.n a8, a3, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:65 8e2374: 0c494c extui a9, a12, 4, 13 8e2377: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:66 8e2379: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:65 8e237c: 600017 j 8e2397 008e237f : 8e237f: 1a0095 l32r a10, 4e8254 <_lit4_start+0x254> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:70 8e2382: 283212 l32i a8, a3, 72 8e2385: 8b22 l32i.n a11, a2, 8 8e2387: dd20 mov.n a13, a2 8e2389: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:72 8e238c: da20 mov.n a10, a2 8e238e: 883a l32i.n a8, a3, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:71 8e2390: c090 movi.n a9, 0 8e2392: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:72 8e2394: 0b8000 callx8 a8 8e2397: 1b0037 l32r a11, 4e80dc <_lit4_start+0xdc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:76 8e239a: c0c3 movi.n a12, 3 8e239c: 0c0200 memw 8e239f: 2cb682 s32i a12, a11, 0x208 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:77 8e23a2: c1a0 movi.n a10, 16 8e23a4: 0c0200 memw 8e23a7: 2ab683 s32i a10, a11, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:79 8e23aa: 63fffc j 8e23aa 008e23ad : 8e23ad: 000000 ... 008e23b0 : cmnos_assfail(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:90 8e23b0: 6c1004 entry a1, 32 8e23b3: 190096 l32r a9, 4e8258 <_lit4_start+0x258> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:91 8e23b6: 8890 l32i.n a8, a9, 0 8e23b8: 1a0097 l32r a10, 4e825c <_lit4_start+0x25c> 8e23bb: 65805b bnez a8, 8e241a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:94 8e23be: 9290 s32i.n a2, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:96 8e23c0: 9b20 s32i.n a11, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:98 8e23c2: 8aa1 l32i.n a10, a10, 4 8e23c4: 64a052 beqz a10, 8e241a 8e23c7: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e23ca: 1a0098 l32r a10, 4e8260 <_lit4_start+0x260> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:102 8e23cd: 8b22 l32i.n a11, a2, 8 8e23cf: 283212 l32i a8, a3, 72 8e23d2: 8c21 l32i.n a12, a2, 4 8e23d4: dd20 mov.n a13, a2 8e23d6: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:107 8e23d9: 283212 l32i a8, a3, 72 8e23dc: dbc0 mov.n a11, a12 8e23de: 1a0099 l32r a10, 4e8264 <_lit4_start+0x264> 8e23e1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:110 8e23e4: 283212 l32i a8, a3, 72 8e23e7: 1a009a l32r a10, 4e8268 <_lit4_start+0x268> 8e23ea: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:111 8e23ed: c040 movi.n a4, 0 8e23ef: c254 movi.n a5, 36 008e23f1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:112 8e23f1: 040941 extui a9, a4, 0, 2 8e23f4: cc97 bnez.n a9, 8e23ff /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:113 8e23f6: 283212 l32i a8, a3, 72 8e23f9: 1a009b l32r a10, 4e826c <_lit4_start+0x26c> 008e23fc : 8e23fc: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:115 8e23ff: 283212 l32i a8, a3, 72 8e2402: 1a009c l32r a10, 4e8270 <_lit4_start+0x270> 8e2405: 8b20 l32i.n a11, a2, 0 8e2407: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:117 8e240a: b422 addi.n a2, a2, 4 8e240c: b144 addi.n a4, a4, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:111 8e240e: 7549df bne a4, a5, 8e23f1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:118 8e2411: 283212 l32i a8, a3, 72 8e2414: 1a009b l32r a10, 4e826c <_lit4_start+0x26c> 008e2417 : 8e2417: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:127 8e241a: d10f retw.n 008e241c : cmnos_report_failure_to_host(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:134 8e241c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:136 8e241f: d10f retw.n 008e2421 : 8e2421: 000000 ... 008e2424 : cmnos_target_id_get(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:143 8e2424: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:145 8e2427: d10f retw.n 008e2429 : 8e2429: 000000 ... 008e242c : cmnos_get_kbhit(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:152 8e242c: 6c1006 entry a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:156 8e242f: 58114a call8 8e6958 8e2432: 18002d l32r a8, 4e80b4 <_lit4_start+0xb4> 8e2435: d3a0 mov.n a3, a10 8e2437: 140018 l32r a4, 4e8060 <_lit4_start+0x60> 8e243a: 082228 mull a2, a2, a8 8e243d: 600008 j 8e2449 008e2440 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:162 8e2440: 581145 call8 8e6958 8e2443: 03a90c sub a9, a10, a3 8e2446: 729b0a bgeu a9, a2, 8e2454 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:159 8e2449: 284215 l32i a8, a4, 84 8e244c: da10 mov.n a10, a1 8e244e: 0b8000 callx8 a8 8e2451: 64afeb beqz a10, 8e2440 008e2454 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:168 8e2454: 221000 l8ui a2, a1, 0 8e2457: d10f retw.n 008e2459 : 8e2459: 000000 ... 008e245c : cmnos_is_host_present(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:176 8e245c: 6c1004 entry a1, 32 8e245f: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:187 8e2462: 0c0200 memw 8e2465: 233285 l32i a3, a3, 0x214 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:201 8e2468: c082 movi.n a8, 2 8e246a: c023 movi.n a2, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:199 8e246c: 032440 extui a4, a3, 2, 1 8e246f: 7c3704 bbci a3, 28, 8e2477 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:201 8e2472: 048239 movnez a2, a8, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:215 8e2475: d10f retw.n 008e2477 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:208 8e2477: d240 mov.n a2, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:215 8e2479: d10f retw.n 008e247b : ... 008e247c : cmnos_rom_version_get(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:224 8e247c: 6c1004 entry a1, 32 8e247f: 12009d l32r a2, 4e8274 <_lit4_start+0x274> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:227 8e2482: 222106 l16ui a2, a2, 12 8e2485: d10f retw.n 008e2487 : ... 008e2488 : cmnos_misc_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:235 8e2488: 6c1004 entry a1, 32 8e248b: 1800a4 l32r a8, 4e8290 <_lit4_start+0x290> 8e248e: 1900a3 l32r a9, 4e828c <_lit4_start+0x28c> 8e2491: 1a00a2 l32r a10, 4e8288 <_lit4_start+0x288> 8e2494: 1b00a1 l32r a11, 4e8284 <_lit4_start+0x284> 8e2497: 1c00a0 l32r a12, 4e8280 <_lit4_start+0x280> 8e249a: 1d009f l32r a13, 4e827c <_lit4_start+0x27c> 8e249d: 1e009e l32r a14, 4e8278 <_lit4_start+0x278> 8e24a0: 1300a5 l32r a3, 4e8294 <_lit4_start+0x294> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:244 8e24a3: 9328 s32i.n a3, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:243 8e24a5: 9827 s32i.n a8, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:242 8e24a7: 9926 s32i.n a9, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:240 8e24a9: 9a24 s32i.n a10, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:239 8e24ab: 9b23 s32i.n a11, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:238 8e24ad: 9c22 s32i.n a12, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:237 8e24af: 9d21 s32i.n a13, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:236 8e24b1: 9e20 s32i.n a14, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/misc/src/cmnos_misc.c:245 8e24b3: d10f retw.n 008e24b5 : 8e24b5: 000000 ... 008e24b8 : cmnos_write_char(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:59 8e24b8: 6c1004 entry a1, 32 8e24bb: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:60 8e24be: 692911 bnei a2, 10, 8e24d3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:61 8e24c1: 283214 l32i a8, a3, 80 8e24c4: c0ad movi.n a10, 13 8e24c6: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:62 8e24c9: 283214 l32i a8, a3, 80 8e24cc: c0aa movi.n a10, 10 8e24ce: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:67 8e24d1: d10f retw.n 008e24d3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:63 8e24d3: c09d movi.n a9, 13 8e24d5: 792107 beq a2, a9, 8e24e0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:65 8e24d8: 283214 l32i a8, a3, 80 8e24db: da20 mov.n a10, a2 008e24dd : 8e24dd: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:67 8e24e0: d10f retw.n 008e24e2 : ... 008e24e4 <_cvt>: _cvt(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:72 8e24e4: 6c100e entry a1, 112 8e24e7: cc2a bnez.n a2, 8e24f5 <_cvt+0x11> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:79 8e24e9: d810 mov.n a8, a1 8e24eb: c360 movi.n a6, 48 8e24ed: 268400 s8i a6, a8, 0 8e24f0: b116 addi.n a6, a1, 1 8e24f2: 60001e j 8e2514 <_cvt+0x30> 008e24f5 <_cvt+0x11>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 8e24f5: d610 mov.n a6, a1 008e24f7 <_cvt+0x13>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 8e24f7: da20 mov.n a10, a2 8e24f9: db40 mov.n a11, a4 8e24fb: 581168 call8 8e6a9c <__umodsi3> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 8e24fe: db40 mov.n a11, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 8e2500: aa59 add.n a9, a5, a10 8e2502: 299000 l8ui a9, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 8e2505: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:82 8e2507: 296400 s8i a9, a6, 0 8e250a: b166 addi.n a6, a6, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:83 8e250c: 58114f call8 8e6a4c <__udivsi3> 8e250f: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 8e2511: 65afe2 bnez a10, 8e24f7 <_cvt+0x13> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:90 8e2514: c0d0 movi.n a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:81 8e2516: da10 mov.n a10, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:86 8e2518: 7a6115 beq a6, a10, 8e2531 <_cvt+0x4d> 8e251b: 0a6b0c sub a11, a6, a10 8e251e: 0bb202 or a2, a11, a11 8e2521: 6db909 loopnez a11, 8e252e <_cvt+0x4a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:87 8e2524: b066 addi.n a6, a6, -1 8e2526: 2c6000 l8ui a12, a6, 0 8e2529: 2c3400 s8i a12, a3, 0 8e252c: b133 addi.n a3, a3, 1 008e252e <_cvt+0x4a>: 8e252e: 600001 j 8e2533 <_cvt+0x4f> 008e2531 <_cvt+0x4d>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:86 8e2531: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:90 8e2533: 2d3400 s8i a13, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:91 8e2536: d10f retw.n 008e2538 : cmnos_vprintf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:96 8e2538: 6c100e entry a1, 112 8e253b: d950 mov.n a9, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:102 8e253d: dd10 mov.n a13, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:96 8e253f: da40 mov.n a10, a4 8e2541: 261611 s32i a6, a1, 68 8e2544: 221613 s32i a2, a1, 76 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:102 8e2547: c060 movi.n a6, 0 8e2549: c020 movi.n a2, 0 8e254b: c040 movi.n a4, 0 8e254d: 9d18 s32i.n a13, a1, 32 8e254f: 9a1b s32i.n a10, a1, 44 8e2551: 991a s32i.n a9, a1, 40 008e2553 : 8e2553: 251213 l32i a5, a1, 76 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:104 8e2556: b133 addi.n a3, a3, 1 8e2558: 273dff addmi a7, a3, 0xffffff00 8e255b: 2770ff l8ui a7, a7, 255 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:105 8e255e: c285 movi.n a8, 37 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:104 8e2560: 6473c4 beqz a7, 8e2928 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:105 8e2563: 787915 bne a7, a8, 8e257c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:106 8e2566: 273000 l8ui a7, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:108 8e2569: c29d movi.n a9, 45 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:106 8e256b: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:108 8e256d: 797932 bne a7, a9, 8e25a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:109 8e2570: 273000 l8ui a7, a3, 0 8e2573: c0a1 movi.n a10, 1 8e2575: b133 addi.n a3, a3, 1 8e2577: 9a1d s32i.n a10, a1, 52 8e2579: 60002a j 8e25a7 008e257c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:284 8e257c: da70 mov.n a10, a7 8e257e: 0b5000 callx8 a5 8e2581: 600019 j 8e259e 008e2584 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:220 8e2584: c1c8 movi.n a12, 24 8e2586: 7bc204 blt a12, a11, 8e258e 8e2589: c2d4 movi.n a13, 36 008e258b : 8e258b: 2d1611 s32i a13, a1, 68 8e258e: 8b1b l32i.n a11, a1, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:221 8e2590: 2a1211 l32i a10, a1, 68 8e2593: abaa add.n a10, a10, a11 8e2595: 2aadff addmi a10, a10, 0xffffff00 8e2598: 2aa0ff l8ui a10, a10, 255 8e259b: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:222 8e259e: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:223 8e25a0: 63ffb2 j 8e2556 008e25a3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:109 8e25a3: c0b0 movi.n a11, 0 8e25a5: 9b1d s32i.n a11, a1, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:112 8e25a7: c3c0 movi.n a12, 48 8e25a9: 7c790b bne a7, a12, 8e25b8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:114 8e25ac: 273000 l8ui a7, a3, 0 8e25af: c0d1 movi.n a13, 1 8e25b1: b133 addi.n a3, a3, 1 8e25b3: 9d1e s32i.n a13, a1, 56 8e25b5: 600003 j 8e25bc 008e25b8 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:116 8e25b8: c0e0 movi.n a14, 0 8e25ba: 9e1e s32i.n a14, a1, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:118 8e25bc: 2f7cd0 addi a15, a7, -48 8e25bf: 0f0f47 extui a15, a15, 0, 8 8e25c2: 6ff923 bgeui a15, 10, 8e25e9 8e25c5: c080 movi.n a8, 0 8e25c7: 981c s32i.n a8, a1, 48 008e25c9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:119 8e25c9: 891c l32i.n a9, a1, 48 8e25cb: 099b0a addx4 a11, a9, a9 8e25ce: 07bb09 addx2 a11, a11, a7 8e25d1: 29bcd0 addi a9, a11, -48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:120 8e25d4: 273000 l8ui a7, a3, 0 8e25d7: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:119 8e25d9: 991c s32i.n a9, a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:118 8e25db: 2a7cd0 addi a10, a7, -48 8e25de: 0a0a47 extui a10, a10, 0, 8 8e25e1: 6ea9e4 bltui a10, 10, 8e25c9 8e25e4: 991c s32i.n a9, a1, 48 8e25e6: 600003 j 8e25ed 008e25e9 : 8e25e9: c0c0 movi.n a12, 0 8e25eb: 9c1c s32i.n a12, a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:133 8e25ed: 290a6c movi a9, 108 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:122 8e25f0: c2de movi.n a13, 46 8e25f2: 7d7921 bne a7, a13, 8e2617 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:123 8e25f5: 273000 l8ui a7, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:124 8e25f8: 8f1e l32i.n a15, a1, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:123 8e25fa: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 8e25fc: 2e7cd0 addi a14, a7, -48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:124 8e25ff: b1ff addi.n a15, a15, 1 8e2601: 9f1e s32i.n a15, a1, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 8e2603: 0e0e47 extui a14, a14, 0, 8 8e2606: 6fe90d bgeui a14, 10, 8e2617 008e2609 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:127 8e2609: 273000 l8ui a7, a3, 0 8e260c: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:125 8e260e: 287cd0 addi a8, a7, -48 8e2611: 080847 extui a8, a8, 0, 8 8e2614: 6e89f1 bltui a8, 10, 8e2609 008e2617 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 8e2617: c4c2 movi.n a12, 66 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:133 8e2619: 797916 bne a7, a9, 8e2633 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:135 8e261c: 273000 l8ui a7, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 8e261f: c0b1 movi.n a11, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:135 8e2621: b133 addi.n a3, a3, 1 8e2623: 2a7c94 addi a10, a7, -108 8e2626: 65a205 bnez a10, 8e282f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 8e2629: 273000 l8ui a7, a3, 0 8e262c: c0a1 movi.n a10, 1 8e262e: b133 addi.n a3, a3, 1 8e2630: 6001fd j 8e2831 008e2633 : 8e2633: c0a0 movi.n a10, 0 8e2635: c0b0 movi.n a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 8e2637: 7c7902 bne a7, a12, 8e263d 8e263a: 6000ef j 8e272d 8e263d: c4d4 movi.n a13, 68 8e263f: 7d7902 bne a7, a13, 8e2645 8e2642: 6000e7 j 8e272d 8e2645: c5e5 movi.n a14, 85 8e2647: 7e7902 bne a7, a14, 8e264d 8e264a: 6000df j 8e272d 8e264d: c5f8 movi.n a15, 88 8e264f: 7f7902 bne a7, a15, 8e2655 8e2652: 6000d7 j 8e272d 8e2655: 280a62 movi a8, 98 8e2658: 787902 bne a7, a8, 8e265e 8e265b: 6000ce j 8e272d 8e265e: 290a64 movi a9, 100 8e2661: 797902 bne a7, a9, 8e2667 8e2664: 6000c5 j 8e272d 8e2667: 2c0a70 movi a12, 112 8e266a: 7c7902 bne a7, a12, 8e2670 8e266d: 6000ba j 8e272b 8e2670: 2d0a75 movi a13, 117 8e2673: 7d7902 bne a7, a13, 8e2679 8e2676: 6000b3 j 8e272d 8e2679: 2e0a78 movi a14, 120 8e267c: 7e7902 bne a7, a14, 8e2682 8e267f: 6000aa j 8e272d 008e2682 : 8e2682: c0f0 movi.n a15, 0 8e2684: 2f1610 s32i a15, a1, 64 8e2687: 60002c j 8e26b7 008e268a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 8e268a: c188 movi.n a8, 24 8e268c: 7a8204 blt a8, a10, 8e2694 8e268f: c294 movi.n a9, 36 008e2691 : 8e2691: 291611 s32i a9, a1, 68 8e2694: 8a1b l32i.n a10, a1, 44 8e2696: 241211 l32i a4, a1, 68 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:162 8e2699: c484 movi.n a8, 68 8e269b: 2b0a64 movi a11, 100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 8e269e: aa44 add.n a4, a4, a10 8e26a0: 244dff addmi a4, a4, 0xffffff00 8e26a3: 24423f l32i a4, a4, 252 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:162 8e26a6: 7b7102 beq a7, a11, 8e26ac 8e26a9: 7879d5 bne a7, a8, 8e2682 008e26ac : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:163 8e26ac: 674fd2 bgez a4, 8e2682 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:165 8e26af: 040406 neg a4, a4 8e26b2: c29d movi.n a9, 45 8e26b4: 291610 s32i a9, a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e26b7: 2a0a62 movi a10, 98 8e26ba: 7a7a02 bge a7, a10, 8e26c0 8e26bd: 600086 j 8e2747 8e26c0: 2b0a62 movi a11, 98 8e26c3: 77b202 blt a11, a7, 8e26c9 8e26c6: 6000ad j 8e2777 8e26c9: 2c0a73 movi a12, 115 8e26cc: 7c7a02 bge a7, a12, 8e26d2 8e26cf: 60017a j 8e284d 8e26d2: 2d0a73 movi a13, 115 8e26d5: 77d202 blt a13, a7, 8e26db 8e26d8: 6001a1 j 8e287d 8e26db: 2e0a78 movi a14, 120 8e26de: 7e7a02 bge a7, a14, 8e26e4 8e26e1: 600256 j 8e293b 8e26e4: 2f0a78 movi a15, 120 8e26e7: 77fa02 bge a15, a7, 8e26ed 8e26ea: 6001a9 j 8e2897 008e26ed : 8e26ed: 287cbc addi a8, a7, -68 8e26f0: 648236 beqz a8, 8e292a 8e26f3: 297cab addi a9, a7, -85 8e26f6: 649230 beqz a9, 8e292a 8e26f9: 2a7ca8 addi a10, a7, -88 8e26fc: 64a267 beqz a10, 8e2967 8e26ff: 2b7c9c addi a11, a7, -100 8e2702: 64b224 beqz a11, 8e292a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:206 8e2705: 2c0a70 movi a12, 112 8e2708: 7c710e beq a7, a12, 8e271a 8e270b: 2d7c8b addi a13, a7, -117 8e270e: 64d218 beqz a13, 8e292a 8e2711: 2e0a78 movi a14, 120 8e2714: 7e7102 beq a7, a14, 8e271a 8e2717: 60008a j 8e27a5 008e271a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:201 8e271a: da40 mov.n a10, a4 8e271c: db10 mov.n a11, a1 8e271e: c1c0 movi.n a12, 16 8e2720: 1d00a6 l32r a13, 4e8298 <_lit4_start+0x298> 8e2723: 5bff70 call8 8e24e4 <_cvt> 8e2726: d6a0 mov.n a6, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:202 8e2728: 600079 j 8e27a5 008e272b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:178 8e272b: c0b1 movi.n a11, 1 008e272d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:155 8e272d: 64a103 beqz a10, 8e2834 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:156 8e2730: 281211 l32i a8, a1, 68 8e2733: c1f8 movi.n a15, 24 8e2735: da80 mov.n a10, a8 8e2737: b488 addi.n a8, a8, 4 8e2739: 281611 s32i a8, a1, 68 8e273c: 78fa02 bge a15, a8, 8e2742 8e273f: 63ff47 j 8e268a 8e2742: 8a1a l32i.n a10, a1, 40 8e2744: 63ff4e j 8e2696 008e2747 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e2747: c494 movi.n a9, 68 8e2749: 79721a blt a7, a9, 8e2767 8e274c: c4a4 movi.n a10, 68 8e274e: 77aa9b bge a10, a7, 8e26ed 8e2751: c5b5 movi.n a11, 85 8e2753: 7b7a02 bge a7, a11, 8e2759 8e2756: 60011e j 8e2878 8e2759: c5c5 movi.n a12, 85 8e275b: 77ca8e bge a12, a7, 8e26ed 8e275e: 2d7ca8 addi a13, a7, -88 8e2761: 65d132 bnez a13, 8e2897 8e2764: 63ff85 j 8e26ed 008e2767 : 8e2767: c4e2 movi.n a14, 66 8e2769: 7e7a02 bge a7, a14, 8e276f 8e276c: 600136 j 8e28a6 8e276f: c4f2 movi.n a15, 66 8e2771: 77fa02 bge a15, a7, 8e2777 8e2774: 600194 j 8e290c 008e2777 : 8e2777: c29e movi.n a9, 46 8e2779: c0b1 movi.n a11, 1 8e277b: c3c1 movi.n a12, 49 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:226 8e277d: 8d1c l32i.n a13, a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:235 8e277f: de10 mov.n a14, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:227 8e2781: c2a0 movi.n a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:226 8e2783: d6d0 mov.n a6, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:227 8e2785: 0da638 moveqz a6, a10, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:235 8e2788: c0d0 movi.n a13, 0 8e278a: 286cff addi a8, a6, -1 8e278d: 6d8a14 loopgtz a8, 8e27a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:236 8e2790: df90 mov.n a15, a9 8e2792: 00d104 ssl a13 8e2795: 00b81a sll a8, a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:237 8e2798: b1dd addi.n a13, a13, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:236 8e279a: 084801 and a8, a4, a8 8e279d: 08cf39 movnez a15, a12, a8 8e27a0: 2fe400 s8i a15, a14, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:237 8e27a3: b1ee addi.n a14, a14, 1 008e27a5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:208 8e27a5: d910 mov.n a9, a1 8e27a7: 9918 s32i.n a9, a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:248 8e27a9: 8b1c l32i.n a11, a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:252 8e27ab: 8a1e l32i.n a10, a1, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:249 8e27ad: 2d1210 l32i a13, a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:248 8e27b0: 06bb0c sub a11, a11, a6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:250 8e27b3: b0bc addi.n a12, a11, -1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:249 8e27b5: 0dcb39 movnez a11, a12, a13 8e27b8: 9b1f s32i.n a11, a1, 60 8e27ba: c9a4 beqz.n a10, 8e27d2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:254 8e27bc: 2c1210 l32i a12, a1, 64 8e27bf: c8ca beqz.n a12, 8e27cd 8e27c1: dac0 mov.n a10, a12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:255 8e27c3: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:256 8e27c6: b122 addi.n a2, a2, 1 8e27c8: c0d0 movi.n a13, 0 008e27ca : 8e27ca: 2d1610 s32i a13, a1, 64 8e27cd: c370 movi.n a7, 48 8e27cf: 600001 j 8e27d4 008e27d2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:260 8e27d2: c270 movi.n a7, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:262 8e27d4: 8e1d l32i.n a14, a1, 52 8e27d6: 891f l32i.n a9, a1, 60 8e27d8: cde9 bnez.n a14, 8e27f5 8e27da: b199 addi.n a9, a9, 1 8e27dc: 600008 j 8e27e8 008e27df : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:264 8e27df: da70 mov.n a10, a7 8e27e1: 0b5000 callx8 a5 8e27e4: 8919 l32i.n a9, a1, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:265 8e27e6: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:263 8e27e8: 8a1f l32i.n a10, a1, 60 8e27ea: b099 addi.n a9, a9, -1 8e27ec: 9919 s32i.n a9, a1, 36 8e27ee: b0aa addi.n a10, a10, -1 8e27f0: 9a1f s32i.n a10, a1, 60 8e27f2: 6b91e9 bgei a9, 1, 8e27df 008e27f5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:268 8e27f5: 2b1210 l32i a11, a1, 64 8e27f8: 8718 l32i.n a7, a1, 32 8e27fa: c8b5 beqz.n a11, 8e2803 8e27fc: dab0 mov.n a10, a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:269 8e27fe: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:270 8e2801: b122 addi.n a2, a2, 1 008e2803 : 8e2803: b169 addi.n a9, a6, 1 8e2805: 60000c j 8e2815 008e2808 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:273 8e2808: 2a7000 l8ui a10, a7, 0 8e280b: b177 addi.n a7, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:274 8e280d: 0b5000 callx8 a5 8e2810: 291212 l32i a9, a1, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:275 8e2813: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:272 8e2815: b066 addi.n a6, a6, -1 8e2817: b099 addi.n a9, a9, -1 8e2819: 291612 s32i a9, a1, 72 8e281c: 6b91e8 bgei a9, 1, 8e2808 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:277 8e281f: 8c1d l32i.n a12, a1, 52 8e2821: 9718 s32i.n a7, a1, 32 8e2823: 64cd2f beqz a12, 8e2556 8e2826: 8d1f l32i.n a13, a1, 60 8e2828: b1dd addi.n a13, a13, 1 8e282a: 9d19 s32i.n a13, a1, 36 8e282c: 60008a j 8e28ba 008e282f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:139 8e282f: c0a0 movi.n a10, 0 8e2831: 63fe02 j 8e2637 008e2834 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:157 8e2834: 64b10c beqz a11, 8e2944 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:158 8e2837: 2f1211 l32i a15, a1, 68 8e283a: c1b8 movi.n a11, 24 8e283c: c1e8 movi.n a14, 24 8e283e: daf0 mov.n a10, a15 8e2840: b4ff addi.n a15, a15, 4 8e2842: 2f1611 s32i a15, a1, 68 8e2845: 7fe27d blt a14, a15, 8e28c6 8e2848: 8a1a l32i.n a10, a1, 40 8e284a: 63fe48 j 8e2696 008e284d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e284d: 280a64 movi a8, 100 8e2850: 78723d blt a7, a8, 8e2891 8e2853: 290a64 movi a9, 100 8e2856: 779202 blt a9, a7, 8e285c 8e2859: 63fe90 j 8e26ed 8e285c: 2a0a70 movi a10, 112 8e285f: 7a7934 bne a7, a10, 8e2897 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:182 8e2862: c3a0 movi.n a10, 48 8e2864: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:183 8e2867: 2a0a78 movi a10, 120 8e286a: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:185 8e286d: c0b8 movi.n a11, 8 8e286f: c0c1 movi.n a12, 1 8e2871: 9c1e s32i.n a12, a1, 56 8e2873: 9b1c s32i.n a11, a1, 48 8e2875: 63fe74 j 8e26ed 008e2878 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e2878: c5d3 movi.n a13, 83 8e287a: 7d7919 bne a7, a13, 8e2897 008e287d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:211 8e287d: 2f1211 l32i a15, a1, 68 8e2880: c1e8 movi.n a14, 24 8e2882: dbf0 mov.n a11, a15 8e2884: b4ff addi.n a15, a15, 4 8e2886: 2f1611 s32i a15, a1, 68 8e2889: 7fe246 blt a14, a15, 8e28d3 8e288c: 891a l32i.n a9, a1, 40 8e288e: 60004d j 8e28df 008e2891 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e2891: 280a63 movi a8, 99 8e2894: 787179 beq a7, a8, 8e2911 008e2897 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:244 8e2897: c2a5 movi.n a10, 37 8e2899: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:245 8e289c: da70 mov.n a10, a7 8e289e: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:246 8e28a1: b222 addi.n a2, a2, 2 8e28a3: 63ff02 j 8e27a9 008e28a6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e28a6: c295 movi.n a9, 37 8e28a8: 7979eb bne a7, a9, 8e2897 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:241 8e28ab: c2a5 movi.n a10, 37 8e28ad: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:242 8e28b0: 63fef5 j 8e27a9 008e28b3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:279 8e28b3: c2a0 movi.n a10, 32 8e28b5: 0b5000 callx8 a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:280 8e28b8: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:278 8e28ba: 8a19 l32i.n a10, a1, 36 8e28bc: b0aa addi.n a10, a10, -1 8e28be: 9a19 s32i.n a10, a1, 36 8e28c0: 6ba1ef bgei a10, 1, 8e28b3 8e28c3: 63fc8f j 8e2556 008e28c6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:158 8e28c6: 7ab204 blt a11, a10, 8e28ce 8e28c9: c2c4 movi.n a12, 36 008e28cb : 8e28cb: 2c1611 s32i a12, a1, 68 8e28ce: 8a1b l32i.n a10, a1, 44 8e28d0: 63fdc2 j 8e2696 008e28d3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:211 8e28d3: c1d8 movi.n a13, 24 8e28d5: 7bd204 blt a13, a11, 8e28dd 8e28d8: c2e4 movi.n a14, 36 008e28da : 8e28da: 2e1611 s32i a14, a1, 68 8e28dd: 891b l32i.n a9, a1, 44 8e28df: 2f1211 l32i a15, a1, 68 8e28e2: a9ff add.n a15, a15, a9 8e28e4: 2ffdff addmi a15, a15, 0xffffff00 8e28e7: 2ff23f l32i a15, a15, 252 8e28ea: 9f18 s32i.n a15, a1, 32 8e28ec: ccf3 bnez.n a15, 8e28f3 8e28ee: 1800a7 l32r a8, 4e829c <_lit4_start+0x29c> 8e28f1: 9818 s32i.n a8, a1, 32 008e28f3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:216 8e28f3: 8918 l32i.n a9, a1, 32 8e28f5: 299000 l8ui a9, a9, 0 8e28f8: c060 movi.n a6, 0 8e28fa: 64907a beqz a9, 8e2978 8e28fd: 8918 l32i.n a9, a1, 32 008e28ff : 8e28ff: 2a9001 l8ui a10, a9, 1 8e2902: b166 addi.n a6, a6, 1 8e2904: b199 addi.n a9, a9, 1 8e2906: 65aff5 bnez a10, 8e28ff 8e2909: 63fe9c j 8e27a9 008e290c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:247 8e290c: c4b3 movi.n a11, 67 8e290e: 7b7985 bne a7, a11, 8e2897 008e2911 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:220 8e2911: 2d1211 l32i a13, a1, 68 8e2914: c1c8 movi.n a12, 24 8e2916: dbd0 mov.n a11, a13 8e2918: b4dd addi.n a13, a13, 4 8e291a: 2d1611 s32i a13, a1, 68 8e291d: 7dca02 bge a12, a13, 8e2923 8e2920: 63fc60 j 8e2584 8e2923: 8b1a l32i.n a11, a1, 40 8e2925: 63fc67 j 8e2590 008e2928 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:288 8e2928: d10f retw.n 008e292a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:197 8e292a: da40 mov.n a10, a4 8e292c: db10 mov.n a11, a1 8e292e: c0ca movi.n a12, 10 8e2930: 1d00a8 l32r a13, 4e82a0 <_lit4_start+0x2a0> 8e2933: 5bfeec call8 8e24e4 <_cvt> 8e2936: d6a0 mov.n a6, a10 8e2938: 63fe69 j 8e27a5 008e293b : 8e293b: 2e7c8b addi a14, a7, -117 8e293e: 65ef55 bnez a14, 8e2897 8e2941: 63fda8 j 8e26ed 008e2944 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:160 8e2944: 281211 l32i a8, a1, 68 8e2947: c1f8 movi.n a15, 24 8e2949: da80 mov.n a10, a8 8e294b: b488 addi.n a8, a8, 4 8e294d: 281611 s32i a8, a1, 68 8e2950: 78f204 blt a15, a8, 8e2958 8e2953: 8a1a l32i.n a10, a1, 40 8e2955: 63fd3d j 8e2696 008e2958 : 8e2958: c198 movi.n a9, 24 8e295a: 7a9204 blt a9, a10, 8e2962 8e295d: c2a4 movi.n a10, 36 008e295f : 8e295f: 2a1611 s32i a10, a1, 68 8e2962: 8a1b l32i.n a10, a1, 44 8e2964: 63fd2e j 8e2696 008e2967 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:204 8e2967: da40 mov.n a10, a4 8e2969: db10 mov.n a11, a1 8e296b: c1c0 movi.n a12, 16 8e296d: 1d00a9 l32r a13, 4e82a4 <_lit4_start+0x2a4> 8e2970: 5bfedc call8 8e24e4 <_cvt> 8e2973: d6a0 mov.n a6, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:205 8e2975: 63fe2c j 8e27a5 008e2978 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:216 8e2978: c060 movi.n a6, 0 8e297a: 63fe2b j 8e27a9 008e297d : 8e297d: 000000 ... 008e2980 : cmnos_printf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:292 8e2980: 6c100a entry a1, 80 8e2983: db20 mov.n a11, a2 8e2985: 9214 s32i.n a2, a1, 16 8e2987: 9416 s32i.n a4, a1, 24 8e2989: 9517 s32i.n a5, a1, 28 8e298b: 9618 s32i.n a6, a1, 32 8e298d: 9719 s32i.n a7, a1, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:300 8e298f: de10 mov.n a14, a1 8e2991: 1a00aa l32r a10, 4e82a8 <_lit4_start+0x2a8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:292 8e2994: 9315 s32i.n a3, a1, 20 8e2996: 2f1c30 addi a15, a1, 48 8e2999: 2d1c10 addi a13, a1, 16 8e299c: 9d11 s32i.n a13, a1, 4 8e299e: 9f10 s32i.n a15, a1, 0 8e29a0: c034 movi.n a3, 4 8e29a2: 9312 s32i.n a3, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:300 8e29a4: 8aa0 l32i.n a10, a10, 0 8e29a6: 8ce0 l32i.n a12, a14, 0 8e29a8: 8de1 l32i.n a13, a14, 4 8e29aa: 8ee2 l32i.n a14, a14, 8 8e29ac: 5bfee2 call8 8e2538 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:307 8e29af: d2a0 mov.n a2, a10 8e29b1: d10f retw.n 008e29b3 : ... 008e29b4 : cmnos_printf_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:312 8e29b4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:313 8e29b7: d10f retw.n 008e29b9 : 8e29b9: 000000 ... 008e29bc : cmnos_printf_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:316 8e29bc: 6c1004 entry a1, 32 8e29bf: 1800ab l32r a8, 4e82ac <_lit4_start+0x2ac> 8e29c2: 1300ac l32r a3, 4e82b0 <_lit4_start+0x2b0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:318 8e29c5: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:317 8e29c7: 9820 s32i.n a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/printf/src/cmnos_printf.c:319 8e29c9: d10f retw.n 008e29cb : ... 008e29cc <_patch_dump>: _patch_dump(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:36 8e29cc: 6c1004 entry a1, 32 8e29cf: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:42 8e29d2: 2b2101 l16ui a11, a2, 2 8e29d5: 8c21 l32i.n a12, a2, 4 8e29d7: 288212 l32i a8, a8, 72 8e29da: 8d22 l32i.n a13, a2, 8 8e29dc: 1a00ad l32r a10, 4e82b4 <_lit4_start+0x2b4> 8e29df: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:43 8e29e2: d10f retw.n 008e29e4 <_read_rom_patch>: _read_rom_patch(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:49 8e29e4: 6c1004 entry a1, 32 8e29e7: 1900ae l32r a9, 4e82b8 <_lit4_start+0x2b8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:60 8e29ea: 8321 l32i.n a3, a2, 4 8e29ec: 1800af l32r a8, 4e82bc <_lit4_start+0x2bc> 8e29ef: 140018 l32r a4, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:61 8e29f2: a939 add.n a9, a3, a9 8e29f4: 798b0e bgeu a8, a9, 8e2a06 <_read_rom_patch+0x22> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:64 8e29f7: 284212 l32i a8, a4, 72 8e29fa: db30 mov.n a11, a3 8e29fc: 1a00b0 l32r a10, 4e82c0 <_lit4_start+0x2c0> 8e29ff: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:65 8e2a02: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:81 8e2a04: d10f retw.n 008e2a06 <_read_rom_patch+0x22>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:68 8e2a06: da20 mov.n a10, a2 8e2a08: 5bfff0 call8 8e29cc <_patch_dump> 8e2a0b: 1a00b1 l32r a10, 4e82c4 <_lit4_start+0x2c4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:70 8e2a0e: 2b2101 l16ui a11, a2, 2 8e2a11: 284212 l32i a8, a4, 72 8e2a14: bc2c addi.n a12, a2, 12 8e2a16: dd30 mov.n a13, a3 8e2a18: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 8e2a1b: 292101 l16ui a9, a2, 2 8e2a1e: c0a0 movi.n a10, 0 8e2a20: ca95 beqz.n a9, 8e2a49 <_read_rom_patch+0x65> 008e2a22 <_read_rom_patch+0x3e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:73 8e2a22: aa3c add.n a12, a3, a10 8e2a24: aa2b add.n a11, a2, a10 8e2a26: 2fb00c l8ui a15, a11, 12 8e2a29: 2fc403 s8i a15, a12, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:74 8e2a2c: 2eb00d l8ui a14, a11, 13 8e2a2f: 2ec402 s8i a14, a12, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:75 8e2a32: 2db00e l8ui a13, a11, 14 8e2a35: 2dc401 s8i a13, a12, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:76 8e2a38: 2bb00f l8ui a11, a11, 15 8e2a3b: 2bc400 s8i a11, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 8e2a3e: 2b2101 l16ui a11, a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:77 8e2a41: b4aa addi.n a10, a10, 4 8e2a43: 0a0a4f extui a10, a10, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:71 8e2a46: 7ba3d8 bltu a10, a11, 8e2a22 <_read_rom_patch+0x3e> 008e2a49 <_read_rom_patch+0x65>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:79 8e2a49: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:81 8e2a4b: d10f retw.n 008e2a4d <_read_rom_patch+0x69>: 8e2a4d: 000000 ... 008e2a50 : cmnos_romp_decode(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:93 8e2a50: 6c1004 entry a1, 32 8e2a53: 1a00b2 l32r a10, 4e82c8 <_lit4_start+0x2c8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 8e2a56: 2aa101 l16ui a10, a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:104 8e2a59: 022b02 or a11, a2, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 8e2a5c: 290a00 movi a9, 0 8e2a5f: 0a1a4f extui a10, a10, 1, 16 8e2a62: 6aa10c blti a10, 1, 8e2a72 8e2a65: 6da906 loopnez a10, 8e2a6f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:109 8e2a68: 8cb0 l32i.n a12, a11, 0 8e2a6a: b4bb addi.n a11, a11, 4 8e2a6c: 09c903 xor a9, a12, a9 008e2a6f : 8e2a6f: 600001 j 8e2a74 008e2a72 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:108 8e2a72: c090 movi.n a9, 0 8e2a74: cd90 bnez.n a9, 8e2a88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:122 8e2a76: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:120 8e2a78: 8322 l32i.n a3, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:122 8e2a7a: 5bffda call8 8e29e4 <_read_rom_patch> 8e2a7d: c8a7 beqz.n a10, 8e2a88 8e2a7f: 1d00b3 l32r a13, 4e82cc <_lit4_start+0x2cc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:127 8e2a82: c021 movi.n a2, 1 8e2a84: 93d0 s32i.n a3, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:144 8e2a86: d10f retw.n 008e2a88 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:114 8e2a88: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:144 8e2a8a: d10f retw.n 008e2a8c : cmnos_romp_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:156 8e2a8c: 6c1004 entry a1, 32 8e2a8f: 1800b3 l32r a8, 4e82cc <_lit4_start+0x2cc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:167 8e2a92: 8880 l32i.n a8, a8, 0 8e2a94: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:169 8e2a97: d10f retw.n 008e2a99 : 8e2a99: 000000 ... 008e2a9c : cmnos_romp_download(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:178 8e2a9c: 6c1006 entry a1, 48 8e2a9f: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e2aa2: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:202 8e2aa4: 283260 l32i a8, a3, 0x180 8e2aa7: c0b2 movi.n a11, 2 8e2aa9: dc10 mov.n a12, a1 8e2aab: 0b8000 callx8 a8 8e2aae: cfad bnez.n a10, 8e2aef 8e2ab0: 1a00b4 l32r a10, 4e82d0 <_lit4_start+0x2d0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:205 8e2ab3: 283212 l32i a8, a3, 72 8e2ab6: 2b1100 l16ui a11, a1, 0 8e2ab9: 2c1101 l16ui a12, a1, 2 8e2abc: 0b8000 callx8 a8 8e2abf: 1900b2 l32r a9, 4e82c8 <_lit4_start+0x2c8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:207 8e2ac2: 2a1100 l16ui a10, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:208 8e2ac5: 2b1101 l16ui a11, a1, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:207 8e2ac8: 2a9500 s16i a10, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:208 8e2acb: 2b9501 s16i a11, a9, 2 8e2ace: c9bd beqz.n a11, 8e2aef 8e2ad0: 1c0070 l32r a12, 4e81c0 <_lit4_start+0x1c0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:212 8e2ad3: aabd add.n a13, a11, a10 8e2ad5: 7ac316 bltu a12, a10, 8e2aef 8e2ad8: 7dc213 blt a12, a13, 8e2aef /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:217 8e2adb: 283260 l32i a8, a3, 0x180 8e2ade: 1c00b5 l32r a12, 4e82d4 <_lit4_start+0x2d4> 8e2ae1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:219 8e2ae4: 283257 l32i a8, a3, 0x15c 8e2ae7: 1a00b5 l32r a10, 4e82d4 <_lit4_start+0x2d4> 8e2aea: 0b8000 callx8 a8 8e2aed: cca2 bnez.n a10, 8e2af3 008e2aef : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:203 8e2aef: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:229 8e2af1: d10f retw.n 008e2af3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:221 8e2af3: 283256 l32i a8, a3, 0x158 8e2af6: 0b8000 callx8 a8 8e2af9: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:229 8e2afb: d10f retw.n 008e2afd : 8e2afd: 000000 ... 008e2b00 : cmnos_romp_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:237 8e2b00: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:240 8e2b03: d10f retw.n 008e2b05 : 8e2b05: 000000 ... 008e2b08 : cmnos_romp_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:244 8e2b08: 6c1004 entry a1, 32 8e2b0b: 1800b8 l32r a8, 4e82e0 <_lit4_start+0x2e0> 8e2b0e: 1900b7 l32r a9, 4e82dc <_lit4_start+0x2dc> 8e2b11: 1a00b6 l32r a10, 4e82d8 <_lit4_start+0x2d8> 8e2b14: 1300b9 l32r a3, 4e82e4 <_lit4_start+0x2e4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:248 8e2b17: 9323 s32i.n a3, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:247 8e2b19: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:246 8e2b1b: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:245 8e2b1d: 9a20 s32i.n a10, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/rompatch/src/cmnos_rompatch.c:250 8e2b1f: d10f retw.n 008e2b21 : 8e2b21: 000000 ... 008e2b24 : cmnos_string_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:13 8e2b24: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:14 8e2b27: d10f retw.n 008e2b29 : 8e2b29: 000000 ... 008e2b2c : cmnos_string_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:18 8e2b2c: 6c1004 entry a1, 32 8e2b2f: 1800be l32r a8, 4e82f8 <_lit4_start+0x2f8> 8e2b32: 1900bd l32r a9, 4e82f4 <_lit4_start+0x2f4> 8e2b35: 1a00bc l32r a10, 4e82f0 <_lit4_start+0x2f0> 8e2b38: 1b00bb l32r a11, 4e82ec <_lit4_start+0x2ec> 8e2b3b: 1c00ba l32r a12, 4e82e8 <_lit4_start+0x2e8> 8e2b3e: 1300bf l32r a3, 4e82fc <_lit4_start+0x2fc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:24 8e2b41: 9325 s32i.n a3, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:23 8e2b43: 9824 s32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:22 8e2b45: 9923 s32i.n a9, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:21 8e2b47: 9a22 s32i.n a10, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:20 8e2b49: 9b21 s32i.n a11, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:19 8e2b4b: 9c20 s32i.n a12, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/string/src/cmnos_string.c:25 8e2b4d: d10f retw.n 008e2b4f : ... 008e2b50 : cmnos_tasklet_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:17 8e2b50: 6c1004 entry a1, 32 8e2b53: 1300c0 l32r a3, 4e8300 <_lit4_start+0x300> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:19 8e2b56: c020 movi.n a2, 0 8e2b58: 9230 s32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:20 8e2b5a: d10f retw.n 008e2b5c : cmnos_tasklet_init_task(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:24 8e2b5c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:25 8e2b5f: 9240 s32i.n a2, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:24 8e2b61: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:26 8e2b63: 9341 s32i.n a3, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:27 8e2b65: 9543 s32i.n a5, a4, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:28 8e2b67: 9542 s32i.n a5, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:29 8e2b69: d10f retw.n 008e2b6b : ... 008e2b6c : cmnos_tasklet_schedule(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:33 8e2b6c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:34 8e2b6f: 8322 l32i.n a3, a2, 8 8e2b71: 1500c0 l32r a5, 4e8300 <_lit4_start+0x300> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:38 8e2b74: c081 movi.n a8, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:34 8e2b76: 683109 beqi a3, 1, 8e2b83 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:39 8e2b79: 8450 l32i.n a4, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:38 8e2b7b: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:42 8e2b7d: 9250 s32i.n a2, a5, 0 8e2b7f: c840 beqz.n a4, 8e2b83 8e2b81: 9423 s32i.n a4, a2, 12 008e2b83 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:45 8e2b83: d10f retw.n 008e2b85 : 8e2b85: 000000 ... 008e2b88 : cmnos_tasklet_disable(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:49 8e2b88: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:53 8e2b8b: 8322 l32i.n a3, a2, 8 8e2b8d: 1700c0 l32r a7, 4e8300 <_lit4_start+0x300> 8e2b90: 693107 bnei a3, 1, 8e2b9b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:57 8e2b93: 8570 l32i.n a5, a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:58 8e2b95: c060 movi.n a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:60 8e2b97: c040 movi.n a4, 0 8e2b99: cc50 bnez.n a5, 8e2b9d 008e2b9b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:73 8e2b9b: d10f retw.n 008e2b9d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:59 8e2b9d: 752906 bne a2, a5, 8e2ba7 8e2ba0: cc6d bnez.n a6, 8e2bb1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:61 8e2ba2: 9470 s32i.n a4, a7, 0 8e2ba4: 60000d j 8e2bb5 008e2ba7 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:69 8e2ba7: d650 mov.n a6, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:70 8e2ba9: 8553 l32i.n a5, a5, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:58 8e2bab: 655fee bnez a5, 8e2b9d 8e2bae: 63ffe9 j 8e2b9b 008e2bb1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:63 8e2bb1: 8853 l32i.n a8, a5, 12 8e2bb3: 9863 s32i.n a8, a6, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:66 8e2bb5: 9422 s32i.n a4, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:73 8e2bb7: d10f retw.n 008e2bb9 : 8e2bb9: 000000 ... 008e2bbc : cmnos_tasklet_run(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:77 8e2bbc: 6c1004 entry a1, 32 8e2bbf: 1300c0 l32r a3, 4e8300 <_lit4_start+0x300> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:80 8e2bc2: 8230 l32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:77 8e2bc4: c040 movi.n a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:81 8e2bc6: c052 movi.n a5, 2 8e2bc8: c924 beqz.n a2, 8e2be0 008e2bca : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:85 8e2bca: 9522 s32i.n a5, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 8e2bcc: 8a21 l32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:82 8e2bce: 8923 l32i.n a9, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 8e2bd0: 8820 l32i.n a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:82 8e2bd2: 9930 s32i.n a9, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:83 8e2bd4: 9423 s32i.n a4, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:86 8e2bd6: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:87 8e2bd9: 9422 s32i.n a4, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:89 8e2bdb: 8230 l32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:81 8e2bdd: 652fe9 bnez a2, 8e2bca 008e2be0 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:92 8e2be0: 9430 s32i.n a4, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:93 8e2be2: d10f retw.n 008e2be4 : cmnos_tasklet_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:97 8e2be4: 6c1004 entry a1, 32 8e2be7: 1800c4 l32r a8, 4e8310 <_lit4_start+0x310> 8e2bea: 1900c3 l32r a9, 4e830c <_lit4_start+0x30c> 8e2bed: 1a00c2 l32r a10, 4e8308 <_lit4_start+0x308> 8e2bf0: 1b00c1 l32r a11, 4e8304 <_lit4_start+0x304> 8e2bf3: 1300c5 l32r a3, 4e8314 <_lit4_start+0x314> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:102 8e2bf6: 9324 s32i.n a3, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:101 8e2bf8: 9823 s32i.n a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:100 8e2bfa: 9922 s32i.n a9, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:99 8e2bfc: 9a21 s32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:98 8e2bfe: 9b20 s32i.n a11, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/tasklet/src/cmnos_tasklet.c:103 8e2c00: d10f retw.n 008e2c02 : ... 008e2c04 : cmnos_timer_setfn(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:33 8e2c04: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:38 8e2c07: 9323 s32i.n a3, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:33 8e2c09: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:39 8e2c0b: 9424 s32i.n a4, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:36 8e2c0d: 9520 s32i.n a5, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:37 8e2c0f: 9521 s32i.n a5, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:40 8e2c11: d10f retw.n 008e2c13 : ... 008e2c14 : cmnos_timer_arm(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:46 8e2c14: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:56 8e2c17: 580f50 call8 8e6958 8e2c1a: 1c00c6 l32r a12, 4e8318 <_lit4_start+0x318> 8e2c1d: 1800c7 l32r a8, 4e831c <_lit4_start+0x31c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:59 8e2c20: 89c0 l32i.n a9, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:61 8e2c22: c0b0 movi.n a11, 0 8e2c24: 083828 mull a8, a3, a8 8e2c27: c992 beqz.n a9, 8e2c3d 8e2c29: a8aa add.n a10, a10, a8 008e2c2b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:63 8e2c2b: 8d91 l32i.n a13, a9, 4 8e2c2d: 0dad0c sub a13, a10, a13 8e2c30: 6ad113 blti a13, 1, 8e2c47 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:65 8e2c33: db90 mov.n a11, a9 8e2c35: 8990 l32i.n a9, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:61 8e2c37: 659ff0 bnez a9, 8e2c2b 8e2c3a: 600009 j 8e2c47 008e2c3d : 8e2c3d: 1e00c7 l32r a14, 4e831c <_lit4_start+0x31c> 8e2c40: 0e3e28 mull a14, a3, a14 8e2c43: c0b0 movi.n a11, 0 8e2c45: aeaa add.n a10, a10, a14 008e2c47 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:68 8e2c47: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:69 8e2c49: 9a21 s32i.n a10, a2, 4 8e2c4b: c8b2 beqz.n a11, 8e2c51 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:71 8e2c4d: 92b0 s32i.n a2, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:77 8e2c4f: d10f retw.n 008e2c51 : 8e2c51: dbc0 mov.n a11, a12 8e2c53: 63fff6 j 8e2c4d 008e2c56 : ... 008e2c58 : cmnos_timer_disarm(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:83 8e2c58: 6c1004 entry a1, 32 8e2c5b: 1700c6 l32r a7, 4e8318 <_lit4_start+0x318> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:88 8e2c5e: 8570 l32i.n a5, a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:102 8e2c60: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:90 8e2c62: c060 movi.n a6, 0 8e2c64: c85b beqz.n a5, 8e2c73 008e2c66 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:92 8e2c66: 75210b beq a2, a5, 8e2c75 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:95 8e2c69: d650 mov.n a6, a5 8e2c6b: 8550 l32i.n a5, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:90 8e2c6d: 655ff5 bnez a5, 8e2c66 8e2c70: 600009 j 8e2c7d 008e2c73 : 8e2c73: c060 movi.n a6, 0 008e2c75 : 8e2c75: c854 beqz.n a5, 8e2c7d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:99 8e2c77: 8450 l32i.n a4, a5, 0 8e2c79: c866 beqz.n a6, 8e2c83 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:100 8e2c7b: 9460 s32i.n a4, a6, 0 008e2c7d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:107 8e2c7d: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:108 8e2c7f: 9322 s32i.n a3, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:109 8e2c81: d10f retw.n 008e2c83 : 8e2c83: d670 mov.n a6, a7 8e2c85: 63fff2 j 8e2c7b 008e2c88 : cmnos_timer_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:114 8e2c88: 6c1004 entry a1, 32 8e2c8b: 1300c6 l32r a3, 4e8318 <_lit4_start+0x318> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:115 8e2c8e: c020 movi.n a2, 0 8e2c90: 9230 s32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:116 8e2c92: d10f retw.n 008e2c94 : cmnos_timer_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:121 8e2c94: 6c1004 entry a1, 32 8e2c97: 1200c6 l32r a2, 4e8318 <_lit4_start+0x318> 8e2c9a: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:125 8e2c9c: 8820 l32i.n a8, a2, 0 8e2c9e: c98d beqz.n a8, 8e2cbf 8e2ca0: 580f2d call8 8e6958 8e2ca3: 8c20 l32i.n a12, a2, 0 8e2ca5: 89c1 l32i.n a9, a12, 4 8e2ca7: 0a990c sub a9, a9, a10 8e2caa: 6b9111 bgei a9, 1, 8e2cbf /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:130 8e2cad: dac0 mov.n a10, a12 8e2caf: 88c3 l32i.n a8, a12, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:128 8e2cb1: 89c0 l32i.n a9, a12, 0 8e2cb3: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:129 8e2cb5: 93c0 s32i.n a3, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:130 8e2cb7: 8bc4 l32i.n a11, a12, 16 8e2cb9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:125 8e2cbc: 63ffdc j 8e2c9c 008e2cbf : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:132 8e2cbf: d10f retw.n 008e2cc1 : 8e2cc1: 000000 ... 008e2cc4 : cmnos_timer_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:137 8e2cc4: 6c1004 entry a1, 32 8e2cc7: 1800cb l32r a8, 4e832c <_lit4_start+0x32c> 8e2cca: 1900ca l32r a9, 4e8328 <_lit4_start+0x328> 8e2ccd: 1a00c9 l32r a10, 4e8324 <_lit4_start+0x324> 8e2cd0: 1b00c8 l32r a11, 4e8320 <_lit4_start+0x320> 8e2cd3: 1300cc l32r a3, 4e8330 <_lit4_start+0x330> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:142 8e2cd6: 9324 s32i.n a3, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:141 8e2cd8: 9823 s32i.n a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:140 8e2cda: 9922 s32i.n a9, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:139 8e2cdc: 9a21 s32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:138 8e2cde: 9b20 s32i.n a11, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/timer/src/cmnos_timer.c:143 8e2ce0: d10f retw.n 008e2ce2 : ... 008e2ce4 : cmnos_wdt_last_boot(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:23 8e2ce4: 6c1004 entry a1, 32 8e2ce7: 120015 l32r a2, 4e8054 <_lit4_start+0x54> 8e2cea: 1400cd l32r a4, 4e8334 <_lit4_start+0x334> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:32 8e2ced: 0c0200 memw 8e2cf0: 23227f l32i a3, a2, 0x1fc 8e2cf3: 1900ce l32r a9, 4e8338 <_lit4_start+0x338> 8e2cf6: 743111 beq a3, a4, 8e2d0b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:34 8e2cf9: 0c0200 memw 8e2cfc: 28227f l32i a8, a2, 0x1fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 8e2cff: c052 movi.n a5, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:35 8e2d01: c023 movi.n a2, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:34 8e2d03: 09880c sub a8, a8, a9 8e2d06: 085239 movnez a2, a5, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 8e2d09: d10f retw.n 008e2d0b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:33 8e2d0b: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:37 8e2d0d: d10f retw.n 008e2d0f : ... 008e2d10 : cmnos_wdt_reset(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:55 8e2d10: 6c1004 entry a1, 32 8e2d13: 130037 l32r a3, 4e80dc <_lit4_start+0xdc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:56 8e2d16: c083 movi.n a8, 3 8e2d18: 0c0200 memw 8e2d1b: 283682 s32i a8, a3, 0x208 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:57 8e2d1e: 221a00 movi a2, 0x100 8e2d21: 0c0200 memw 8e2d24: 223683 s32i a2, a3, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:58 8e2d27: 63fffc j 8e2d27 008e2d2a : ... 008e2d2c : cmnos_wdt_set(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:67 8e2d2c: 6c1004 entry a1, 32 8e2d2f: 150037 l32r a5, 4e80dc <_lit4_start+0xdc> 8e2d32: 1600cf l32r a6, 4e833c <_lit4_start+0x33c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:84 8e2d35: 68210f beqi a2, 1, 8e2d48 8e2d38: 692216 bnei a2, 2, 8e2d52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:79 8e2d3b: 6f3413 bgeui a3, 4, 8e2d52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:81 8e2d3e: 9361 s32i.n a3, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:82 8e2d40: 0c0200 memw 8e2d43: 235682 s32i a3, a5, 0x208 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:85 8e2d46: d10f retw.n 008e2d48 : 8e2d48: c836 beqz.n a3, 8e2d52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:73 8e2d4a: 9362 s32i.n a3, a6, 8 008e2d4c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:74 8e2d4c: 0c0200 memw 8e2d4f: 235683 s32i a3, a5, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:85 8e2d52: d10f retw.n 008e2d54 : cmnos_wdt_enable(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:93 8e2d54: 6c1006 entry a1, 48 8e2d57: 1900cf l32r a9, 4e833c <_lit4_start+0x33c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:95 8e2d5a: c081 movi.n a8, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 8e2d5c: db10 mov.n a11, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:97 8e2d5e: c0c2 movi.n a12, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:98 8e2d60: c0d3 movi.n a13, 3 8e2d62: 9d11 s32i.n a13, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:97 8e2d64: 9c10 s32i.n a12, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 8e2d66: 8ab0 l32i.n a10, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:95 8e2d68: 289500 s16i a8, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:99 8e2d6b: 8bb1 l32i.n a11, a11, 4 8e2d6d: 5bffef call8 8e2d2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:101 8e2d70: d10f retw.n 008e2d72 : ... 008e2d74 : cmnos_wdt_disable(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:108 8e2d74: 6c1006 entry a1, 48 8e2d77: 1e00cf l32r a14, 4e833c <_lit4_start+0x33c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 8e2d7a: db10 mov.n a11, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:113 8e2d7c: c0c2 movi.n a12, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:108 8e2d7e: c0d0 movi.n a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:114 8e2d80: 9d11 s32i.n a13, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:113 8e2d82: 9c10 s32i.n a12, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 8e2d84: 8ab0 l32i.n a10, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:111 8e2d86: 2de500 s16i a13, a14, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:115 8e2d89: 8bb1 l32i.n a11, a11, 4 8e2d8b: 5bffe8 call8 8e2d2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:118 8e2d8e: d10f retw.n 008e2d90 : cmnos_wdt_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:126 8e2d90: 6c1006 entry a1, 48 8e2d93: 1200cf l32r a2, 4e833c <_lit4_start+0x33c> 8e2d96: 1800d0 l32r a8, 4e8340 <_lit4_start+0x340> 8e2d99: c090 movi.n a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:130 8e2d9b: 292500 s16i a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:131 8e2d9e: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:132 8e2da0: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:135 8e2da2: 5bffec call8 8e2d54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:139 8e2da5: db10 mov.n a11, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:137 8e2da7: c0c1 movi.n a12, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:138 8e2da9: 8d22 l32i.n a13, a2, 8 8e2dab: 9d11 s32i.n a13, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:137 8e2dad: 9c10 s32i.n a12, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:139 8e2daf: 8ab0 l32i.n a10, a11, 0 8e2db1: 8bb1 l32i.n a11, a11, 4 8e2db3: 5bffde call8 8e2d2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:140 8e2db6: d10f retw.n 008e2db8 : cmnos_wdt_task(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:148 8e2db8: 6c1004 entry a1, 32 8e2dbb: 1400cf l32r a4, 4e833c <_lit4_start+0x33c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:150 8e2dbe: 224100 l16ui a2, a4, 0 8e2dc1: 180037 l32r a8, 4e80dc <_lit4_start+0xdc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:152 8e2dc4: 8342 l32i.n a3, a4, 8 8e2dc6: c824 beqz.n a2, 8e2dce 008e2dc8 : 8e2dc8: 0c0200 memw 8e2dcb: 238683 s32i a3, a8, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:155 8e2dce: d10f retw.n 008e2dd0 : cmnos_wdt_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:159 8e2dd0: 6c1004 entry a1, 32 8e2dd3: 1800d6 l32r a8, 4e8358 <_lit4_start+0x358> 8e2dd6: 1900d5 l32r a9, 4e8354 <_lit4_start+0x354> 8e2dd9: 1a00d4 l32r a10, 4e8350 <_lit4_start+0x350> 8e2ddc: 1b00d3 l32r a11, 4e834c <_lit4_start+0x34c> 8e2ddf: 1c00d2 l32r a12, 4e8348 <_lit4_start+0x348> 8e2de2: 1d00d1 l32r a13, 4e8344 <_lit4_start+0x344> 8e2de5: 1300d7 l32r a3, 4e835c <_lit4_start+0x35c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:166 8e2de8: 9326 s32i.n a3, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:165 8e2dea: 9825 s32i.n a8, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:164 8e2dec: 9924 s32i.n a9, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:163 8e2dee: 9a23 s32i.n a10, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:162 8e2df0: 9b22 s32i.n a11, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:161 8e2df2: 9c21 s32i.n a12, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:160 8e2df4: 9d20 s32i.n a13, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/wdt/src/cmnos_wdt.c:167 8e2df6: d10f retw.n 008e2df8 <_uart_init>: _uart_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:25 8e2df8: 6c1004 entry a1, 32 8e2dfb: 1200d8 l32r a2, 4e8360 <_lit4_start+0x360> 8e2dfe: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:30 8e2e00: 0c0200 memw 8e2e03: 232681 s32i a3, a2, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:34 8e2e06: c093 movi.n a9, 3 8e2e08: 0c0200 memw 8e2e0b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:37 8e2e0e: 28823d l32i a8, a8, 244 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:34 8e2e11: 292683 s32i a9, a2, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:37 8e2e14: 0b8000 callx8 a8 8e2e17: 1b00d9 l32r a11, 4e8364 <_lit4_start+0x364> 8e2e1a: 580040 call8 8e2f1c <_uart_hwinit> 8e2e1d: 1900da l32r a9, 4e8368 <_lit4_start+0x368> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:41 8e2e20: 0c0200 memw 8e2e23: 232681 s32i a3, a2, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:48 8e2e26: c0a7 movi.n a10, 7 8e2e28: 0c0200 memw 8e2e2b: 2a2682 s32i a10, a2, 0x208 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:54 8e2e2e: 239504 s16i a3, a9, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:55 8e2e31: 239505 s16i a3, a9, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:56 8e2e34: d10f retw.n 008e2e36 <_uart_init+0x3e>: ... 008e2e38 <_uart_char_put_nothing>: _uart_char_put_nothing(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:64 8e2e38: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:66 8e2e3b: d10f retw.n 008e2e3d <_uart_char_put_nothing+0x5>: 8e2e3d: 000000 ... 008e2e40 <_uart_char_get_nothing>: _uart_char_get_nothing(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:74 8e2e40: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:75 8e2e43: c020 movi.n a2, 0 8e2e45: d10f retw.n 008e2e47 <_uart_char_get_nothing+0x7>: ... 008e2e48 <_uart_char_put>: _uart_char_put(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:84 8e2e48: 6c1004 entry a1, 32 8e2e4b: 1300db l32r a3, 4e836c <_lit4_start+0x36c> 8e2e4e: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> 8e2e51: 6d3a08 loopgtz a3, 8e2e5d <_uart_char_put+0x15> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:112 8e2e54: 0c0200 memw 8e2e57: 284285 l32i a8, a4, 0x214 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:113 8e2e5a: 7a8fff bbsi a8, 26, 8e2e5d <_uart_char_put+0x15> 008e2e5d <_uart_char_put+0x15>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:119 8e2e5d: 0c0200 memw 8e2e60: 1900db l32r a9, 4e836c <_lit4_start+0x36c> 8e2e63: 224680 s32i a2, a4, 0x200 8e2e66: 6d9a08 loopgtz a9, 8e2e72 <_uart_char_put+0x2a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:128 8e2e69: 0c0200 memw 8e2e6c: 2a4285 l32i a10, a4, 0x214 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:129 8e2e6f: 79afff bbsi a10, 25, 8e2e72 <_uart_char_put+0x2a> 008e2e72 <_uart_char_put+0x2a>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:135 8e2e72: d10f retw.n 008e2e74 <_uart_char_put_nowait>: _uart_char_put_nowait(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:142 8e2e74: 6c1004 entry a1, 32 8e2e77: 1300db l32r a3, 4e836c <_lit4_start+0x36c> 8e2e7a: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> 8e2e7d: 6d3a08 loopgtz a3, 8e2e89 <_uart_char_put_nowait+0x15> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:149 8e2e80: 0c0200 memw 8e2e83: 284285 l32i a8, a4, 0x214 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:150 8e2e86: 7a8fff bbsi a8, 26, 8e2e89 <_uart_char_put_nowait+0x15> 008e2e89 <_uart_char_put_nowait+0x15>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:156 8e2e89: 0c0200 memw 8e2e8c: 1900db l32r a9, 4e836c <_lit4_start+0x36c> 8e2e8f: 224680 s32i a2, a4, 0x200 8e2e92: 6d9a08 loopgtz a9, 8e2e9e <_uart_char_put_nowait+0x2a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:165 8e2e95: 0c0200 memw 8e2e98: 2a4285 l32i a10, a4, 0x214 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:166 8e2e9b: 79afff bbsi a10, 25, 8e2e9e <_uart_char_put_nowait+0x2a> 008e2e9e <_uart_char_put_nowait+0x2a>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:171 8e2e9e: d10f retw.n 008e2ea0 <_uart_char_get>: _uart_char_get(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:179 8e2ea0: 6c1004 entry a1, 32 8e2ea3: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:182 8e2ea6: 0c0200 memw 8e2ea9: 234285 l32i a3, a4, 0x214 8e2eac: 7f3f03 bbsi a3, 31, 8e2eb3 <_uart_char_get+0x13> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:190 8e2eaf: c020 movi.n a2, 0 8e2eb1: d10f retw.n 008e2eb3 <_uart_char_get+0x13>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:184 8e2eb3: 0c0200 memw 8e2eb6: 284280 l32i a8, a4, 0x200 8e2eb9: 282400 s8i a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:185 8e2ebc: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:190 8e2ebe: d10f retw.n 008e2ec0 <_uart_task>: _uart_task(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:199 8e2ec0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:222 8e2ec3: d10f retw.n 008e2ec5 <_uart_task+0x5>: 8e2ec5: 000000 ... 008e2ec8 <_uart_status>: _uart_status(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:229 8e2ec8: 6c1004 entry a1, 32 8e2ecb: 1200da l32r a2, 4e8368 <_lit4_start+0x368> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:230 8e2ece: 8223 l32i.n a2, a2, 12 8e2ed0: d10f retw.n 008e2ed2 <_uart_status+0xa>: ... 008e2ed4 <_uart_str_out>: _uart_str_out(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:238 8e2ed4: 6c1004 entry a1, 32 8e2ed7: 1800da l32r a8, 4e8368 <_lit4_start+0x368> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:241 8e2eda: 288100 l16ui a8, a8, 0 8e2edd: c88e beqz.n a8, 8e2eef <_uart_str_out+0x1b> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 8e2edf: 2a2000 l8ui a10, a2, 0 8e2ee2: c8a9 beqz.n a10, 8e2eef <_uart_str_out+0x1b> 008e2ee4 <_uart_str_out+0x10>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:245 8e2ee4: 5bffd8 call8 8e2e48 <_uart_char_put> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 8e2ee7: 2a2001 l8ui a10, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:246 8e2eea: b122 addi.n a2, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:244 8e2eec: 65aff4 bnez a10, 8e2ee4 <_uart_str_out+0x10> 008e2eef <_uart_str_out+0x1b>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:248 8e2eef: d10f retw.n 008e2ef1 <_uart_str_out+0x1d>: 8e2ef1: 000000 ... 008e2ef4 <_uart_config>: _uart_config(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:256 8e2ef4: 6c1004 entry a1, 32 8e2ef7: 1700da l32r a7, 4e8368 <_lit4_start+0x368> 8e2efa: 1400dc l32r a4, 4e8370 <_lit4_start+0x370> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 8e2efd: c081 movi.n a8, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:257 8e2eff: 257100 l16ui a5, a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 8e2f02: c060 movi.n a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:262 8e2f04: 8371 l32i.n a3, a7, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:257 8e2f06: 75210f beq a2, a5, 8e2f19 <_uart_config+0x25> 8e2f09: 1200dd l32r a2, 4e8374 <_lit4_start+0x374> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:259 8e2f0c: 058638 moveqz a6, a8, a5 8e2f0f: 267500 s16i a6, a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:262 8e2f12: 064238 moveqz a2, a4, a6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:263 8e2f15: 9231 s32i.n a2, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:268 8e2f17: d10f retw.n 008e2f19 <_uart_config+0x25>: 8e2f19: d10f retw.n 008e2f1b <_uart_config+0x27>: ... 008e2f1c <_uart_hwinit>: _uart_hwinit(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:275 8e2f1c: 6c1004 entry a1, 32 8e2f1f: 1400d8 l32r a4, 4e8360 <_lit4_start+0x360> 8e2f22: db30 mov.n a11, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:279 8e2f24: 0c0200 memw 8e2f27: 234283 l32i a3, a4, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:281 8e2f2a: 280a80 movi a8, 128 8e2f2d: 083802 or a8, a3, a8 8e2f30: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:283 8e2f33: 024a14 srli a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:281 8e2f36: 284683 s32i a8, a4, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:283 8e2f39: 580ec4 call8 8e6a4c <__udivsi3> 8e2f3c: 0a8b14 srli a11, a10, 8 8e2f3f: 0c0200 memw 8e2f42: 2b4681 s32i a11, a4, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:284 8e2f45: 0a0a47 extui a10, a10, 0, 8 8e2f48: 0c0200 memw 8e2f4b: 2a4680 s32i a10, a4, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:287 8e2f4e: 29fa7f movi a9, -129 8e2f51: 093901 and a9, a3, a9 8e2f54: 0c0200 memw 8e2f57: 294683 s32i a9, a4, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:288 8e2f5a: d10f retw.n 008e2f5c : cmnos_uart_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:296 8e2f5c: 6c1004 entry a1, 32 8e2f5f: 1f00da l32r a15, 4e8368 <_lit4_start+0x368> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:308 8e2f62: c0e1 movi.n a14, 1 8e2f64: 1400e3 l32r a4, 4e838c <_lit4_start+0x38c> 8e2f67: 1800e2 l32r a8, 4e8388 <_lit4_start+0x388> 8e2f6a: 1900e1 l32r a9, 4e8384 <_lit4_start+0x384> 8e2f6d: 1a00e0 l32r a10, 4e8380 <_lit4_start+0x380> 8e2f70: 1b00df l32r a11, 4e837c <_lit4_start+0x37c> 8e2f73: 1c00dd l32r a12, 4e8374 <_lit4_start+0x374> 8e2f76: 1d00de l32r a13, 4e8378 <_lit4_start+0x378> 8e2f79: 1300e4 l32r a3, 4e8390 <_lit4_start+0x390> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:305 8e2f7c: 9327 s32i.n a3, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:308 8e2f7e: 2ef500 s16i a14, a15, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:307 8e2f81: 92f1 s32i.n a2, a15, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:304 8e2f83: 9425 s32i.n a4, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:303 8e2f85: 9826 s32i.n a8, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:302 8e2f87: 9924 s32i.n a9, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:301 8e2f89: 9a23 s32i.n a10, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:300 8e2f8b: 9b22 s32i.n a11, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:299 8e2f8d: 9c21 s32i.n a12, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:298 8e2f8f: 9d20 s32i.n a13, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/cmnos/uart/src/uart_api.c:309 8e2f91: d10f retw.n 008e2f93 : ... 008e2f94 <__pci_reg_read>: __pci_reg_read(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:49 8e2f94: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:50 8e2f97: 0c0200 memw 8e2f9a: 8220 l32i.n a2, a2, 0 8e2f9c: d10f retw.n 008e2f9e <__pci_reg_read+0xa>: ... 008e2fa0 <__pci_reg_write>: __pci_reg_write(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:55 8e2fa0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:56 8e2fa3: 0c0200 memw 8e2fa6: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:57 8e2fa8: d10f retw.n 008e2faa <__pci_reg_write+0xa>: ... 008e2fac <__pci_get_pipe>: __pci_get_pipe(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:61 8e2fac: 6c1004 entry a1, 32 8e2faf: c920 beqz.n a2, 8e2fc3 <__pci_get_pipe+0x17> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:77 8e2fb1: 682116 beqi a2, 1, 8e2fcb <__pci_get_pipe+0x1f> 8e2fb4: 68220f beqi a2, 2, 8e2fc7 <__pci_get_pipe+0x1b> 8e2fb7: 682314 beqi a2, 3, 8e2fcf <__pci_get_pipe+0x23> 8e2fba: 682405 beqi a2, 4, 8e2fc3 <__pci_get_pipe+0x17> 8e2fbd: 68250a beqi a2, 5, 8e2fcb <__pci_get_pipe+0x1f> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:76 8e2fc0: 63fffc j 8e2fc0 <__pci_get_pipe+0x14> 008e2fc3 <__pci_get_pipe+0x17>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:72 8e2fc3: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 8e2fc5: d10f retw.n 008e2fc7 <__pci_get_pipe+0x1b>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:68 8e2fc7: c022 movi.n a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 8e2fc9: d10f retw.n 008e2fcb <__pci_get_pipe+0x1f>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:74 8e2fcb: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 8e2fcd: d10f retw.n 008e2fcf <__pci_get_pipe+0x23>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:70 8e2fcf: c023 movi.n a2, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:64 8e2fd1: d10f retw.n 008e2fd3 <__pci_get_pipe+0x27>: ... 008e2fd4 <__pci_get_tx_eng>: __pci_get_tx_eng(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:82 8e2fd4: 6c1004 entry a1, 32 8e2fd7: c829 beqz.n a2, 8e2fe4 <__pci_get_tx_eng+0x10> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:92 8e2fd9: b024 addi.n a4, a2, -1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:88 8e2fdb: c035 movi.n a3, 5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:91 8e2fdd: c026 movi.n a2, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:92 8e2fdf: 043238 moveqz a2, a3, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:85 8e2fe2: d10f retw.n 008e2fe4 <__pci_get_tx_eng+0x10>: 8e2fe4: c024 movi.n a2, 4 8e2fe6: d10f retw.n 008e2fe8 <__pci_get_rx_eng>: __pci_get_rx_eng(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:96 8e2fe8: 6c1004 entry a1, 32 8e2feb: c920 beqz.n a2, 8e2fff <__pci_get_rx_eng+0x17> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:112 8e2fed: 682112 beqi a2, 1, 8e3003 <__pci_get_rx_eng+0x1b> 8e2ff0: 682213 beqi a2, 2, 8e3007 <__pci_get_rx_eng+0x1f> 8e2ff3: 242cfd addi a4, a2, -3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:108 8e2ff6: c033 movi.n a3, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:111 8e2ff8: c026 movi.n a2, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:112 8e2ffa: 043238 moveqz a2, a3, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 8e2ffd: d10f retw.n 008e2fff <__pci_get_rx_eng+0x17>: 8e2fff: c020 movi.n a2, 0 8e3001: d10f retw.n 008e3003 <__pci_get_rx_eng+0x1b>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:102 8e3003: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 8e3005: d10f retw.n 008e3007 <__pci_get_rx_eng+0x1f>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:105 8e3007: c022 movi.n a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:99 8e3009: d10f retw.n 008e300b <__pci_get_rx_eng+0x23>: ... 008e300c <__pci_enable>: __pci_enable(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:118 8e300c: 6c1004 entry a1, 32 8e300f: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:124 8e3012: 5bffe0 call8 8e2f94 <__pci_reg_read> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:126 8e3015: c0b8 movi.n a11, 8 8e3017: 0bab02 or a11, a10, a11 8e301a: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> 8e301d: 5bffe0 call8 8e2fa0 <__pci_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:127 8e3020: d10f retw.n 008e3022 <__pci_enable+0x16>: ... 008e3024 <__pci_reset>: __pci_reset(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:135 8e3024: 6c1006 entry a1, 48 8e3027: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:141 8e302a: 282212 l32i a8, a2, 72 8e302d: 1a00e6 l32r a10, 4e8398 <_lit4_start+0x398> 008e3030 <__pci_reset+0xc>: 8e3030: 0b8000 callx8 a8 8e3033: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:143 8e3036: 5bffd7 call8 8e2f94 <__pci_reg_read> 8e3039: 0c0200 memw 8e303c: 9a10 s32i.n a10, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:145 8e303e: 0c0200 memw 8e3041: 8910 l32i.n a9, a1, 0 8e3043: 7197ec bbci a9, 17, 8e3033 <__pci_reset+0xf> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:148 8e3046: 282212 l32i a8, a2, 72 8e3049: 1a00e8 l32r a10, 4e83a0 <_lit4_start+0x3a0> 8e304c: 0b8000 callx8 a8 8e304f: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:154 8e3052: 5bffd0 call8 8e2f94 <__pci_reg_read> 8e3055: 1300e9 l32r a3, 4e83a4 <_lit4_start+0x3a4> 8e3058: 0c0200 memw 8e305b: 9a10 s32i.n a10, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:155 8e305d: 0c0200 memw 8e3060: 8910 l32i.n a9, a1, 0 8e3062: 039901 and a9, a9, a3 8e3065: 0c0200 memw 8e3068: 9910 s32i.n a9, a1, 0 8e306a: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:156 8e306d: 0c0200 memw 8e3070: 8b10 l32i.n a11, a1, 0 8e3072: 5bffcb call8 8e2fa0 <__pci_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:158 8e3075: 28223b l32i a8, a2, 236 8e3078: c0aa movi.n a10, 10 8e307a: 0b8000 callx8 a8 8e307d: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:164 8e3080: 5bffc4 call8 8e2f94 <__pci_reg_read> 8e3083: 0c0200 memw 8e3086: 9a10 s32i.n a10, a1, 0 8e3088: 1a00ea l32r a10, 4e83a8 <_lit4_start+0x3a8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:165 8e308b: 0c0200 memw 8e308e: 8910 l32i.n a9, a1, 0 8e3090: 0a9902 or a9, a9, a10 8e3093: 0c0200 memw 8e3096: 9910 s32i.n a9, a1, 0 8e3098: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:166 8e309b: 0c0200 memw 8e309e: 8b10 l32i.n a11, a1, 0 8e30a0: 5bffbf call8 8e2fa0 <__pci_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:168 8e30a3: 28223b l32i a8, a2, 236 8e30a6: c0aa movi.n a10, 10 8e30a8: 0b8000 callx8 a8 8e30ab: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:174 8e30ae: 5bffb9 call8 8e2f94 <__pci_reg_read> 8e30b1: 0c0200 memw 8e30b4: 9a10 s32i.n a10, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:175 8e30b6: 0c0200 memw 8e30b9: 8910 l32i.n a9, a1, 0 8e30bb: 039901 and a9, a9, a3 8e30be: 0c0200 memw 8e30c1: 9910 s32i.n a9, a1, 0 8e30c3: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:176 8e30c6: 0c0200 memw 8e30c9: 8b10 l32i.n a11, a1, 0 8e30cb: 5bffb5 call8 8e2fa0 <__pci_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:178 8e30ce: 28223b l32i a8, a2, 236 8e30d1: c0aa movi.n a10, 10 8e30d3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:179 8e30d6: d10f retw.n 008e30d8 <__pci_boot_init>: __pci_boot_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:185 8e30d8: 6c1004 entry a1, 32 8e30db: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:186 8e30de: 2822cc l32i a8, a2, 0x330 8e30e1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:187 8e30e4: 2822cd l32i a8, a2, 0x334 8e30e7: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:189 8e30ea: 2822bd l32i a8, a2, 0x2f4 8e30ed: c0a4 movi.n a10, 4 8e30ef: c0b1 movi.n a11, 1 8e30f1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:190 8e30f4: 2822bf l32i a8, a2, 0x2fc 8e30f7: c0a0 movi.n a10, 0 8e30f9: c0b1 movi.n a11, 1 8e30fb: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:192 8e30fe: c0a0 movi.n a10, 0 8e3100: 2822c0 l32i a8, a2, 0x300 8e3103: c0b2 movi.n a11, 2 8e3105: 2c6a80 movi a12, 0x680 8e3108: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:195 8e310b: d10f retw.n 008e310d <__pci_boot_init+0x35>: 8e310d: 000000 ... 008e3110 <__pci_init>: __pci_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:205 8e3110: 6c1004 entry a1, 32 8e3113: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:206 8e3116: 2822cc l32i a8, a2, 0x330 8e3119: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:207 8e311c: 2822cd l32i a8, a2, 0x334 8e311f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:212 8e3122: 2822bd l32i a8, a2, 0x2f4 8e3125: c0a4 movi.n a10, 4 8e3127: c0b1 movi.n a11, 1 8e3129: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:213 8e312c: 2822bd l32i a8, a2, 0x2f4 8e312f: c0a5 movi.n a10, 5 8e3131: c0b1 movi.n a11, 1 8e3133: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:218 8e3136: 2822bf l32i a8, a2, 0x2fc 8e3139: c0a0 movi.n a10, 0 8e313b: c0b1 movi.n a11, 1 8e313d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:219 8e3140: 2822bf l32i a8, a2, 0x2fc 8e3143: c0a1 movi.n a10, 1 8e3145: c0b1 movi.n a11, 1 8e3147: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:220 8e314a: 2822bf l32i a8, a2, 0x2fc 8e314d: c0a2 movi.n a10, 2 8e314f: c0b1 movi.n a11, 1 8e3151: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:221 8e3154: 2822bf l32i a8, a2, 0x2fc 8e3157: c0a3 movi.n a10, 3 8e3159: c0b1 movi.n a11, 1 8e315b: 0b8000 callx8 a8 8e315e: 1200eb l32r a2, 4e83ac <_lit4_start+0x3ac> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:223 8e3161: d10f retw.n 008e3163 <__pci_init+0x53>: ... 008e3164 <__pci_cfg_pipe>: __pci_cfg_pipe(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:234 8e3164: 6c1004 entry a1, 32 8e3167: 160018 l32r a6, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:238 8e316a: 2862d2 l32i a8, a6, 0x348 8e316d: da30 mov.n a10, a3 8e316f: 0b8000 callx8 a8 8e3172: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:240 8e3174: 69a60a bnei a10, 6, 8e3182 <__pci_cfg_pipe+0x1e> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:241 8e3177: 286212 l32i a8, a6, 72 8e317a: 1a00ec l32r a10, 4e83b0 <_lit4_start+0x3b0> 8e317d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:248 8e3180: d10f retw.n 008e3182 <__pci_cfg_pipe+0x1e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:245 8e3182: 286279 l32i a8, a6, 0x1e4 8e3185: db30 mov.n a11, a3 8e3187: da20 mov.n a10, a2 8e3189: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:247 8e318c: 040b4f extui a11, a4, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:245 8e318f: dca0 mov.n a12, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:247 8e3191: 2862c0 l32i a8, a6, 0x300 8e3194: da50 mov.n a10, a5 8e3196: 0c0c4f extui a12, a12, 0, 16 8e3199: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:248 8e319c: d10f retw.n 008e319e <__pci_cfg_pipe+0x3a>: ... 008e31a0 <__pci_start>: __pci_start(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:256 8e31a0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:257 8e31a3: d10f retw.n 008e31a5 <__pci_start+0x5>: 8e31a5: 000000 ... 008e31a8 <__pci_reg_callback>: __pci_reg_callback(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:267 8e31a8: 6c1004 entry a1, 32 8e31ab: 1400eb l32r a4, 4e83ac <_lit4_start+0x3ac> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:270 8e31ae: 8932 l32i.n a9, a3, 8 8e31b0: 9942 s32i.n a9, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:271 8e31b2: 8831 l32i.n a8, a3, 4 8e31b4: 9841 s32i.n a8, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:272 8e31b6: 8230 l32i.n a2, a3, 0 8e31b8: 9240 s32i.n a2, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:273 8e31ba: d10f retw.n 008e31bc <__pci_reap_xmitted>: __pci_reap_xmitted(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:283 8e31bc: 6c1004 entry a1, 32 8e31bf: 140018 l32r a4, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:287 8e31c2: 2842d0 l32i a8, a4, 0x340 8e31c5: da30 mov.n a10, a3 8e31c7: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:289 8e31ca: 2842c6 l32i a8, a4, 0x318 8e31cd: da30 mov.n a10, a3 8e31cf: 0b8000 callx8 a8 8e31d2: c8a7 beqz.n a10, 8e31dd <__pci_reap_xmitted+0x21> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:292 8e31d4: 8820 l32i.n a8, a2, 0 8e31d6: 8b22 l32i.n a11, a2, 8 8e31d8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:297 8e31db: d10f retw.n 008e31dd <__pci_reap_xmitted+0x21>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:294 8e31dd: 284212 l32i a8, a4, 72 8e31e0: 1a00ed l32r a10, 4e83b4 <_lit4_start+0x3b4> 8e31e3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:297 8e31e6: d10f retw.n 008e31e8 <__pci_reap_recv>: __pci_reap_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:308 8e31e8: 6c1004 entry a1, 32 8e31eb: da30 mov.n a10, a3 8e31ed: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:311 8e31f0: 2832c7 l32i a8, a3, 0x31c 8e31f3: 0b8000 callx8 a8 8e31f6: dba0 mov.n a11, a10 8e31f8: c8a9 beqz.n a10, 8e3205 <__pci_reap_recv+0x1d> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:314 8e31fa: 8821 l32i.n a8, a2, 4 8e31fc: 8c22 l32i.n a12, a2, 8 8e31fe: c0a0 movi.n a10, 0 8e3200: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:317 8e3203: d10f retw.n 008e3205 <__pci_reap_recv+0x1d>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:316 8e3205: 283212 l32i a8, a3, 72 8e3208: 1a00ee l32r a10, 4e83b8 <_lit4_start+0x3b8> 8e320b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:317 8e320e: d10f retw.n 008e3210 <__pci_isr_handler>: __pci_isr_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:325 8e3210: 6c1004 entry a1, 32 8e3213: 1300eb l32r a3, 4e83ac <_lit4_start+0x3ac> 8e3216: 120018 l32r a2, 4e8060 <_lit4_start+0x60> 8e3219: 600009 j 8e3226 <__pci_isr_handler+0x16> 008e321c <__pci_isr_handler+0xc>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:330 8e321c: 2822cf l32i a8, a2, 0x33c 8e321f: da30 mov.n a10, a3 8e3221: c0b3 movi.n a11, 3 8e3223: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:329 8e3226: 2822c9 l32i a8, a2, 0x324 8e3229: c0a3 movi.n a10, 3 8e322b: 0b8000 callx8 a8 8e322e: 65afea bnez a10, 8e321c <__pci_isr_handler+0xc> 8e3231: 600009 j 8e323e <__pci_isr_handler+0x2e> 008e3234 <__pci_isr_handler+0x24>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:333 8e3234: 2822cf l32i a8, a2, 0x33c 8e3237: da30 mov.n a10, a3 8e3239: c0b2 movi.n a11, 2 8e323b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:332 8e323e: 2822c9 l32i a8, a2, 0x324 8e3241: c0a2 movi.n a10, 2 8e3243: 0b8000 callx8 a8 8e3246: 65afea bnez a10, 8e3234 <__pci_isr_handler+0x24> 8e3249: 600009 j 8e3256 <__pci_isr_handler+0x46> 008e324c <__pci_isr_handler+0x3c>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:336 8e324c: 2822cf l32i a8, a2, 0x33c 8e324f: da30 mov.n a10, a3 8e3251: c0b1 movi.n a11, 1 8e3253: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:335 8e3256: 2822c9 l32i a8, a2, 0x324 8e3259: c0a1 movi.n a10, 1 8e325b: 0b8000 callx8 a8 8e325e: 65afea bnez a10, 8e324c <__pci_isr_handler+0x3c> 8e3261: 600009 j 8e326e <__pci_isr_handler+0x5e> 008e3264 <__pci_isr_handler+0x54>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:339 8e3264: 2822ce l32i a8, a2, 0x338 8e3267: da30 mov.n a10, a3 8e3269: c0b5 movi.n a11, 5 8e326b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:338 8e326e: 2822c5 l32i a8, a2, 0x314 8e3271: c0a5 movi.n a10, 5 8e3273: 0b8000 callx8 a8 8e3276: 65afea bnez a10, 8e3264 <__pci_isr_handler+0x54> 008e3279 <__pci_isr_handler+0x69>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:345 8e3279: 2822c5 l32i a8, a2, 0x314 8e327c: c0a4 movi.n a10, 4 8e327e: 0b8000 callx8 a8 8e3281: c8ad beqz.n a10, 8e3292 <__pci_isr_handler+0x82> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:346 8e3283: 2822ce l32i a8, a2, 0x338 8e3286: da30 mov.n a10, a3 8e3288: c0b4 movi.n a11, 4 8e328a: 0b8000 callx8 a8 8e328d: c041 movi.n a4, 1 8e328f: 600001 j 8e3294 <__pci_isr_handler+0x84> 008e3292 <__pci_isr_handler+0x82>: 8e3292: c040 movi.n a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:350 8e3294: 2822c9 l32i a8, a2, 0x324 8e3297: c0a0 movi.n a10, 0 8e3299: 0b8000 callx8 a8 8e329c: c8aa beqz.n a10, 8e32aa <__pci_isr_handler+0x9a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:351 8e329e: 2822cf l32i a8, a2, 0x33c 8e32a1: da30 mov.n a10, a3 8e32a3: c0b0 movi.n a11, 0 8e32a5: 0b8000 callx8 a8 8e32a8: c041 movi.n a4, 1 008e32aa <__pci_isr_handler+0x9a>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:355 8e32aa: 654fcb bnez a4, 8e3279 <__pci_isr_handler+0x69> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:357 8e32ad: d10f retw.n 008e32af <__pci_isr_handler+0x9f>: ... 008e32b0 <__pci_xmit_buf>: __pci_xmit_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:369 8e32b0: 6c1004 entry a1, 32 8e32b3: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:372 8e32b6: 2822d1 l32i a8, a2, 0x344 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:369 8e32b9: da30 mov.n a10, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:372 8e32bb: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:374 8e32be: 69a60c bnei a10, 6, 8e32ce <__pci_xmit_buf+0x1e> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:375 8e32c1: 282212 l32i a8, a2, 72 8e32c4: 1a00ef l32r a10, 4e83bc <_lit4_start+0x3bc> 8e32c7: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:376 8e32ca: c72f movi.n a2, -1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:379 8e32cc: d10f retw.n 008e32ce <__pci_xmit_buf+0x1e>: 8e32ce: 2822c3 l32i a8, a2, 0x30c 8e32d1: db40 mov.n a11, a4 8e32d3: 0b8000 callx8 a8 8e32d6: d2a0 mov.n a2, a10 8e32d8: d10f retw.n 008e32da <__pci_xmit_buf+0x2a>: ... 008e32dc <__pci_return_recv>: __pci_return_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:390 8e32dc: 6c1004 entry a1, 32 8e32df: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:393 8e32e2: 2822d2 l32i a8, a2, 0x348 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:390 8e32e5: da30 mov.n a10, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:393 8e32e7: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:395 8e32ea: 68a607 beqi a10, 6, 8e32f5 <__pci_return_recv+0x19> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:398 8e32ed: 2822c8 l32i a8, a2, 0x320 8e32f0: db40 mov.n a11, a4 008e32f2 <__pci_return_recv+0x16>: 8e32f2: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:399 8e32f5: d10f retw.n 008e32f7 <__pci_return_recv+0x1b>: ... 008e32f8 <__pci_is_pipe_supported>: __pci_is_pipe_supported(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:410 8e32f8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:411 8e32fb: 6f3503 bgeui a3, 5, 8e3302 <__pci_is_pipe_supported+0xa> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:412 8e32fe: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:414 8e3300: d10f retw.n 008e3302 <__pci_is_pipe_supported+0xa>: 8e3302: c020 movi.n a2, 0 8e3304: d10f retw.n 008e3306 <__pci_is_pipe_supported+0xe>: ... 008e3308 <__pci_get_max_msg_len>: __pci_get_max_msg_len(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:426 8e3308: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:430 8e330b: 246a80 movi a4, 0x680 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:428 8e330e: 222a00 movi a2, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:427 8e3311: 034239 movnez a2, a4, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:430 8e3314: d10f retw.n 008e3316 <__pci_get_max_msg_len+0xe>: ... 008e3318 <__pci_get_reserved_headroom>: __pci_get_reserved_headroom(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:441 8e3318: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:442 8e331b: c020 movi.n a2, 0 8e331d: d10f retw.n 008e331f <__pci_get_reserved_headroom+0x7>: ... 008e3320 <__pci_shutdown>: __pci_shutdown(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:451 8e3320: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:452 8e3323: d10f retw.n 008e3325 <__pci_shutdown+0x5>: 8e3325: 000000 ... 008e3328 <__pci_get_def_pipe>: __pci_get_def_pipe(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:457 8e3328: 6c1004 entry a1, 32 8e332b: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:458 8e332d: 223400 s8i a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:459 8e3330: 224400 s8i a2, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:460 8e3333: d10f retw.n 008e3335 <__pci_get_def_pipe+0xd>: 8e3335: 000000 ... 008e3338 : hif_pci_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:468 8e3338: 6c1004 entry a1, 32 8e333b: 1900f0 l32r a9, 4e83c0 <_lit4_start+0x3c0> 8e333e: 1300f2 l32r a3, 4e83c8 <_lit4_start+0x3c8> 8e3341: 1a00f8 l32r a10, 4e83e0 <_lit4_start+0x3e0> 8e3344: 1b00f7 l32r a11, 4e83dc <_lit4_start+0x3dc> 8e3347: 1c00f6 l32r a12, 4e83d8 <_lit4_start+0x3d8> 8e334a: 1d00f5 l32r a13, 4e83d4 <_lit4_start+0x3d4> 8e334d: 1e00f4 l32r a14, 4e83d0 <_lit4_start+0x3d0> 8e3350: 1f00f3 l32r a15, 4e83cc <_lit4_start+0x3cc> 8e3353: 1800f1 l32r a8, 4e83c4 <_lit4_start+0x3c4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:471 8e3356: 9824 s32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:478 8e3358: 9a22 s32i.n a10, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:477 8e335a: 9b29 s32i.n a11, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:476 8e335c: 9c28 s32i.n a12, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:475 8e335e: 9d27 s32i.n a13, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:474 8e3360: 9e26 s32i.n a14, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:473 8e3362: 9f2b s32i.n a15, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:470 8e3364: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:472 8e3366: 9325 s32i.n a3, a2, 20 8e3368: 1800fa l32r a8, 4e83e8 <_lit4_start+0x3e8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:480 8e336b: 982a s32i.n a8, a2, 40 8e336d: 1300fb l32r a3, 4e83ec <_lit4_start+0x3ec> 8e3370: 1900f9 l32r a9, 4e83e4 <_lit4_start+0x3e4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:479 8e3373: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:481 8e3375: 932c s32i.n a3, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:482 8e3377: d10f retw.n 008e3379 : 8e3379: 000000 ... 008e337c : hif_pci_api_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:486 8e337c: 6c1004 entry a1, 32 8e337f: 180102 l32r a8, 4e8408 <_lit4_start+0x408> 8e3382: 190101 l32r a9, 4e8404 <_lit4_start+0x404> 8e3385: 1a0100 l32r a10, 4e8400 <_lit4_start+0x400> 8e3388: 1b00ff l32r a11, 4e83fc <_lit4_start+0x3fc> 8e338b: 1c00fe l32r a12, 4e83f8 <_lit4_start+0x3f8> 8e338e: 1d00f0 l32r a13, 4e83c0 <_lit4_start+0x3c0> 8e3391: 1e00fd l32r a14, 4e83f4 <_lit4_start+0x3f4> 8e3394: 1f00fc l32r a15, 4e83f0 <_lit4_start+0x3f0> 8e3397: 130103 l32r a3, 4e840c <_lit4_start+0x40c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:495 8e339a: 9327 s32i.n a3, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:494 8e339c: 9828 s32i.n a8, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:493 8e339e: 9926 s32i.n a9, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:492 8e33a0: 9a22 s32i.n a10, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:491 8e33a2: 9b24 s32i.n a11, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:490 8e33a4: 9c25 s32i.n a12, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:489 8e33a6: 9d21 s32i.n a13, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:488 8e33a8: 9e23 s32i.n a14, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:487 8e33aa: 9f20 s32i.n a15, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/pci//hif_pci.c:496 8e33ac: d10f retw.n 008e33ae : ... 008e33b0 : usbfifo_get_command_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:39 8e33b0: 6c1004 entry a1, 32 8e33b3: 180104 l32r a8, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:42 8e33b6: 828d l32i.n a2, a8, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:44 8e33b8: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:43 8e33ba: 8421 l32i.n a4, a2, 4 8e33bc: 948d s32i.n a4, a8, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:44 8e33be: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:45 8e33c0: d10f retw.n 008e33c2 : ... 008e33c4 : usbfifo_recv_command(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:49 8e33c4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:60 8e33c7: 8a20 l32i.n a10, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:62 8e33c9: 2da106 l16ui a13, a10, 12 8e33cc: 0c0200 memw 8e33cf: 2da50b s16i a13, a10, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:63 8e33d2: 8ca1 l32i.n a12, a10, 4 8e33d4: 2ba105 l16ui a11, a10, 10 8e33d7: acbb add.n a11, a11, a12 8e33d9: 0c0200 memw 8e33dc: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:65 8e33df: 2882b6 l32i a8, a8, 0x2d8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:63 8e33e2: 9ba7 s32i.n a11, a10, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:65 8e33e4: 2aac10 addi a10, a10, 16 8e33e7: 0b8000 callx8 a8 8e33ea: 1c0104 l32r a12, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:67 8e33ed: db20 mov.n a11, a2 8e33ef: 88c1 l32i.n a8, a12, 4 8e33f1: c0a0 movi.n a10, 0 8e33f3: 8cc2 l32i.n a12, a12, 8 8e33f5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:69 8e33f8: d10f retw.n 008e33fa : ... 008e33fc : usbfifo_get_event_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:72 8e33fc: 6c1004 entry a1, 32 8e33ff: 160104 l32r a6, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:75 8e3402: 826e l32i.n a2, a6, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:76 8e3404: 836f l32i.n a3, a6, 60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:72 8e3406: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:76 8e3408: 723906 bne a3, a2, 8e3412 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:77 8e340b: 956e s32i.n a5, a6, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:78 8e340d: 956f s32i.n a5, a6, 60 8e340f: 600003 j 8e3416 008e3412 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:80 8e3412: 8821 l32i.n a8, a2, 4 8e3414: 986e s32i.n a8, a6, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:83 8e3416: 9521 s32i.n a5, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:84 8e3418: d10f retw.n 008e341a : ... 008e341c : usbfifo_send_event_done(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:88 8e341c: 6c1004 entry a1, 32 8e341f: 1b0104 l32r a11, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:93 8e3422: 88b0 l32i.n a8, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:88 8e3424: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:93 8e3426: 8bb2 l32i.n a11, a11, 8 8e3428: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:95 8e342b: d10f retw.n 008e342d : 8e342d: 000000 ... 008e3430 <_HIFusb_init>: _HIFusb_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:100 8e3430: 6c1006 entry a1, 48 8e3433: 190108 l32r a9, 4e8420 <_lit4_start+0x420> 8e3436: 120018 l32r a2, 4e8060 <_lit4_start+0x60> 8e3439: 1a0107 l32r a10, 4e841c <_lit4_start+0x41c> 8e343c: 1b0106 l32r a11, 4e8418 <_lit4_start+0x418> 8e343f: 1c0105 l32r a12, 4e8414 <_lit4_start+0x414> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:104 8e3442: 9c10 s32i.n a12, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:105 8e3444: 9b11 s32i.n a11, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:106 8e3446: 9a12 s32i.n a10, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:108 8e3448: 282296 l32i a8, a2, 0x258 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:107 8e344b: 9913 s32i.n a9, a1, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:108 8e344d: da10 mov.n a10, a1 8e344f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:112 8e3452: 2822ae l32i a8, a2, 0x2b8 8e3455: 1a0109 l32r a10, 4e8424 <_lit4_start+0x424> 8e3458: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:113 8e345b: 2822af l32i a8, a2, 0x2bc 8e345e: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> 8e3461: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:116 8e3464: 2822ae l32i a8, a2, 0x2b8 8e3467: 1a010b l32r a10, 4e842c <_lit4_start+0x42c> 8e346a: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:120 8e346d: 2822ae l32i a8, a2, 0x2b8 8e3470: 1a010c l32r a10, 4e8430 <_lit4_start+0x430> 8e3473: 0b8000 callx8 a8 8e3476: 190104 l32r a9, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:123 8e3479: c020 movi.n a2, 0 8e347b: 929e s32i.n a2, a9, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:124 8e347d: 929f s32i.n a2, a9, 60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:125 8e347f: 929d s32i.n a2, a9, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:127 8e3481: d10f retw.n 008e3483 <_HIFusb_init+0x53>: ... 008e3484 <_HIFusb_shutdown>: _HIFusb_shutdown(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:131 8e3484: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:133 8e3487: d10f retw.n 008e3489 <_HIFusb_shutdown+0x5>: 8e3489: 000000 ... 008e348c <_HIFusb_register_callback>: _HIFusb_register_callback(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:136 8e348c: 6c1004 entry a1, 32 8e348f: 140104 l32r a4, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:139 8e3492: 8930 l32i.n a9, a3, 0 8e3494: 9940 s32i.n a9, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:140 8e3496: 8831 l32i.n a8, a3, 4 8e3498: 9841 s32i.n a8, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:141 8e349a: 8232 l32i.n a2, a3, 8 8e349c: 9242 s32i.n a2, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:143 8e349e: d10f retw.n 008e34a0 <_HIFusb_start>: _HIFusb_start(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:148 8e34a0: 6c1004 entry a1, 32 8e34a3: 140018 l32r a4, 4e8060 <_lit4_start+0x60> 8e34a6: 130104 l32r a3, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:156 8e34a9: 284212 l32i a8, a4, 72 8e34ac: 1a010d l32r a10, 4e8434 <_lit4_start+0x434> 8e34af: 8b33 l32i.n a11, a3, 12 8e34b1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:157 8e34b4: 284212 l32i a8, a4, 72 8e34b7: 1a010e l32r a10, 4e8438 <_lit4_start+0x438> 8e34ba: 8b35 l32i.n a11, a3, 20 8e34bc: 0b8000 callx8 a8 8e34bf: 15010f l32r a5, 4e843c <_lit4_start+0x43c> 8e34c2: 120110 l32r a2, 4e8440 <_lit4_start+0x440> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:159 8e34c5: 8a33 l32i.n a10, a3, 12 8e34c7: 0c0200 memw 8e34ca: 2a2680 s32i a10, a2, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:160 8e34cd: 8935 l32i.n a9, a3, 20 8e34cf: 0c0200 memw 8e34d2: 295680 s32i a9, a5, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:162 8e34d5: 284212 l32i a8, a4, 72 8e34d8: 1a0111 l32r a10, 4e8444 <_lit4_start+0x444> 8e34db: 8b39 l32i.n a11, a3, 36 8e34dd: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:163 8e34e0: 8939 l32i.n a9, a3, 36 8e34e2: 0c0200 memw 8e34e5: 2926c0 s32i a9, a2, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:167 8e34e8: 284212 l32i a8, a4, 72 8e34eb: 1a0112 l32r a10, 4e8448 <_lit4_start+0x448> 8e34ee: 8b3b l32i.n a11, a3, 44 8e34f0: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:168 8e34f3: c0a1 movi.n a10, 1 8e34f5: 8d3b l32i.n a13, a3, 44 8e34f7: 0c0200 memw 8e34fa: 9d50 s32i.n a13, a5, 0 8e34fc: 1c0113 l32r a12, 4e844c <_lit4_start+0x44c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:171 8e34ff: c7bf movi.n a11, -1 8e3501: 0c0200 memw 8e3504: 2bc681 s32i a11, a12, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:172 8e3507: 0c0200 memw 8e350a: 2a2681 s32i a10, a2, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:175 8e350d: 0c0200 memw 8e3510: 2a26c1 s32i a10, a2, 0x304 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:179 8e3513: 0c0200 memw 8e3516: 9a51 s32i.n a10, a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:182 8e3518: d10f retw.n 008e351a <_HIFusb_start+0x7a>: ... 008e351c <_HIFusb_get_reserved_headroom>: _HIFusb_get_reserved_headroom(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:185 8e351c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:186 8e351f: c020 movi.n a2, 0 8e3521: d10f retw.n 008e3523 <_HIFusb_get_reserved_headroom+0x7>: ... 008e3524 : enable_rx(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:212 8e3524: 6c1004 entry a1, 32 8e3527: 140110 l32r a4, 4e8440 <_lit4_start+0x440> 8e352a: c051 movi.n a5, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:213 8e352c: 692107 bnei a2, 1, 8e3537 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:217 8e352f: 0c0200 memw 8e3532: 254681 s32i a5, a4, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 8e3535: d10f retw.n 008e3537 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:221 8e3537: 692507 bnei a2, 5, 8e3542 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:222 8e353a: 0c0200 memw 8e353d: 2546c1 s32i a5, a4, 0x304 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 8e3540: d10f retw.n 008e3542 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:226 8e3542: 692607 bnei a2, 6, 8e354d 8e3545: 13010f l32r a3, 4e843c <_lit4_start+0x43c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:227 8e3548: 0c0200 memw 8e354b: 9531 s32i.n a5, a3, 4 008e354d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:230 8e354d: d10f retw.n 008e354f : ... 008e3550 : get_queue_from_pipe(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:233 8e3550: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:236 8e3553: 692104 bnei a2, 1, 8e355b 8e3556: 120109 l32r a2, 4e8424 <_lit4_start+0x424> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 8e3559: d10f retw.n 008e355b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:240 8e355b: 692504 bnei a2, 5, 8e3563 8e355e: 12010b l32r a2, 4e842c <_lit4_start+0x42c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 8e3561: d10f retw.n 008e3563 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:246 8e3563: 682602 beqi a2, 6, 8e3569 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:252 8e3566: 63fffc j 8e3566 008e3569 : 8e3569: 12010c l32r a2, 4e8430 <_lit4_start+0x430> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:255 8e356c: d10f retw.n 008e356e : ... 008e3570 <_HIFusb_config_pipe>: _HIFusb_config_pipe(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:263 8e3570: 6c1006 entry a1, 48 8e3573: 9410 s32i.n a4, a1, 0 8e3575: 160018 l32r a6, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:269 8e3578: 683423 beqi a3, 4, 8e359f <_HIFusb_config_pipe+0x2f> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:302 8e357b: da30 mov.n a10, a3 8e357d: 5bfff4 call8 8e3550 8e3580: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:303 8e3582: 286279 l32i a8, a6, 0x1e4 8e3585: db30 mov.n a11, a3 8e3587: da20 mov.n a10, a2 8e3589: 0b8000 callx8 a8 8e358c: dca0 mov.n a12, a10 8e358e: 2862b0 l32i a8, a6, 0x2c0 8e3591: 8b10 l32i.n a11, a1, 0 8e3593: da50 mov.n a10, a5 8e3595: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:304 8e3598: da30 mov.n a10, a3 8e359a: 5bffe2 call8 8e3524 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:299 8e359d: d10f retw.n 008e359f <_HIFusb_config_pipe+0x2f>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 8e359f: c0c0 movi.n a12, 0 8e35a1: 6a4150 blti a4, 1, 8e35f5 <_HIFusb_config_pipe+0x85> 8e35a4: c070 movi.n a7, 0 8e35a6: d4c0 mov.n a4, a12 008e35a8 <_HIFusb_config_pipe+0x38>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:276 8e35a8: 2862a6 l32i a8, a6, 0x298 8e35ab: 0b8000 callx8 a8 8e35ae: d5a0 mov.n a5, a10 8e35b0: cca1 bnez.n a10, 8e35b5 <_HIFusb_config_pipe+0x45> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:278 8e35b2: 63fffc j 8e35b2 <_HIFusb_config_pipe+0x42> 008e35b5 <_HIFusb_config_pipe+0x45>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 8e35b5: 286279 l32i a8, a6, 0x1e4 8e35b8: da20 mov.n a10, a2 8e35ba: db30 mov.n a11, a3 8e35bc: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 8e35bf: 286252 l32i a8, a6, 0x148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 8e35c2: dba0 mov.n a11, a10 /root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 8e35c4: c0a0 movi.n a10, 0 8e35c6: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:280 8e35c9: 9a51 s32i.n a10, a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:281 8e35cb: 286279 l32i a8, a6, 0x1e4 8e35ce: db30 mov.n a11, a3 8e35d0: da20 mov.n a10, a2 8e35d2: 0b8000 callx8 a8 8e35d5: 2a5504 s16i a10, a5, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 8e35d8: 8b10 l32i.n a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:296 8e35da: b144 addi.n a4, a4, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:282 8e35dc: c0c0 movi.n a12, 0 8e35de: 9c50 s32i.n a12, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:283 8e35e0: 2c5505 s16i a12, a5, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:284 8e35e3: 2c5506 s16i a12, a5, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:285 8e35e6: 2c5507 s16i a12, a5, 14 8e35e9: c870 beqz.n a7, 8e35ed <_HIFusb_config_pipe+0x7d> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:293 8e35eb: 9750 s32i.n a7, a5, 0 008e35ed <_HIFusb_config_pipe+0x7d>: 8e35ed: d750 mov.n a7, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:274 8e35ef: 74b9b5 bne a11, a4, 8e35a8 <_HIFusb_config_pipe+0x38> 8e35f2: 600001 j 8e35f7 <_HIFusb_config_pipe+0x87> 008e35f5 <_HIFusb_config_pipe+0x85>: 8e35f5: d7c0 mov.n a7, a12 8e35f7: c97e beqz.n a7, 8e3619 <_HIFusb_config_pipe+0xa9> 8e35f9: 120104 l32r a2, 4e8410 <_lit4_start+0x410> 008e35fc <_HIFusb_config_pipe+0x8c>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:196 8e35fc: 2862a1 l32i a8, a6, 0x284 8e35ff: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:200 8e3602: c0b0 movi.n a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:198 8e3604: 97a0 s32i.n a7, a10, 0 8e3606: dc70 mov.n a12, a7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:202 8e3608: 892d l32i.n a9, a2, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:199 8e360a: 8770 l32i.n a7, a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:200 8e360c: 9bc0 s32i.n a11, a12, 0 8e360e: c890 beqz.n a9, 8e3612 <_HIFusb_config_pipe+0xa2> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:205 8e3610: 99a1 s32i.n a9, a10, 4 008e3612 <_HIFusb_config_pipe+0xa2>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:206 8e3612: 9a2d s32i.n a10, a2, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:195 8e3614: 657fe4 bnez a7, 8e35fc <_HIFusb_config_pipe+0x8c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:299 8e3617: d10f retw.n 008e3619 <_HIFusb_config_pipe+0xa9>: 8e3619: d10f retw.n 008e361b <_HIFusb_config_pipe+0xab>: ... 008e361c <_HIFusb_send_buffer>: _HIFusb_send_buffer(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:335 8e361c: 6c1004 entry a1, 32 8e361f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:336 8e3622: 693335 bnei a3, 3, 8e365b <_HIFusb_send_buffer+0x3f> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:315 8e3625: 8a40 l32i.n a10, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:317 8e3627: 2da106 l16ui a13, a10, 12 8e362a: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 8e362d: 8ca1 l32i.n a12, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:317 8e362f: 2da50b s16i a13, a10, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 8e3632: 2ba105 l16ui a11, a10, 10 8e3635: acbb add.n a11, a11, a12 8e3637: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:320 8e363a: 2822b6 l32i a8, a2, 0x2d8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:318 8e363d: 9ba7 s32i.n a11, a10, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:320 8e363f: 2aac10 addi a10, a10, 16 8e3642: 0b8000 callx8 a8 8e3645: 1a0104 l32r a10, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:323 8e3648: 8eae l32i.n a14, a10, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:327 8e364a: 8baf l32i.n a11, a10, 60 8e364c: cee5 bnez.n a14, 8e3675 <_HIFusb_send_buffer+0x59> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:324 8e364e: 94ae s32i.n a4, a10, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:331 8e3650: 282297 l32i a8, a2, 0x25c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:328 8e3653: 94af s32i.n a4, a10, 60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:331 8e3655: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:337 8e3658: 600015 j 8e3671 <_HIFusb_send_buffer+0x55> 008e365b <_HIFusb_send_buffer+0x3f>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:339 8e365b: 2822b1 l32i a8, a2, 0x2c4 8e365e: db40 mov.n a11, a4 8e3660: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> 8e3663: 0b8000 callx8 a8 8e3666: 1a010f l32r a10, 4e843c <_lit4_start+0x43c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:343 8e3669: c091 movi.n a9, 1 8e366b: 0c0200 memw 8e366e: 29a681 s32i a9, a10, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:347 8e3671: c020 movi.n a2, 0 8e3673: d10f retw.n 008e3675 <_HIFusb_send_buffer+0x59>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:327 8e3675: 94b1 s32i.n a4, a11, 4 8e3677: 63ffd5 j 8e3650 <_HIFusb_send_buffer+0x34> 008e367a <_HIFusb_send_buffer+0x5e>: ... 008e367c <_HIFusb_return_recv_buf>: _HIFusb_return_recv_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:352 8e367c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:355 8e367f: 69340c bnei a3, 4, 8e368f <_HIFusb_return_recv_buf+0x13> 8e3682: 1a0104 l32r a10, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:356 8e3685: 89ad l32i.n a9, a10, 52 8e3687: c890 beqz.n a9, 8e368b <_HIFusb_return_recv_buf+0xf> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:359 8e3689: 9941 s32i.n a9, a4, 4 008e368b <_HIFusb_return_recv_buf+0xf>: 8e368b: 94ad s32i.n a4, a10, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:367 8e368d: d10f retw.n 008e368f <_HIFusb_return_recv_buf+0x13>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:363 8e368f: da30 mov.n a10, a3 8e3691: 5bffaf call8 8e3550 8e3694: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:364 8e3697: 2882b4 l32i a8, a8, 0x2d0 8e369a: db40 mov.n a11, a4 8e369c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:365 8e369f: da30 mov.n a10, a3 8e36a1: 5bffa0 call8 8e3524 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:367 8e36a4: d10f retw.n 008e36a6 <_HIFusb_return_recv_buf+0x2a>: ... 008e36a8 <_HIFusb_set_recv_bufsz>: _HIFusb_set_recv_bufsz(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:370 8e36a8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:373 8e36ab: d10f retw.n 008e36ad <_HIFusb_set_recv_bufsz+0x5>: 8e36ad: 000000 ... 008e36b0 <_HIFusb_pause_recv>: _HIFusb_pause_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:376 8e36b0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:378 8e36b3: d10f retw.n 008e36b5 <_HIFusb_pause_recv+0x5>: 8e36b5: 000000 ... 008e36b8 <_HIFusb_resume_recv>: _HIFusb_resume_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:381 8e36b8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:383 8e36bb: d10f retw.n 008e36bd <_HIFusb_resume_recv+0x5>: 8e36bd: 000000 ... 008e36c0 <_HIFusb_is_pipe_supported>: _HIFusb_is_pipe_supported(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:386 8e36c0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:387 8e36c3: b034 addi.n a4, a3, -1 8e36c5: 6e4603 bltui a4, 6, 8e36cc <_HIFusb_is_pipe_supported+0xc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:388 8e36c8: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:390 8e36ca: d10f retw.n 008e36cc <_HIFusb_is_pipe_supported+0xc>: 8e36cc: c021 movi.n a2, 1 8e36ce: d10f retw.n 008e36d0 <_HIFusb_get_max_msg_len>: _HIFusb_get_max_msg_len(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:395 8e36d0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:403 8e36d3: 6b3506 bgei a3, 5, 8e36dd <_HIFusb_get_max_msg_len+0xd> 8e36d6: 6a3303 blti a3, 3, 8e36dd <_HIFusb_get_max_msg_len+0xd> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:399 8e36d9: c420 movi.n a2, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:402 8e36db: d10f retw.n 008e36dd <_HIFusb_get_max_msg_len+0xd>: 8e36dd: 226a40 movi a2, 0x640 8e36e0: d10f retw.n 008e36e2 <_HIFusb_get_max_msg_len+0x12>: ... 008e36e4 <_HIFusb_isr_handler>: _HIFusb_isr_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:518 8e36e4: 6c1004 entry a1, 32 8e36e7: 190113 l32r a9, 4e844c <_lit4_start+0x44c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:525 8e36ea: 0c0200 memw 8e36ed: 180114 l32r a8, 4e8450 <_lit4_start+0x450> 8e36f0: 299280 l32i a9, a9, 0x200 8e36f3: 14010b l32r a4, 4e842c <_lit4_start+0x42c> 8e36f6: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:532 8e36f9: 798802 bany a8, a9, 8e36ff <_HIFusb_isr_handler+0x1b> 8e36fc: 60008f j 8e378f <_HIFusb_isr_handler+0xab> 8e36ff: 234cdc addi a3, a4, -36 8e3702: 600012 j 8e3718 <_HIFusb_isr_handler+0x34> 008e3705 <_HIFusb_isr_handler+0x21>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:488 8e3705: 2822b3 l32i a8, a2, 0x2cc 8e3708: da40 mov.n a10, a4 8e370a: 0b8000 callx8 a8 8e370d: dba0 mov.n a11, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:489 8e370f: 8831 l32i.n a8, a3, 4 8e3711: 8c32 l32i.n a12, a3, 8 8e3713: c0a0 movi.n a10, 0 8e3715: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:538 8e3718: 2822b7 l32i a8, a2, 0x2dc 8e371b: da40 mov.n a10, a4 8e371d: 0b8000 callx8 a8 8e3720: 65afe1 bnez a10, 8e3705 <_HIFusb_isr_handler+0x21> 8e3723: 14010c l32r a4, 4e8430 <_lit4_start+0x430> 8e3726: 600012 j 8e373c <_HIFusb_isr_handler+0x58> 008e3729 <_HIFusb_isr_handler+0x45>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:511 8e3729: 2822b3 l32i a8, a2, 0x2cc 8e372c: da40 mov.n a10, a4 8e372e: 0b8000 callx8 a8 8e3731: dba0 mov.n a11, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:512 8e3733: 8831 l32i.n a8, a3, 4 8e3735: 8c32 l32i.n a12, a3, 8 8e3737: c0a0 movi.n a10, 0 8e3739: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:553 8e373c: 2822b7 l32i a8, a2, 0x2dc 8e373f: da40 mov.n a10, a4 8e3741: 0b8000 callx8 a8 8e3744: 65afe1 bnez a10, 8e3729 <_HIFusb_isr_handler+0x45> 8e3747: 16010a l32r a6, 4e8428 <_lit4_start+0x428> 008e374a <_HIFusb_isr_handler+0x66>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:555 8e374a: 246cf8 addi a4, a6, -8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:570 8e374d: 2822b7 l32i a8, a2, 0x2dc 8e3750: da60 mov.n a10, a6 8e3752: 0b8000 callx8 a8 8e3755: c9a2 beqz.n a10, 8e376b <_HIFusb_isr_handler+0x87> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:439 8e3757: 2822b5 l32i a8, a2, 0x2d4 8e375a: da60 mov.n a10, a6 8e375c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:440 8e375f: 8830 l32i.n a8, a3, 0 8e3761: 8b32 l32i.n a11, a3, 8 8e3763: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:572 8e3766: c051 movi.n a5, 1 8e3768: 600001 j 8e376d <_HIFusb_isr_handler+0x89> 008e376b <_HIFusb_isr_handler+0x87>: 8e376b: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:577 8e376d: 2822b7 l32i a8, a2, 0x2dc 8e3770: da40 mov.n a10, a4 8e3772: 0b8000 callx8 a8 8e3775: c9a3 beqz.n a10, 8e378c <_HIFusb_isr_handler+0xa8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:466 8e3777: 2822b3 l32i a8, a2, 0x2cc 8e377a: da40 mov.n a10, a4 8e377c: 0b8000 callx8 a8 8e377f: dba0 mov.n a11, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:467 8e3781: 8831 l32i.n a8, a3, 4 8e3783: 8c32 l32i.n a12, a3, 8 8e3785: c0a0 movi.n a10, 0 8e3787: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:579 8e378a: c051 movi.n a5, 1 008e378c <_HIFusb_isr_handler+0xa8>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:583 8e378c: 655fbd bnez a5, 8e374d <_HIFusb_isr_handler+0x69> 008e378f <_HIFusb_isr_handler+0xab>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:590 8e378f: d10f retw.n 008e3791 <_HIFusb_isr_handler+0xad>: 8e3791: 000000 ... 008e3794 <_HIFusb_get_default_pipe>: _HIFusb_get_default_pipe(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:593 8e3794: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:595 8e3797: c023 movi.n a2, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:594 8e3799: c084 movi.n a8, 4 8e379b: 283400 s8i a8, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:595 8e379e: 224400 s8i a2, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:596 8e37a1: d10f retw.n 008e37a3 <_HIFusb_get_default_pipe+0xf>: ... 008e37a4 : hif_usb_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:601 8e37a4: 6c1004 entry a1, 32 8e37a7: 190115 l32r a9, 4e8454 <_lit4_start+0x454> 8e37aa: 130117 l32r a3, 4e845c <_lit4_start+0x45c> 8e37ad: 1a011d l32r a10, 4e8474 <_lit4_start+0x474> 8e37b0: 1b011c l32r a11, 4e8470 <_lit4_start+0x470> 8e37b3: 1c011b l32r a12, 4e846c <_lit4_start+0x46c> 8e37b6: 1d011a l32r a13, 4e8468 <_lit4_start+0x468> 8e37b9: 1e0119 l32r a14, 4e8464 <_lit4_start+0x464> 8e37bc: 1f0118 l32r a15, 4e8460 <_lit4_start+0x460> 8e37bf: 180116 l32r a8, 4e8458 <_lit4_start+0x458> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:604 8e37c2: 9824 s32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:611 8e37c4: 9a22 s32i.n a10, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:610 8e37c6: 9b29 s32i.n a11, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:609 8e37c8: 9c28 s32i.n a12, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:608 8e37ca: 9d27 s32i.n a13, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:607 8e37cc: 9e26 s32i.n a14, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:606 8e37ce: 9f2b s32i.n a15, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:603 8e37d0: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:605 8e37d2: 9325 s32i.n a3, a2, 20 8e37d4: 18011f l32r a8, 4e847c <_lit4_start+0x47c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:613 8e37d7: 982a s32i.n a8, a2, 40 8e37d9: 130120 l32r a3, 4e8480 <_lit4_start+0x480> 8e37dc: 19011e l32r a9, 4e8478 <_lit4_start+0x478> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:612 8e37df: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:614 8e37e1: 932c s32i.n a3, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:618 8e37e3: d10f retw.n 008e37e5 : 8e37e5: 000000 ... 008e37e8 : HIFusb_DescTraceDump(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:621 8e37e8: 6c1004 entry a1, 32 8e37eb: 120018 l32r a2, 4e8060 <_lit4_start+0x60> 8e37ee: 130104 l32r a3, 4e8410 <_lit4_start+0x410> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:623 8e37f1: 282212 l32i a8, a2, 72 8e37f4: 1a0121 l32r a10, 4e8484 <_lit4_start+0x484> 8e37f7: 8b39 l32i.n a11, a3, 36 8e37f9: 0b8000 callx8 a8 8e37fc: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> 8e37ff: 150110 l32r a5, 4e8440 <_lit4_start+0x440> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:624 8e3802: 0c0200 memw 8e3805: 282212 l32i a8, a2, 72 8e3808: 2b52c6 l32i a11, a5, 0x318 8e380b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:626 8e380e: 2822b8 l32i a8, a2, 0x2e0 8e3811: 1a010b l32r a10, 4e842c <_lit4_start+0x42c> 8e3814: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:630 8e3817: 282212 l32i a8, a2, 72 8e381a: 1a0123 l32r a10, 4e848c <_lit4_start+0x48c> 8e381d: 8b3b l32i.n a11, a3, 44 8e381f: 0b8000 callx8 a8 8e3822: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> 8e3825: 14010f l32r a4, 4e843c <_lit4_start+0x43c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:631 8e3828: 0c0200 memw 8e382b: 282212 l32i a8, a2, 72 8e382e: 8b46 l32i.n a11, a4, 24 8e3830: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:633 8e3833: 2822b8 l32i a8, a2, 0x2e0 8e3836: 1a010c l32r a10, 4e8430 <_lit4_start+0x430> 8e3839: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:636 8e383c: 282212 l32i a8, a2, 72 8e383f: 1a0124 l32r a10, 4e8490 <_lit4_start+0x490> 8e3842: 8b33 l32i.n a11, a3, 12 8e3844: 0b8000 callx8 a8 8e3847: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:637 8e384a: 0c0200 memw 8e384d: 282212 l32i a8, a2, 72 8e3850: 2b5286 l32i a11, a5, 0x218 8e3853: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:638 8e3856: 2822b8 l32i a8, a2, 0x2e0 8e3859: 1a0109 l32r a10, 4e8424 <_lit4_start+0x424> 8e385c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:640 8e385f: 282212 l32i a8, a2, 72 8e3862: 8b35 l32i.n a11, a3, 20 8e3864: 1a0125 l32r a10, 4e8494 <_lit4_start+0x494> 8e3867: 0b8000 callx8 a8 8e386a: 1a0122 l32r a10, 4e8488 <_lit4_start+0x488> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:641 8e386d: 0c0200 memw 8e3870: 282212 l32i a8, a2, 72 8e3873: 2b4285 l32i a11, a4, 0x214 8e3876: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:642 8e3879: 2822b8 l32i a8, a2, 0x2e0 8e387c: 1a010a l32r a10, 4e8428 <_lit4_start+0x428> 8e387f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/HIF_usb.c:643 8e3882: d10f retw.n 008e3884 : vUsb_ep0tx(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:319 8e3884: 6c1004 entry a1, 32 8e3887: 120126 l32r a2, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:331 8e388a: 882c l32i.n a8, a2, 48 8e388c: 1a0127 l32r a10, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:328 8e388f: c490 movi.n a9, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:331 8e3891: 688108 beqi a8, 1, 8e389d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:328 8e3894: 0c0200 memw 8e3897: 29a488 s8i a9, a10, 136 8e389a: 600008 j 8e38a6 008e389d : 8e389d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:323 8e38a0: 288235 l32i a8, a8, 212 8e38a3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:333 8e38a6: c090 movi.n a9, 0 8e38a8: 992b s32i.n a9, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:334 8e38aa: d10f retw.n 008e38ac : vUsb_ep0rx(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:345 8e38ac: 6c1004 entry a1, 32 8e38af: 120126 l32r a2, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:355 8e38b2: 882c l32i.n a8, a2, 48 8e38b4: 698208 bnei a8, 2, 8e38c0 8e38b7: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 008e38ba : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:350 8e38ba: 288236 l32i a8, a8, 216 8e38bd: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:357 8e38c0: 2b2112 l16ui a11, a2, 36 8e38c3: 892b l32i.n a9, a2, 44 8e38c5: c0a0 movi.n a10, 0 8e38c7: 0ba939 movnez a9, a10, a11 8e38ca: 992b s32i.n a9, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:361 8e38cc: d10f retw.n 008e38ce : ... 008e38d0 : vUsbClrEPx(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:365 8e38d0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:369 8e38d3: c031 movi.n a3, 1 8e38d5: 280aef movi a8, 239 8e38d8: 140051 l32r a4, 4e8144 <_lit4_start+0x144> 8e38db: c073 movi.n a7, 3 8e38dd: c160 movi.n a6, 16 8e38df: c02a movi.n a2, 10 8e38e1: 6d2a31 loopgtz a2, 8e3916 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:371 8e38e4: 0f3911 slli a9, a3, 1 8e38e7: 299c3f addi a9, a9, 63 8e38ea: 079903 xor a9, a9, a7 8e38ed: 090947 extui a9, a9, 0, 8 8e38f0: 049902 or a9, a9, a4 8e38f3: 0c0200 memw 8e38f6: 2a9000 l8ui a10, a9, 0 8e38f9: 06aa02 or a10, a10, a6 8e38fc: 0c0200 memw 8e38ff: 2a9400 s8i a10, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:372 8e3902: 0c0200 memw 8e3905: 259000 l8ui a5, a9, 0 8e3908: 085501 and a5, a5, a8 8e390b: 0c0200 memw 8e390e: 259400 s8i a5, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:373 8e3911: b133 addi.n a3, a3, 1 8e3913: 030347 extui a3, a3, 0, 8 008e3916 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:374 8e3916: c031 movi.n a3, 1 8e3918: c0aa movi.n a10, 10 8e391a: 6daa31 loopgtz a10, 8e394f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:376 8e391d: 0f3911 slli a9, a3, 1 8e3920: 299c5f addi a9, a9, 95 8e3923: 079903 xor a9, a9, a7 8e3926: 090947 extui a9, a9, 0, 8 8e3929: 049902 or a9, a9, a4 8e392c: 0c0200 memw 8e392f: 2a9000 l8ui a10, a9, 0 8e3932: 06aa02 or a10, a10, a6 8e3935: 0c0200 memw 8e3938: 2a9400 s8i a10, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:377 8e393b: 0c0200 memw 8e393e: 259000 l8ui a5, a9, 0 8e3941: 085501 and a5, a5, a8 8e3944: 0c0200 memw 8e3947: 259400 s8i a5, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:378 8e394a: b133 addi.n a3, a3, 1 8e394c: 030347 extui a3, a3, 0, 8 008e394f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:379 8e394f: d10f retw.n 008e3951 : 8e3951: 000000 ... 008e3954 : bGet_status(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:390 8e3954: 6c1004 entry a1, 32 8e3957: 130126 l32r a3, 4e8498 <_lit4_start+0x498> 8e395a: 150128 l32r a5, 4e84a0 <_lit4_start+0x4a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 8e395d: 263002 l8ui a6, a3, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:390 8e3960: c04f movi.n a4, 15 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 8e3962: 283c58 addi a8, a3, 88 8e3965: 060643 extui a6, a6, 0, 4 8e3968: 086609 addx2 a6, a6, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:398 8e396b: c083 movi.n a8, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:393 8e396d: 266001 l8ui a6, a6, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:398 8e3970: 0c0200 memw 8e3973: 2856c0 s32i a8, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:399 8e3976: 0c0200 memw 8e3979: 265683 s32i a6, a5, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:403 8e397c: 0c0200 memw 8e397f: 2456c0 s32i a4, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:405 8e3982: c021 movi.n a2, 1 8e3984: 923b s32i.n a2, a3, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:406 8e3986: d10f retw.n 008e3988 : bClear_feature(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:417 8e3988: 6c1004 entry a1, 32 8e398b: 140126 l32r a4, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:418 8e398e: 224103 l16ui a2, a4, 6 8e3991: 6f2207 bgeui a2, 2, 8e399c 8e3994: cc28 bnez.n a2, 8e39a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:421 8e3996: 234002 l8ui a3, a4, 2 8e3999: 683203 beqi a3, 2, 8e39a0 008e399c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:419 8e399c: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:427 8e399e: d10f retw.n 008e39a0 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:425 8e39a0: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:424 8e39a2: c080 movi.n a8, 0 8e39a4: 28452e s16i a8, a4, 92 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:425 8e39a7: 924b s32i.n a2, a4, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:427 8e39a9: d10f retw.n 008e39ab : ... 008e39ac : bSet_feature(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:454 8e39ac: 6c1004 entry a1, 32 8e39af: 150126 l32r a5, 4e8498 <_lit4_start+0x498> 8e39b2: c071 movi.n a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:567 8e39b4: 245103 l16ui a4, a5, 6 8e39b7: 120127 l32r a2, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:463 8e39ba: 285002 l8ui a8, a5, 2 8e39bd: c949 beqz.n a4, 8e39da /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:567 8e39bf: 68411e beqi a4, 1, 8e39e1 8e39c2: 694217 bnei a4, 2, 8e39dd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:562 8e39c5: 245104 l16ui a4, a5, 8 8e39c8: 04844f extui a4, a4, 8, 16 8e39cb: 6b4402 bgei a4, 4, 8e39d1 8e39ce: 6b412c bgei a4, 1, 8e39fe 008e39d1 : 8e39d1: 684441 beqi a4, 4, 8e3a16 8e39d4: 694505 bnei a4, 5, 8e39dd 8e39d7: 60009c j 8e3a77 008e39da : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:463 8e39da: 688212 beqi a8, 2, 8e39f0 008e39dd : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:566 8e39dd: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:469 8e39df: d10f retw.n 008e39e1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:476 8e39e1: 0c0200 memw 8e39e4: 292083 l8ui a9, a2, 131 8e39e7: 079902 or a9, a9, a7 8e39ea: 0c0200 memw 8e39ed: 292483 s8i a9, a2, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:478 8e39f0: 975b s32i.n a7, a5, 44 8e39f2: d670 mov.n a6, a7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:572 8e39f4: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:569 8e39f6: 696102 bnei a6, 1, 8e39fc 008e39f9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:570 8e39f9: 27552e s16i a7, a5, 92 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:469 8e39fc: d10f retw.n 008e39fe : 8e39fe: 1a0129 l32r a10, 4e84a4 <_lit4_start+0x4a4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:493 8e3a01: d670 mov.n a6, a7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:492 8e3a03: 0a4a09 addx2 a10, a4, a10 8e3a06: 2aa17f l16ui a10, a10, 254 8e3a09: 0c0200 memw 8e3a0c: 2a248b s8i a10, a2, 139 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:493 8e3a0f: 975b s32i.n a7, a5, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:494 8e3a11: 63ffdf j 8e39f4 008e3a14 : ... 008e3a16 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:501 8e3a16: c1b0 movi.n a11, 16 008e3a18 : 8e3a18: 0c0200 memw 8e3a1b: 2b248b s8i a11, a2, 139 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:502 8e3a1e: 0c0200 memw 8e3a21: 272488 s8i a7, a2, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:509 8e3a24: 0c0200 memw 8e3a27: 2b248b s8i a11, a2, 139 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:520 8e3a2a: 0c0200 memw 8e3a2d: 2c2088 l8ui a12, a2, 136 8e3a30: 7fcff6 bbsi a12, 31, 8e3a2a 8e3a33: 160128 l32r a6, 4e84a0 <_lit4_start+0x4a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:528 8e3a36: c09f movi.n a9, 15 8e3a38: 0c0200 memw 8e3a3b: 2966c0 s32i a9, a6, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:530 8e3a3e: c040 movi.n a4, 0 8e3a40: 18012a l32r a8, 4e84a8 <_lit4_start+0x4a8> 8e3a43: c0dd movi.n a13, 13 8e3a45: 6dda0f loopgtz a13, 8e3a58 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:533 8e3a48: 084a0a addx4 a10, a4, a8 8e3a4b: 8aa0 l32i.n a10, a10, 0 8e3a4d: 0c0200 memw 8e3a50: 2a6683 s32i a10, a6, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:534 8e3a53: b144 addi.n a4, a4, 1 8e3a55: 04044f extui a4, a4, 0, 16 008e3a58 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:539 8e3a58: c0d7 movi.n a13, 7 8e3a5a: 0c0200 memw 8e3a5d: 2d66c0 s32i a13, a6, 0x300 8e3a60: 1c012b l32r a12, 4e84ac <_lit4_start+0x4ac> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:540 8e3a63: 0c0200 memw 8e3a66: 2c6683 s32i a12, a6, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:544 8e3a69: 0c0200 memw 8e3a6c: 2966c0 s32i a9, a6, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:553 8e3a6f: c0b2 movi.n a11, 2 8e3a71: 0c0200 memw 8e3a74: 2b2488 s8i a11, a2, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:558 8e3a77: 865b l32i.n a6, a5, 44 8e3a79: 63ff77 j 8e39f4 008e3a7c : bSet_address(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:584 8e3a7c: 6c1004 entry a1, 32 8e3a7f: 140126 l32r a4, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:588 8e3a82: 224103 l16ui a2, a4, 6 8e3a85: 130127 l32r a3, 4e849c <_lit4_start+0x49c> 8e3a88: 6e2f03 bltui a2, 0x100, 8e3a8f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:589 8e3a8b: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:597 8e3a8d: d10f retw.n 008e3a8f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:594 8e3a8f: 0c0200 memw 8e3a92: 223482 s8i a2, a3, 130 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:596 8e3a95: c021 movi.n a2, 1 8e3a97: 924b s32i.n a2, a4, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:597 8e3a99: d10f retw.n 008e3a9b : ... 008e3a9c : bGet_descriptor(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:610 8e3a9c: 6c1004 entry a1, 32 8e3a9f: 1a0126 l32r a10, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:694 8e3aa2: 2ba103 l16ui a11, a10, 6 8e3aa5: 0b8947 extui a9, a11, 8, 8 8e3aa8: 689132 beqi a9, 1, 8e3ade 8e3aab: 689226 beqi a9, 2, 8e3ad5 8e3aae: 689337 beqi a9, 3, 8e3ae9 8e3ab1: 68964f beqi a9, 6, 8e3b04 8e3ab4: 699722 bnei a9, 7, 8e3ada 8e3ab7: 1b012c l32r a11, 4e84b0 <_lit4_start+0x4b0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:686 8e3aba: 9ba8 s32i.n a11, a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:687 8e3abc: 2bb101 l16ui a11, a11, 2 8e3abf: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:696 8e3ac2: 29a105 l16ui a9, a10, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:700 8e3ac5: 288235 l32i a8, a8, 212 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:696 8e3ac8: 0b9936 minu a9, a9, a11 8e3acb: 29a512 s16i a9, a10, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:700 8e3ace: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:701 8e3ad1: c021 movi.n a2, 1 8e3ad3: d10f retw.n 008e3ad5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:643 8e3ad5: 0b0947 extui a9, a11, 0, 8 8e3ad8: cb93 beqz.n a9, 8e3b0f 008e3ada : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:693 8e3ada: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:701 8e3adc: d10f retw.n 008e3ade : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:626 8e3ade: 2ba210 l32i a11, a10, 64 8e3ae1: 9ba8 s32i.n a11, a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:627 8e3ae3: 2bb001 l8ui a11, a11, 1 8e3ae6: 63ffd5 j 8e3abf 008e3ae9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:672 8e3ae9: 0b0b47 extui a11, a11, 0, 8 8e3aec: cab9 beqz.n a11, 8e3b19 8e3aee: 68bb2d beqi a11, 16, 8e3b1f 8e3af1: 68bc30 beqi a11, 32, 8e3b25 8e3af4: c3c0 movi.n a12, 48 8e3af6: 7cb9e0 bne a11, a12, 8e3ada /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:667 8e3af9: 29a214 l32i a9, a10, 80 8e3afc: 99a8 s32i.n a9, a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:673 8e3afe: 2b9001 l8ui a11, a9, 1 8e3b01: 63ffba j 8e3abf 008e3b04 : 8e3b04: 1b012d l32r a11, 4e84b4 <_lit4_start+0x4b4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:678 8e3b07: 9ba8 s32i.n a11, a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:679 8e3b09: 2bb001 l8ui a11, a11, 1 8e3b0c: 63ffaf j 8e3abf 008e3b0f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:637 8e3b0f: 8baa l32i.n a11, a10, 40 8e3b11: 9ba8 s32i.n a11, a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:638 8e3b13: 2bb101 l16ui a11, a11, 2 8e3b16: 63ffa5 j 8e3abf 008e3b19 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:652 8e3b19: 29a211 l32i a9, a10, 68 8e3b1c: 63ffdc j 8e3afc 008e3b1f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:657 8e3b1f: 29a212 l32i a9, a10, 72 8e3b22: 63ffd6 j 8e3afc 008e3b25 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:662 8e3b25: 29a213 l32i a9, a10, 76 8e3b28: 63ffd0 j 8e3afc 008e3b2b : ... 008e3b2c : bGet_configuration(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:713 8e3b2c: 6c1004 entry a1, 32 8e3b2f: c021 movi.n a2, 1 8e3b31: 150128 l32r a5, 4e84a0 <_lit4_start+0x4a0> 8e3b34: 130126 l32r a3, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:719 8e3b37: 0c0200 memw 8e3b3a: 2256c0 s32i a2, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:720 8e3b3d: 28311b l16ui a8, a3, 54 8e3b40: 0c0200 memw 8e3b43: 285683 s32i a8, a5, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:724 8e3b46: c04f movi.n a4, 15 8e3b48: 0c0200 memw 8e3b4b: 2456c0 s32i a4, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:726 8e3b4e: 923b s32i.n a2, a3, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:728 8e3b50: d10f retw.n 008e3b52 : ... 008e3b54 : bSet_configuration(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:743 8e3b54: 6c1004 entry a1, 32 8e3b57: 130126 l32r a3, 4e8498 <_lit4_start+0x498> 8e3b5a: 120127 l32r a2, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:748 8e3b5d: 2a3007 l8ui a10, a3, 7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:753 8e3b60: c054 movi.n a5, 4 8e3b62: 140128 l32r a4, 4e84a0 <_lit4_start+0x4a0> 8e3b65: cda5 bnez.n a10, 8e3b7e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:750 8e3b67: c090 movi.n a9, 0 8e3b69: 29351b s16i a9, a3, 54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:753 8e3b6c: 0c0200 memw 8e3b6f: 282082 l8ui a8, a2, 130 8e3b72: 080846 extui a8, a8, 0, 7 8e3b75: 0c0200 memw 8e3b78: 282482 s8i a8, a2, 130 8e3b7b: 60005c j 8e3bdb 008e3b7e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:757 8e3b7e: 0c0200 memw 8e3b81: 2b2083 l8ui a11, a2, 131 8e3b84: 79b706 bbci a11, 25, 8e3b8e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:759 8e3b87: 6ea256 bltui a10, 2, 8e3be1 008e3b8a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:760 8e3b8a: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:798 8e3b8c: d10f retw.n 008e3b8e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:773 8e3b8e: 6fa2f8 bgeui a10, 2, 8e3b8a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:776 8e3b91: 2a351b s16i a10, a3, 54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:777 8e3b94: 5803f9 call8 8e4b7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:781 8e3b97: c7db movi.n a13, -5 8e3b99: 0c0200 memw 8e3b9c: 2c42c2 l32i a12, a4, 0x308 8e3b9f: 0dcc01 and a12, a12, a13 8e3ba2: 0c0200 memw 8e3ba5: 2c46c2 s32i a12, a4, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:787 8e3ba8: 2f0a80 movi a15, 128 8e3bab: 0c0200 memw 8e3bae: 2e2082 l8ui a14, a2, 130 8e3bb1: 0fee02 or a14, a14, a15 8e3bb4: 0c0200 memw 8e3bb7: 2e2482 s8i a14, a2, 130 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:790 8e3bba: 5bff45 call8 8e38d0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:792 8e3bbd: 0c0200 memw 8e3bc0: 292083 l8ui a9, a2, 131 8e3bc3: 059902 or a9, a9, a5 8e3bc6: 0c0200 memw 8e3bc9: 292483 s8i a9, a2, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:793 8e3bcc: 0c0200 memw 8e3bcf: 282096 l8ui a8, a2, 150 8e3bd2: 080845 extui a8, a8, 0, 6 8e3bd5: 0c0200 memw 8e3bd8: 282496 s8i a8, a2, 150 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:797 8e3bdb: c021 movi.n a2, 1 8e3bdd: 923b s32i.n a2, a3, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:798 8e3bdf: d10f retw.n 008e3be1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:762 8e3be1: 2a351b s16i a10, a3, 54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:763 8e3be4: 580381 call8 8e49ec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:767 8e3be7: 0c0200 memw 8e3bea: 2a42c2 l32i a10, a4, 0x308 8e3bed: 05aa02 or a10, a10, a5 8e3bf0: 0c0200 memw 8e3bf3: 2a46c2 s32i a10, a4, 0x308 8e3bf6: 63ffae j 8e3ba8 008e3bf9 : 8e3bf9: 000000 ... 008e3bfc : bGet_interface(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:810 8e3bfc: 6c1004 entry a1, 32 8e3bff: 130127 l32r a3, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:813 8e3c02: 0c0200 memw 8e3c05: 140126 l32r a4, 4e8498 <_lit4_start+0x498> 8e3c08: 233082 l8ui a3, a3, 130 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:836 8e3c0b: 28411b l16ui a8, a4, 54 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:814 8e3c0e: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:813 8e3c10: 78370b bbci a3, 24, 8e3c1f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:836 8e3c13: 698108 bnei a8, 1, 8e3c1f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:823 8e3c16: 294104 l16ui a9, a4, 8 8e3c19: 1b0128 l32r a11, 4e84a0 <_lit4_start+0x4a0> 8e3c1c: 6e9201 bltui a9, 2, 8e3c21 008e3c1f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:849 8e3c1f: d10f retw.n 008e3c21 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:840 8e3c21: c021 movi.n a2, 1 8e3c23: 0c0200 memw 8e3c26: 22b6c0 s32i a2, a11, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:841 8e3c29: 2c411d l16ui a12, a4, 58 8e3c2c: 0c0200 memw 8e3c2f: 2cb683 s32i a12, a11, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:845 8e3c32: c0af movi.n a10, 15 8e3c34: 0c0200 memw 8e3c37: 2ab6c0 s32i a10, a11, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:847 8e3c3a: 224512 s16i a2, a4, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:848 8e3c3d: 924b s32i.n a2, a4, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:849 8e3c3f: d10f retw.n 008e3c41 : 8e3c41: 000000 ... 008e3c44 : bSet_interface(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:863 8e3c44: 6c1004 entry a1, 32 8e3c47: 130127 l32r a3, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:868 8e3c4a: 0c0200 memw 8e3c4d: 140126 l32r a4, 4e8498 <_lit4_start+0x498> 8e3c50: 283082 l8ui a8, a3, 130 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 8e3c53: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:908 8e3c55: 2a4104 l16ui a10, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:868 8e3c58: 788738 bbci a8, 24, 8e3c94 8e3c5b: cfa5 bnez.n a10, 8e3c94 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:877 8e3c5d: 894a l32i.n a9, a4, 40 8e3c5f: 2b4007 l8ui a11, a4, 7 8e3c62: 29900d l8ui a9, a9, 13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:879 8e3c65: 0a0d47 extui a13, a10, 0, 8 8e3c68: c054 movi.n a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:877 8e3c6a: 7b9926 bne a9, a11, 8e3c94 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:879 8e3c6d: 2d451c s16i a13, a4, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:880 8e3c70: 2b451d s16i a11, a4, 58 8e3c73: 120128 l32r a2, 4e84a0 <_lit4_start+0x4a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:881 8e3c76: 0c0200 memw 8e3c79: 2c3083 l8ui a12, a3, 131 8e3c7c: 79c716 bbci a12, 25, 8e3c96 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:883 8e3c7f: 58035b call8 8e49ec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:886 8e3c82: 0c0200 memw 8e3c85: 2e22c2 l32i a14, a2, 0x308 8e3c88: 05ee02 or a14, a14, a5 8e3c8b: 0c0200 memw 8e3c8e: 2e26c2 s32i a14, a2, 0x308 8e3c91: 600015 j 8e3caa 008e3c94 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 8e3c94: d10f retw.n 008e3c96 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:891 8e3c96: 5803b9 call8 8e4b7c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:894 8e3c99: c78b movi.n a8, -5 8e3c9b: 0c0200 memw 8e3c9e: 2f22c2 l32i a15, a2, 0x308 8e3ca1: 08ff01 and a15, a15, a8 8e3ca4: 0c0200 memw 8e3ca7: 2f26c2 s32i a15, a2, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:897 8e3caa: 5bff09 call8 8e38d0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:898 8e3cad: c021 movi.n a2, 1 8e3caf: 924b s32i.n a2, a4, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:900 8e3cb1: 0c0200 memw 8e3cb4: 2a3083 l8ui a10, a3, 131 8e3cb7: 05aa02 or a10, a10, a5 8e3cba: 0c0200 memw 8e3cbd: 2a3483 s8i a10, a3, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:901 8e3cc0: 0c0200 memw 8e3cc3: 293096 l8ui a9, a3, 150 8e3cc6: 090945 extui a9, a9, 0, 6 8e3cc9: 0c0200 memw 8e3ccc: 293496 s8i a9, a3, 150 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:910 8e3ccf: d10f retw.n 008e3cd1 : 8e3cd1: 000000 ... 008e3cd4 : vUsbEP0TxData(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:921 8e3cd4: 6c1004 entry a1, 32 8e3cd7: 160126 l32r a6, 4e8498 <_lit4_start+0x498> 8e3cda: 140128 l32r a4, 4e84a0 <_lit4_start+0x4a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 8e3cdd: c031 movi.n a3, 1 8e3cdf: 276112 l16ui a7, a6, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:921 8e3ce2: c450 movi.n a5, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 8e3ce4: d850 mov.n a8, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 8e3ce6: 070947 extui a9, a7, 0, 8 8e3ce9: 6e7d01 bltui a7, 64, 8e3cee 8e3cec: c030 movi.n a3, 0 008e3cee : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 8e3cee: 039839 movnez a8, a9, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 8e3cf1: 039539 movnez a5, a9, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 8e3cf4: 052214 srli a2, a5, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 8e3cf7: 08770c sub a7, a7, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 8e3cfa: 8368 l32i.n a3, a6, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:931 8e3cfc: 07074f extui a7, a7, 0, 16 8e3cff: 276512 s16i a7, a6, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:926 8e3d02: 055802 or a8, a5, a5 8e3d05: 6d2918 loopnez a2, 8e3d21 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:945 8e3d08: 2b3101 l16ui a11, a3, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:944 8e3d0b: 2a3100 l16ui a10, a3, 0 8e3d0e: b23c addi.n a12, a3, 2 8e3d10: 9c68 s32i.n a12, a6, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:945 8e3d12: b433 addi.n a3, a3, 4 8e3d14: 9368 s32i.n a3, a6, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:950 8e3d16: 00bb11 slli a11, a11, 16 8e3d19: abaa add.n a10, a10, a11 8e3d1b: 0c0200 memw 8e3d1e: 2a4683 s32i a10, a4, 0x20c 008e3d21 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 8e3d21: c053 movi.n a5, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:956 8e3d23: 080341 extui a3, a8, 0, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:937 8e3d26: c081 movi.n a8, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:956 8e3d28: 693321 bnei a3, 3, 8e3d4d /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:963 8e3d2b: 8268 l32i.n a2, a6, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:964 8e3d2d: 2e2101 l16ui a14, a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:963 8e3d30: 2d2100 l16ui a13, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:964 8e3d33: b422 addi.n a2, a2, 4 8e3d35: 9268 s32i.n a2, a6, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:968 8e3d37: c0f7 movi.n a15, 7 8e3d39: 0c0200 memw 8e3d3c: 2f46c0 s32i a15, a4, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:969 8e3d3f: 00ee11 slli a14, a14, 16 8e3d42: aedd add.n a13, a13, a14 8e3d44: 0c0200 memw 8e3d47: 2d4683 s32i a13, a4, 0x20c 8e3d4a: 60002a j 8e3d78 008e3d4d : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:971 8e3d4d: 693213 bnei a3, 2, 8e3d64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:973 8e3d50: 0c0200 memw 8e3d53: 2546c0 s32i a5, a4, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:974 8e3d56: 8968 l32i.n a9, a6, 32 8e3d58: 299100 l16ui a9, a9, 0 8e3d5b: 0c0200 memw 8e3d5e: 294683 s32i a9, a4, 0x20c 8e3d61: 600013 j 8e3d78 008e3d64 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:976 8e3d64: 693110 bnei a3, 1, 8e3d78 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:978 8e3d67: 0c0200 memw 8e3d6a: 2846c0 s32i a8, a4, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:979 8e3d6d: 8a68 l32i.n a10, a6, 32 008e3d6f : 8e3d6f: 2aa100 l16ui a10, a10, 0 8e3d72: 0c0200 memw 8e3d75: 2a4683 s32i a10, a4, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:983 8e3d78: c0bf movi.n a11, 15 8e3d7a: 0c0200 memw 8e3d7d: 2b46c0 s32i a11, a4, 0x300 8e3d80: cc74 bnez.n a7, 8e3d88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:990 8e3d82: 986b s32i.n a8, a6, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:989 8e3d84: c0c0 movi.n a12, 0 8e3d86: 9c6c s32i.n a12, a6, 48 008e3d88 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:992 8e3d88: d10f retw.n 008e3d8a : ... 008e3d8c : vUsbEP0RxData(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1002 8e3d8c: 6c1004 entry a1, 32 8e3d8f: 190126 l32r a9, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 8e3d92: c031 movi.n a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1002 8e3d94: c460 movi.n a6, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 8e3d96: 259112 l16ui a5, a9, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1010 8e3d99: d760 mov.n a7, a6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1015 8e3d9b: 8498 l32i.n a4, a9, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1005 8e3d9d: 050847 extui a8, a5, 0, 8 8e3da0: 6e5d01 bltui a5, 64, 8e3da5 8e3da3: c030 movi.n a3, 0 008e3da5 : 8e3da5: 038639 movnez a6, a8, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1010 8e3da8: 038739 movnez a7, a8, a3 8e3dab: 07550c sub a5, a5, a7 8e3dae: 05054f extui a5, a5, 0, 16 8e3db1: 259512 s16i a5, a9, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1017 8e3db4: b367 addi.n a7, a6, 3 8e3db6: 072712 srai a7, a7, 2 8e3db9: 6a7138 blti a7, 1, 8e3df5 8e3dbc: 160128 l32r a6, 4e84a0 <_lit4_start+0x4a0> 8e3dbf: c030 movi.n a3, 0 8e3dc1: 6d7a28 loopgtz a7, 8e3ded /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1021 8e3dc4: 0c0200 memw 8e3dc7: 2b6283 l32i a11, a6, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1033 8e3dca: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1026 8e3dcc: 2b4400 s8i a11, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1027 8e3dcf: 0b8814 srli a8, a11, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1028 8e3dd2: 0b075f extui a7, a11, 16, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1029 8e3dd5: 0b8557 extui a5, a11, 24, 8 8e3dd8: 254403 s8i a5, a4, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1028 8e3ddb: 274402 s8i a7, a4, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1027 8e3dde: 284401 s8i a8, a4, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1031 8e3de1: 8a97 l32i.n a10, a9, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1033 8e3de3: 030347 extui a3, a3, 0, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1029 8e3de6: b444 addi.n a4, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1031 8e3de8: 0baa03 xor a10, a10, a11 8e3deb: 9a97 s32i.n a10, a9, 28 008e3ded : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1017 8e3ded: 259112 l16ui a5, a9, 36 8e3df0: 8498 l32i.n a4, a9, 32 8e3df2: 600001 j 8e3df7 008e3df5 : 8e3df5: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1035 8e3df7: 043a0a addx4 a10, a3, a4 8e3dfa: 9a98 s32i.n a10, a9, 32 8e3dfc: cc56 bnez.n a5, 8e3e06 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1042 8e3dfe: c0b1 movi.n a11, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1041 8e3e00: c0c0 movi.n a12, 0 8e3e02: 9c9c s32i.n a12, a9, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1042 8e3e04: 9b9b s32i.n a11, a9, 44 008e3e06 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1044 8e3e06: d10f retw.n 008e3e08 : vUsb_SetupDescriptor(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1054 8e3e08: 6c1004 entry a1, 32 8e3e0b: 180003 l32r a8, 4e800c <_lit4_start+0xc> 8e3e0e: 120126 l32r a2, 4e8498 <_lit4_start+0x498> 8e3e11: 190030 l32r a9, 4e80c0 <_lit4_start+0xc0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1061 8e3e14: 8880 l32i.n a8, a8, 0 8e3e16: 1d0131 l32r a13, 4e84c4 <_lit4_start+0x4c4> 8e3e19: 1e0130 l32r a14, 4e84c0 <_lit4_start+0x4c0> 8e3e1c: 79892b bne a8, a9, 8e3e4b 8e3e1f: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1063 8e3e22: 288212 l32i a8, a8, 72 8e3e25: 1a012e l32r a10, 4e84b8 <_lit4_start+0x4b8> 8e3e28: 0b8000 callx8 a8 8e3e2b: 1c0032 l32r a12, 4e80c8 <_lit4_start+0xc8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1065 8e3e2e: 2c2610 s32i a12, a2, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1069 8e3e31: 29cc64 addi a9, a12, 100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1068 8e3e34: 2acc44 addi a10, a12, 68 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1067 8e3e37: 2bcc2c addi a11, a12, 44 8e3e3a: 2b2612 s32i a11, a2, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1068 8e3e3d: 2a2613 s32i a10, a2, 76 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1066 8e3e40: 2ccc20 addi a12, a12, 32 8e3e43: 2c2611 s32i a12, a2, 68 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1069 8e3e46: 292614 s32i a9, a2, 80 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1085 8e3e49: d10f retw.n 008e3e4b : 8e3e4b: 190132 l32r a9, 4e84c8 <_lit4_start+0x4c8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1077 8e3e4e: 2d2613 s32i a13, a2, 76 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1076 8e3e51: 2e2612 s32i a14, a2, 72 8e3e54: 18009d l32r a8, 4e8274 <_lit4_start+0x274> 8e3e57: 1f012f l32r a15, 4e84bc <_lit4_start+0x4bc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1075 8e3e5a: 2f2611 s32i a15, a2, 68 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1074 8e3e5d: 282610 s32i a8, a2, 64 8e3e60: 63ffe2 j 8e3e46 008e3e63 : ... 008e3e64 : bStandardCommand(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1096 8e3e64: 6c1004 entry a1, 32 8e3e67: 1a0126 l32r a10, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1233 8e3e6a: 2aa102 l16ui a10, a10, 4 8e3e6d: 1b0018 l32r a11, 4e8060 <_lit4_start+0x60> 8e3e70: c9ae beqz.n a10, 8e3e92 8e3e72: 68a130 beqi a10, 1, 8e3ea6 8e3e75: 68a337 beqi a10, 3, 8e3eb0 8e3e78: 68a53e beqi a10, 5, 8e3eba 8e3e7b: 68a645 beqi a10, 6, 8e3ec4 8e3e7e: 68a84c beqi a10, 8, 8e3ece 8e3e81: c0c9 movi.n a12, 9 8e3e83: 7ca15b beq a10, a12, 8e3ee2 8e3e86: 68a94e beqi a10, 10, 8e3ed8 8e3e89: c0db movi.n a13, 11 8e3e8b: 7da10d beq a10, a13, 8e3e9c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1234 8e3e8e: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3e90: d10f retw.n 008e3e92 : 8e3e92: 28b231 l32i a8, a11, 196 8e3e95: 0b8000 callx8 a8 8e3e98: d2a0 mov.n a2, a10 8e3e9a: d10f retw.n 008e3e9c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1231 8e3e9c: 28b224 l32i a8, a11, 144 8e3e9f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1232 8e3ea2: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3ea4: d10f retw.n 008e3ea6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1103 8e3ea6: 28b22d l32i a8, a11, 180 8e3ea9: 0b8000 callx8 a8 8e3eac: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3eae: d10f retw.n 008e3eb0 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1106 8e3eb0: 28b22e l32i a8, a11, 184 8e3eb3: 0b8000 callx8 a8 8e3eb6: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3eb8: d10f retw.n 008e3eba : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1109 8e3eba: 28b22f l32i a8, a11, 188 8e3ebd: 0b8000 callx8 a8 8e3ec0: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3ec2: d10f retw.n 008e3ec4 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1112 8e3ec4: 28b230 l32i a8, a11, 192 8e3ec7: 0b8000 callx8 a8 8e3eca: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3ecc: d10f retw.n 008e3ece : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1121 8e3ece: 28b225 l32i a8, a11, 148 8e3ed1: 0b8000 callx8 a8 8e3ed4: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3ed6: d10f retw.n 008e3ed8 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1224 8e3ed8: 28b223 l32i a8, a11, 140 8e3edb: 0b8000 callx8 a8 8e3ede: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3ee0: d10f retw.n 008e3ee2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1129 8e3ee2: 28b226 l32i a8, a11, 152 8e3ee5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1134 8e3ee8: c021 movi.n a2, 1 8e3eea: 1a0128 l32r a10, 4e84a0 <_lit4_start+0x4a0> 8e3eed: 1d0110 l32r a13, 4e8440 <_lit4_start+0x440> 8e3ef0: 1c010f l32r a12, 4e843c <_lit4_start+0x43c> 8e3ef3: 0c0200 memw 8e3ef6: 22d687 s32i a2, a13, 0x21c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1135 8e3ef9: 0c0200 memw 8e3efc: 22c686 s32i a2, a12, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1138 8e3eff: 0c0200 memw 8e3f02: 22d6c7 s32i a2, a13, 0x31c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1142 8e3f05: 0c0200 memw 8e3f08: 92c7 s32i.n a2, a12, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1149 8e3f0a: c0b8 movi.n a11, 8 8e3f0c: 0c0200 memw 8e3f0f: 29a2c2 l32i a9, a10, 0x308 8e3f12: 0b9902 or a9, a9, a11 8e3f15: 0c0200 memw 8e3f18: 29a6c2 s32i a9, a10, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1156 8e3f1b: c082 movi.n a8, 2 8e3f1d: 0c0200 memw 8e3f20: 2fa2c2 l32i a15, a10, 0x308 8e3f23: 08ff02 or a15, a15, a8 8e3f26: 0c0200 memw 8e3f29: 2fa6c2 s32i a15, a10, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1157 8e3f2c: 0c0200 memw 8e3f2f: 2ea2c2 l32i a14, a10, 0x308 8e3f32: 02ee02 or a14, a14, a2 8e3f35: 0c0200 memw 8e3f38: 2ea6c2 s32i a14, a10, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1160 8e3f3b: 2d1a00 movi a13, 0x100 8e3f3e: 0c0200 memw 8e3f41: 2ca2c2 l32i a12, a10, 0x308 8e3f44: 0dcc02 or a12, a12, a13 8e3f47: 0c0200 memw 8e3f4a: 2ca6c2 s32i a12, a10, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1164 8e3f4d: 2b2a00 movi a11, 0x200 8e3f50: 0c0200 memw 8e3f53: 29a2c2 l32i a9, a10, 0x308 8e3f56: 0b9902 or a9, a9, a11 8e3f59: 0c0200 memw 8e3f5c: 29a6c2 s32i a9, a10, 0x308 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1100 8e3f5f: d10f retw.n 008e3f61 : 8e3f61: 000000 ... 008e3f64 : VendorCommand(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1239 8e3f64: 6c1004 entry a1, 32 8e3f67: 190133 l32r a9, 4e84cc <_lit4_start+0x4cc> 8e3f6a: 160015 l32r a6, 4e8054 <_lit4_start+0x54> 8e3f6d: 120126 l32r a2, 4e8498 <_lit4_start+0x498> 8e3f70: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1248 8e3f73: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1306 8e3f76: 2a2102 l16ui a10, a2, 4 8e3f79: c380 movi.n a8, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1248 8e3f7b: 29667c s32i a9, a6, 0x1f0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1306 8e3f7e: 78a163 beq a10, a8, 8e3fe5 8e3f81: c3b1 movi.n a11, 49 8e3f83: 7ba979 bne a10, a11, 8e4000 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1262 8e3f86: 272103 l16ui a7, a2, 6 8e3f89: 1a0134 l32r a10, 4e84d0 <_lit4_start+0x4d0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1263 8e3f8c: 283212 l32i a8, a3, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1262 8e3f8f: 087711 slli a7, a7, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1263 8e3f92: db70 mov.n a11, a7 8e3f94: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1265 8e3f97: c0ef movi.n a14, 15 8e3f99: c0d1 movi.n a13, 1 8e3f9b: c0c0 movi.n a12, 0 8e3f9d: 8b27 l32i.n a11, a2, 28 8e3f9f: 150128 l32r a5, 4e84a0 <_lit4_start+0x4a0> 8e3fa2: 140127 l32r a4, 4e849c <_lit4_start+0x49c> 8e3fa5: 64b059 beqz a11, 8e4002 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1267 8e3fa8: 283212 l32i a8, a3, 72 8e3fab: c07f movi.n a7, 15 8e3fad: 1a0135 l32r a10, 4e84d4 <_lit4_start+0x4d4> 8e3fb0: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1268 8e3fb3: c0c0 movi.n a12, 0 8e3fb5: 9c27 s32i.n a12, a2, 28 8e3fb7: 1b0136 l32r a11, 4e84d8 <_lit4_start+0x4d8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1270 8e3fba: 0c0200 memw 8e3fbd: 2a627d l32i a10, a6, 0x1f4 8e3fc0: 0baa02 or a10, a10, a11 8e3fc3: 0c0200 memw 8e3fc6: 2a667d s32i a10, a6, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1272 8e3fc9: 0c0200 memw 8e3fcc: c091 movi.n a9, 1 8e3fce: 2956c0 s32i a9, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1273 8e3fd1: 0c0200 memw 8e3fd4: 295683 s32i a9, a5, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1274 8e3fd7: 0c0200 memw 8e3fda: 2756c0 s32i a7, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1275 8e3fdd: 0c0200 memw 8e3fe0: 294488 s8i a9, a4, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 8e3fe3: d10f retw.n 008e3fe5 : 8e3fe5: 1a0137 l32r a10, 4e84dc <_lit4_start+0x4dc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1255 8e3fe8: 283212 l32i a8, a3, 72 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1254 8e3feb: 2b2103 l16ui a11, a2, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1253 8e3fee: 2c2105 l16ui a12, a2, 10 8e3ff1: 2c2512 s16i a12, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1254 8e3ff4: 08bb11 slli a11, a11, 8 8e3ff7: 9b28 s32i.n a11, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1255 8e3ff9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1257 8e3ffc: c0c2 movi.n a12, 2 8e3ffe: 9c2c s32i.n a12, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 8e4000: d10f retw.n 008e4002 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1281 8e4002: 9c27 s32i.n a12, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1282 8e4004: 0c0200 memw 8e4007: 2d56c0 s32i a13, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1283 8e400a: 0c0200 memw 8e400d: 2c5683 s32i a12, a5, 0x20c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1284 8e4010: 0c0200 memw 8e4013: 2e56c0 s32i a14, a5, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1285 8e4016: 0c0200 memw 8e4019: 2d4488 s8i a13, a4, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1287 8e401c: 283212 l32i a8, a3, 72 8e401f: 1a0138 l32r a10, 4e84e0 <_lit4_start+0x4e0> 8e4022: 0b8000 callx8 a8 8e4025: 1d0139 l32r a13, 4e84e4 <_lit4_start+0x4e4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1289 8e4028: 0c0200 memw 8e402b: 2c627d l32i a12, a6, 0x1f4 8e402e: 0dcc01 and a12, a12, a13 8e4031: 0c0200 memw 8e4034: 2c667d s32i a12, a6, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1290 8e4037: c3af movi.n a10, 63 8e4039: 2bfa00 movi a11, 0xffffff00 8e403c: 0c0200 memw 8e403f: 29627d l32i a9, a6, 0x1f4 8e4042: 0b9901 and a9, a9, a11 8e4045: 0a9902 or a9, a9, a10 8e4048: 0c0200 memw 8e404b: 29667d s32i a9, a6, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1298 8e404e: 077839 movnez a8, a7, a7 8e4051: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1307 8e4054: d10f retw.n 008e4056 : ... 008e4058 : vUsb_ep0setup(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1322 8e4058: 6c1006 entry a1, 48 8e405b: 120126 l32r a2, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1330 8e405e: 28211a l16ui a8, a2, 52 8e4061: 130127 l32r a3, 4e849c <_lit4_start+0x49c> 8e4064: 140018 l32r a4, 4e8060 <_lit4_start+0x60> 8e4067: b088 addi.n a8, a8, -1 8e4069: 6480ae beqz a8, 8e411b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1336 8e406c: 284232 l32i a8, a4, 200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1332 8e406f: c091 movi.n a9, 1 8e4071: 29251a s16i a9, a2, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1336 8e4074: 0b8000 callx8 a8 8e4077: 1a012c l32r a10, 4e84b0 <_lit4_start+0x4b0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1338 8e407a: 2e7a09 movi a14, 0x709 8e407d: 2ea500 s16i a14, a10, 0 8e4080: 1b013a l32r a11, 4e84e8 <_lit4_start+0x4e8> 8e4083: 1c013b l32r a12, 4e84ec <_lit4_start+0x4ec> 8e4086: 1e012d l32r a14, 4e84b4 <_lit4_start+0x4b4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1340 8e4089: 0c0200 memw 8e408c: 2d3083 l8ui a13, a3, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1345 8e408f: c091 movi.n a9, 1 8e4091: 2f0a03 movi a15, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1340 8e4094: 79d73d bbci a13, 25, 8e40d5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1342 8e4097: 2c260a s32i a12, a2, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1345 8e409a: 2d2210 l32i a13, a2, 64 8e409d: 6dfa10 loopgtz a15, 8e40b1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1347 8e40a0: 0e9f09 addx2 a15, a9, a14 8e40a3: 0d9c09 addx2 a12, a9, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1348 8e40a6: b199 addi.n a9, a9, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1347 8e40a8: 2cc100 l16ui a12, a12, 0 8e40ab: 2cf500 s16i a12, a15, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1348 8e40ae: 090947 extui a9, a9, 0, 8 008e40b1 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1352 8e40b1: 28d108 l16ui a8, a13, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1355 8e40b4: c091 movi.n a9, 1 8e40b6: c1fd movi.n a15, 29 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1352 8e40b8: 088847 extui a8, a8, 8, 8 8e40bb: 28e504 s16i a8, a14, 8 8e40be: 6dfa10 loopgtz a15, 8e40d2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1357 8e40c1: 0a9d09 addx2 a13, a9, a10 8e40c4: 0b9c09 addx2 a12, a9, a11 8e40c7: 2cc100 l16ui a12, a12, 0 8e40ca: 2cd500 s16i a12, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1358 8e40cd: b199 addi.n a9, a9, 1 8e40cf: 090947 extui a9, a9, 0, 8 008e40d2 : 8e40d2: 60003f j 8e4115 008e40d5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1379 8e40d5: 9b2a s32i.n a11, a2, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1382 8e40d7: 2d2210 l32i a13, a2, 64 8e40da: c091 movi.n a9, 1 8e40dc: c0f3 movi.n a15, 3 8e40de: 6dfa10 loopgtz a15, 8e40f2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1384 8e40e1: 0e9f09 addx2 a15, a9, a14 8e40e4: 0d9b09 addx2 a11, a9, a13 8e40e7: 2bb100 l16ui a11, a11, 0 8e40ea: 2bf500 s16i a11, a15, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1385 8e40ed: b199 addi.n a9, a9, 1 8e40ef: 090947 extui a9, a9, 0, 8 008e40f2 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1389 8e40f2: 28d108 l16ui a8, a13, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1392 8e40f5: 290a01 movi a9, 1 8e40f8: 2f0a1d movi a15, 29 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1389 8e40fb: 088847 extui a8, a8, 8, 8 8e40fe: 28e504 s16i a8, a14, 8 8e4101: 6dfa10 loopgtz a15, 8e4115 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1394 8e4104: 0a9d09 addx2 a13, a9, a10 8e4107: 0c9b09 addx2 a11, a9, a12 8e410a: 2bb100 l16ui a11, a11, 0 8e410d: 2bd500 s16i a11, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1395 8e4110: b199 addi.n a9, a9, 1 8e4112: 090947 extui a9, a9, 0, 8 008e4115 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1415 8e4115: 2c6a0a movi a12, 0x60a 8e4118: 2ce500 s16i a12, a14, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1418 8e411b: 0c0200 memw 8e411e: c052 movi.n a5, 2 8e4120: 1c0128 l32r a12, 4e84a0 <_lit4_start+0x4a0> 8e4123: 293088 l8ui a9, a3, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1424 8e4126: 0c0200 memw 8e4129: 28c283 l32i a8, a12, 0x20c 8e412c: 0c0200 memw 8e412f: 9810 s32i.n a8, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1426 8e4131: 0c0200 memw 8e4134: 8d10 l32i.n a13, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1429 8e4136: 2e0a60 movi a14, 96 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 8e4139: 2b0a80 movi a11, 128 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1430 8e413c: 0d0f41 extui a15, a13, 0, 2 8e413f: 2f2402 s8i a15, a2, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 8e4142: 0bdb01 and a11, a13, a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1429 8e4145: 0eda01 and a10, a13, a14 8e4148: 2a2401 s8i a10, a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1428 8e414b: 2b2400 s8i a11, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1432 8e414e: 0c0200 memw 8e4151: 8910 l32i.n a9, a1, 0 8e4153: 098947 extui a9, a9, 8, 8 8e4156: 292502 s16i a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1433 8e4159: 0c0200 memw 8e415c: 8810 l32i.n a8, a1, 0 8e415e: 088857 extui a8, a8, 24, 8 8e4161: 088811 slli a8, a8, 8 8e4164: 0c0200 memw 8e4167: 8f10 l32i.n a15, a1, 0 8e4169: 0f0f57 extui a15, a15, 16, 8 8e416c: a8ff add.n a15, a15, a8 8e416e: 2f2503 s16i a15, a2, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1436 8e4171: 0c0200 memw 8e4174: 2cc283 l32i a12, a12, 0x20c 8e4177: 0c0200 memw 8e417a: 9c10 s32i.n a12, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1438 8e417c: 0c0200 memw 8e417f: 8b10 l32i.n a11, a1, 0 8e4181: 0b8b47 extui a11, a11, 8, 8 8e4184: 08bb11 slli a11, a11, 8 8e4187: 0c0200 memw 8e418a: 8910 l32i.n a9, a1, 0 8e418c: 090947 extui a9, a9, 0, 8 8e418f: ab99 add.n a9, a9, a11 8e4191: 292504 s16i a9, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1439 8e4194: 0c0200 memw 8e4197: 8810 l32i.n a8, a1, 0 8e4199: 088857 extui a8, a8, 24, 8 8e419c: 088811 slli a8, a8, 8 8e419f: 0c0200 memw 8e41a2: 8f10 l32i.n a15, a1, 0 8e41a4: 0f0f57 extui a15, a15, 16, 8 8e41a7: a8ff add.n a15, a15, a8 8e41a9: 2f2505 s16i a15, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1442 8e41ac: 7ed80e bany a13, a14, 8e41be /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1445 8e41af: 284227 l32i a8, a4, 156 8e41b2: 0b8000 callx8 a8 8e41b5: 892b l32i.n a9, a2, 44 8e41b7: 0a5938 moveqz a9, a5, a10 8e41ba: 992b s32i.n a9, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1462 8e41bc: d10f retw.n 008e41be : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1450 8e41be: 69ad07 bnei a10, 64, 8e41c9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1453 8e41c1: 284228 l32i a8, a4, 160 8e41c4: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1462 8e41c7: d10f retw.n 008e41c9 : 8e41c9: d950 mov.n a9, a5 8e41cb: 63ffeb j 8e41ba 008e41ce : ... 008e41d0 : cFUSB200Init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1469 8e41d0: 6c1004 entry a1, 32 8e41d3: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1471 8e41d5: 2b0afd movi a11, 253 8e41d8: 130127 l32r a3, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1469 8e41db: 290aff movi a9, 255 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1471 8e41de: 0c0200 memw 8e41e1: 2a30ab l8ui a10, a3, 171 8e41e4: 0baa01 and a10, a10, a11 8e41e7: 0c0200 memw 8e41ea: 2a34ab s8i a10, a3, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1475 8e41ed: 0c0200 memw 8e41f0: 293491 s8i a9, a3, 145 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1476 8e41f3: 0c0200 memw 8e41f6: 293490 s8i a9, a3, 144 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1477 8e41f9: 0c0200 memw 8e41fc: 293497 s8i a9, a3, 151 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1480 8e41ff: 0c0200 memw 8e4202: 293495 s8i a9, a3, 149 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1481 8e4205: 0c0200 memw 8e4208: 293494 s8i a9, a3, 148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1488 8e420b: c180 movi.n a8, 16 8e420d: 0c0200 memw 8e4210: 283483 s8i a8, a3, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1489 8e4213: 0c0200 memw 8e4216: 223483 s8i a2, a3, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1492 8e4219: c061 movi.n a6, 1 8e421b: 0c0200 memw 8e421e: 263481 s8i a6, a3, 129 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1493 8e4221: c450 movi.n a5, 64 8e4223: 0c0200 memw 8e4226: 253492 s8i a5, a3, 146 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1496 8e4229: 0c0200 memw 8e422c: 223489 s8i a2, a3, 137 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1499 8e422f: 0c0200 memw 8e4232: 2234ab s8i a2, a3, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1502 8e4235: c244 movi.n a4, 36 8e4237: 0c0200 memw 8e423a: 243483 s8i a4, a3, 131 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1504 8e423d: 0c0200 memw 8e4240: 223491 s8i a2, a3, 145 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1505 8e4243: 0c0200 memw 8e4246: 223490 s8i a2, a3, 144 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1506 8e4249: 0c0200 memw 8e424c: 223497 s8i a2, a3, 151 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1510 8e424f: d10f retw.n 008e4251 : 8e4251: 000000 ... 008e4254 <_usbfifo_enable_event_isr>: _usbfifo_enable_event_isr(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1514 8e4254: 6c1004 entry a1, 32 8e4257: 130127 l32r a3, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1515 8e425a: 240abf movi a4, 191 8e425d: 0c0200 memw 8e4260: 223094 l8ui a2, a3, 148 8e4263: 042201 and a2, a2, a4 8e4266: 0c0200 memw 8e4269: 223494 s8i a2, a3, 148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1516 8e426c: d10f retw.n 008e426e <_usbfifo_enable_event_isr+0x1a>: ... 008e4270 <_usbfifo_init>: _usbfifo_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1519 8e4270: 6c1004 entry a1, 32 8e4273: 140126 l32r a4, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1520 8e4276: 8a20 l32i.n a10, a2, 0 8e4278: 9a43 s32i.n a10, a4, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1521 8e427a: 8921 l32i.n a9, a2, 4 8e427c: 9944 s32i.n a9, a4, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1522 8e427e: 8822 l32i.n a8, a2, 8 8e4280: 9845 s32i.n a8, a4, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1523 8e4282: 8323 l32i.n a3, a2, 12 8e4284: 9346 s32i.n a3, a4, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1524 8e4286: d10f retw.n 008e4288 : vUsb_Reg_Out(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1527 8e4288: 6c1004 entry a1, 32 8e428b: 120126 l32r a2, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1537 8e428e: 8823 l32i.n a8, a2, 12 8e4290: 0b8000 callx8 a8 8e4293: 1b0128 l32r a11, 4e84a0 <_lit4_start+0x4a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1539 8e4296: 64a04b beqz a10, 8e42e5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1540 8e4299: 8da0 l32i.n a13, a10, 0 8e429b: 1f013c l32r a15, 4e84f0 <_lit4_start+0x4f0> 8e429e: 8cd1 l32i.n a12, a13, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1545 8e42a0: 0c0200 memw 8e42a3: 2ff03c l8ui a15, a15, 60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1549 8e42a6: c093 movi.n a9, 3 8e42a8: 0f2e14 srli a14, a15, 2 8e42ab: 7f9007 bnone a9, a15, 8e42b6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1550 8e42ae: b1e9 addi.n a9, a14, 1 8e42b0: 09094f extui a9, a9, 0, 16 8e42b3: 600001 j 8e42b8 008e42b6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1552 8e42b6: d9e0 mov.n a9, a14 8e42b8: c990 beqz.n a9, 8e42cc 8e42ba: 6d990c loopnez a9, 8e42ca /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1556 8e42bd: 0c0200 memw 8e42c0: 2db2bf l32i a13, a11, 0x2fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1557 8e42c3: 0c0200 memw 8e42c6: 9dc0 s32i.n a13, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1558 8e42c8: b4cc addi.n a12, a12, 4 008e42ca : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1554 8e42ca: 8da0 l32i.n a13, a10, 0 008e42cc : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1572 8e42cc: 8824 l32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1554 8e42ce: c090 movi.n a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1568 8e42d0: 29d507 s16i a9, a13, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1566 8e42d3: 29d505 s16i a9, a13, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1565 8e42d6: 99d0 s32i.n a9, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1567 8e42d8: 2fd506 s16i a15, a13, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1569 8e42db: 99a1 s32i.n a9, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1570 8e42dd: 2fa504 s16i a15, a10, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1572 8e42e0: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1589 8e42e3: d10f retw.n 008e42e5 : 8e42e5: 6da905 loopnez a10, 8e42ee /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1581 8e42e8: 0c0200 memw 8e42eb: 2cb2bf l32i a12, a11, 0x2fc 008e42ee : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1589 8e42ee: d10f retw.n 008e42f0 : vUsb_Status_In(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1590 8e42f0: 6c1004 entry a1, 32 8e42f3: 120126 l32r a2, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1601 8e42f6: 8825 l32i.n a8, a2, 20 8e42f8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1602 8e42fb: 64a073 beqz a10, 8e4372 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 8e42fe: 89a0 l32i.n a9, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1607 8e4300: 2ca104 l16ui a12, a10, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 8e4303: 8e91 l32i.n a14, a9, 4 8e4305: 299105 l16ui a9, a9, 10 8e4308: 1b0128 l32r a11, 4e84a0 <_lit4_start+0x4a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1619 8e430b: 0c2d4f extui a13, a12, 2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1606 8e430e: 0e9908 add a9, a9, a14 8e4311: 6dd90c loopnez a13, 8e4321 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1622 8e4314: 0c0200 memw 8e4317: 8d90 l32i.n a13, a9, 0 8e4319: 0c0200 memw 8e431c: 2db6be s32i a13, a11, 0x2f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1623 8e431f: b499 addi.n a9, a9, 4 008e4321 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1619 8e4321: c0d3 movi.n a13, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1628 8e4323: 0c0c41 extui a12, a12, 0, 2 8e4326: cac5 beqz.n a12, 8e434f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1641 8e4328: 68c110 beqi a12, 1, 8e433c 8e432b: 68c25a beqi a12, 2, 8e4389 8e432e: 69c312 bnei a12, 3, 8e4344 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1633 8e4331: c0e7 movi.n a14, 7 8e4333: 0c0200 memw 8e4336: 2eb6c0 s32i a14, a11, 0x300 8e4339: 600007 j 8e4344 008e433c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1639 8e433c: c0f1 movi.n a15, 1 008e433e : 8e433e: 0c0200 memw 8e4341: 2fb6c0 s32i a15, a11, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1643 8e4344: 0c0200 memw 8e4347: 8890 l32i.n a8, a9, 0 008e4349 : 8e4349: 0c0200 memw 8e434c: 28b6be s32i a8, a11, 0x2f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1647 8e434f: c0df movi.n a13, 15 8e4351: 1c013c l32r a12, 4e84f0 <_lit4_start+0x4f0> 8e4354: 0c0200 memw 8e4357: 2db6c0 s32i a13, a11, 0x300 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1649 8e435a: c0b8 movi.n a11, 8 8e435c: 0c0200 memw 8e435f: 29c02d l8ui a9, a12, 45 8e4362: 0b9902 or a9, a9, a11 8e4365: 0c0200 memw 8e4368: 29c42d s8i a9, a12, 45 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1653 8e436b: 8826 l32i.n a8, a2, 24 8e436d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1668 8e4370: d10f retw.n 008e4372 : 8e4372: 1f0127 l32r a15, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1613 8e4375: 280ac0 movi a8, 192 8e4378: 0c0200 memw 8e437b: 2ef094 l8ui a14, a15, 148 8e437e: 08ee02 or a14, a14, a8 8e4381: 0c0200 memw 8e4384: 2ef494 s8i a14, a15, 148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1668 8e4387: d10f retw.n 008e4389 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1636 8e4389: 0c0200 memw 8e438c: 2db6c0 s32i a13, a11, 0x300 8e438f: 63ffb1 j 8e4344 008e4392 : ... 008e4394 : zfResetUSBFIFO(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1669 8e4394: 6c1004 entry a1, 32 8e4397: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1670 8e439a: 288216 l32i a8, a8, 88 8e439d: 1a013d l32r a10, 4e84f4 <_lit4_start+0x4f4> 8e43a0: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1672 8e43a3: d10f retw.n 008e43a5 : 8e43a5: 000000 ... 008e43a8 : zfTurnOffPower(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1682 8e43a8: 6c1004 entry a1, 32 8e43ab: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1683 8e43ae: 283216 l32i a8, a3, 88 8e43b1: 1a013e l32r a10, 4e84f8 <_lit4_start+0x4f8> 8e43b4: 0b8000 callx8 a8 8e43b7: 120075 l32r a2, 4e81d4 <_lit4_start+0x1d4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1694 8e43ba: c1a1 movi.n a10, 17 8e43bc: 0c0200 memw 8e43bf: 292281 l32i a9, a2, 0x204 8e43c2: 0a9902 or a9, a9, a10 8e43c5: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1696 8e43c8: 28323b l32i a8, a3, 236 8e43cb: 2a0a64 movi a10, 100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1694 8e43ce: 292681 s32i a9, a2, 0x204 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1696 8e43d1: 0b8000 callx8 a8 8e43d4: 180051 l32r a8, 4e8144 <_lit4_start+0x144> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1698 8e43d7: 0c0200 memw 8e43da: 292280 l32i a9, a2, 0x200 8e43dd: 089902 or a9, a9, a8 8e43e0: 0c0200 memw 8e43e3: 292680 s32i a9, a2, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1701 8e43e6: 0c0200 memw 8e43e9: 2f2283 l32i a15, a2, 0x20c 8e43ec: 08ff02 or a15, a15, a8 8e43ef: 0c0200 memw 8e43f2: 2f2683 s32i a15, a2, 0x20c 8e43f5: 1e013f l32r a14, 4e84fc <_lit4_start+0x4fc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1704 8e43f8: 0c0200 memw 8e43fb: 2d2284 l32i a13, a2, 0x210 8e43fe: 0edd02 or a13, a13, a14 8e4401: 0c0200 memw 8e4404: 2d2684 s32i a13, a2, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1707 8e4407: c0c1 movi.n a12, 1 8e4409: 0c0200 memw 8e440c: 2b228c l32i a11, a2, 0x230 8e440f: 0cbb02 or a11, a11, a12 8e4412: 0c0200 memw 8e4415: 2b268c s32i a11, a2, 0x230 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1711 8e4418: d10f retw.n 008e441a : ... 008e441c : zfGenWatchDogEvent(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1715 8e441c: 6c1004 entry a1, 32 8e441f: 13013c l32r a3, 4e84f0 <_lit4_start+0x4f0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1718 8e4422: 2b0ac0 movi a11, 192 8e4425: 1a0127 l32r a10, 4e849c <_lit4_start+0x49c> 8e4428: 160128 l32r a6, 4e84a0 <_lit4_start+0x4a0> 8e442b: 0c0200 memw 8e442e: 29a094 l8ui a9, a10, 148 8e4431: 0b9902 or a9, a9, a11 8e4434: 0c0200 memw 8e4437: 29a494 s8i a9, a10, 148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1721 8e443a: c08f movi.n a8, 15 8e443c: 0c0200 memw 8e443f: 2866c0 s32i a8, a6, 0x300 8e4442: 150140 l32r a5, 4e8500 <_lit4_start+0x500> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1724 8e4445: 0c0200 memw 8e4448: 2566be s32i a5, a6, 0x2f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1726 8e444b: c048 movi.n a4, 8 8e444d: 0c0200 memw 8e4450: 22302d l8ui a2, a3, 45 8e4453: 042202 or a2, a2, a4 8e4456: 0c0200 memw 8e4459: 22342d s8i a2, a3, 45 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1727 8e445c: d10f retw.n 008e445e : ... 008e4460 : zfJumpToBootCode(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1730 8e4460: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1734 8e4463: 5bf2e7 call8 8e1000 <_iram0_text_end> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1735 8e4466: d10f retw.n 008e4468 <_usb_rom_task>: _usb_rom_task(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1738 8e4468: 6c1004 entry a1, 32 8e446b: 120127 l32r a2, 4e849c <_lit4_start+0x49c> 8e446e: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1743 8e4471: 0c0200 memw 8e4474: 2420a3 l8ui a4, a2, 163 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1745 8e4477: 79470e bbci a4, 25, 8e4489 <_usb_rom_task+0x21> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1747 8e447a: 28522b l32i a8, a5, 172 8e447d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1748 8e4480: 285212 l32i a8, a5, 72 8e4483: 1a0141 l32r a10, 4e8504 <_lit4_start+0x504> 008e4486 <_usb_rom_task+0x1e>: 8e4486: 0b8000 callx8 a8 8e4489: c070 movi.n a7, 0 8e448b: 160126 l32r a6, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1751 8e448e: 7f474f bbci a4, 31, 8e44e1 <_usb_rom_task+0x79> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1753 8e4491: 0c0200 memw 8e4494: 2320a2 l8ui a3, a2, 162 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1756 8e4497: 78370e bbci a3, 24, 8e44a9 <_usb_rom_task+0x41> 008e449a <_usb_rom_task+0x32>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1758 8e449a: 0c0200 memw 8e449d: 2920a2 l8ui a9, a2, 162 8e44a0: 090946 extui a9, a9, 0, 7 8e44a3: 0c0200 memw 8e44a6: 2924a2 s8i a9, a2, 162 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1761 8e44a9: 7e3705 bbci a3, 30, 8e44b2 <_usb_rom_task+0x4a> 008e44ac <_usb_rom_task+0x44>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1764 8e44ac: 285221 l32i a8, a5, 132 8e44af: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1766 8e44b2: 7d3705 bbci a3, 29, 8e44bb <_usb_rom_task+0x53> 008e44b5 <_usb_rom_task+0x4d>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1769 8e44b5: 285222 l32i a8, a5, 136 8e44b8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1771 8e44bb: 7f3705 bbci a3, 31, 8e44c4 <_usb_rom_task+0x5c> 008e44be <_usb_rom_task+0x56>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1774 8e44be: 285220 l32i a8, a5, 128 8e44c1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1777 8e44c4: 896b l32i.n a9, a6, 44 8e44c6: 69920a bnei a9, 2, 8e44d4 <_usb_rom_task+0x6c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1779 8e44c9: 0c0200 memw 8e44cc: c094 movi.n a9, 4 8e44ce: 292488 s8i a9, a2, 136 8e44d1: 60000a j 8e44df <_usb_rom_task+0x77> 008e44d4 <_usb_rom_task+0x6c>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1782 8e44d4: 699107 bnei a9, 1, 8e44df <_usb_rom_task+0x77> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1785 8e44d7: 0c0200 memw 8e44da: c0a1 movi.n a10, 1 008e44dc <_usb_rom_task+0x74>: 8e44dc: 2a2488 s8i a10, a2, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1787 8e44df: 976b s32i.n a7, a6, 44 008e44e1 <_usb_rom_task+0x79>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1790 8e44e1: 784747 bbci a4, 24, 8e452c <_usb_rom_task+0xc4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1793 8e44e4: 0c0200 memw 8e44e7: 2320ab l8ui a3, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1797 8e44ea: 2c0afd movi a12, 253 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1795 8e44ed: 7e3711 bbci a3, 30, 8e4502 <_usb_rom_task+0x9a> 008e44f0 <_usb_rom_task+0x88>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1797 8e44f0: 0c0200 memw 8e44f3: 2b20ab l8ui a11, a2, 171 8e44f6: 0cbb01 and a11, a11, a12 8e44f9: 0c0200 memw 8e44fc: 2b24ab s8i a11, a2, 171 8e44ff: 27651a s16i a7, a6, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1799 8e4502: 7d3711 bbci a3, 29, 8e4517 <_usb_rom_task+0xaf> 008e4505 <_usb_rom_task+0x9d>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1801 8e4505: 2e0afb movi a14, 251 8e4508: 0c0200 memw 8e450b: 2d20ab l8ui a13, a2, 171 8e450e: 0edd01 and a13, a13, a14 8e4511: 0c0200 memw 8e4514: 2d24ab s8i a13, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1803 8e4517: 7c3711 bbci a3, 28, 8e452c <_usb_rom_task+0xc4> 008e451a <_usb_rom_task+0xb2>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1805 8e451a: 280af7 movi a8, 247 8e451d: 0c0200 memw 8e4520: 2f20ab l8ui a15, a2, 171 8e4523: 08ff01 and a15, a15, a8 8e4526: 0c0200 memw 8e4529: 2f24ab s8i a15, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1809 8e452c: d10f retw.n 008e452e <_usb_rom_task+0xc6>: ... 008e4530 <_usb_fw_task>: _usb_fw_task(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1813 8e4530: 6c1004 entry a1, 32 8e4533: 120127 l32r a2, 4e849c <_lit4_start+0x49c> 8e4536: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1818 8e4539: 0c0200 memw 8e453c: 2420a3 l8ui a4, a2, 163 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1826 8e453f: 7b470e bbci a4, 27, 8e4551 <_usb_fw_task+0x21> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1828 8e4542: 0c0200 memw 8e4545: 2820a6 l8ui a8, a2, 166 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1829 8e4548: 798705 bbci a8, 25, 8e4551 <_usb_fw_task+0x21> 008e454b <_usb_fw_task+0x1b>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1830 8e454b: 285233 l32i a8, a5, 204 8e454e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1833 8e4551: 79470e bbci a4, 25, 8e4563 <_usb_fw_task+0x33> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1836 8e4554: 0c0200 memw 8e4557: 2920a4 l8ui a9, a2, 164 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1837 8e455a: 799705 bbci a9, 25, 8e4563 <_usb_fw_task+0x33> 008e455d <_usb_fw_task+0x2d>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1838 8e455d: 285234 l32i a8, a5, 208 8e4560: 0b8000 callx8 a8 8e4563: c070 movi.n a7, 0 8e4565: 160126 l32r a6, 4e8498 <_lit4_start+0x498> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1841 8e4568: 7f4770 bbci a4, 31, 8e45dc <_usb_fw_task+0xac> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1844 8e456b: 0c0200 memw 8e456e: 2320a2 l8ui a3, a2, 162 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1847 8e4571: 783717 bbci a3, 24, 8e458c <_usb_fw_task+0x5c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1850 8e4574: 0c0200 memw 8e4577: 2920a2 l8ui a9, a2, 162 8e457a: 090946 extui a9, a9, 0, 7 8e457d: 0c0200 memw 8e4580: 2924a2 s8i a9, a2, 162 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1851 8e4583: 285212 l32i a8, a5, 72 8e4586: 1a0142 l32r a10, 4e8508 <_lit4_start+0x508> 008e4589 <_usb_fw_task+0x59>: 8e4589: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1854 8e458c: 7e3705 bbci a3, 30, 8e4595 <_usb_fw_task+0x65> 008e458f <_usb_fw_task+0x5f>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1857 8e458f: 285221 l32i a8, a5, 132 8e4592: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1859 8e4595: 7d3705 bbci a3, 29, 8e459e <_usb_fw_task+0x6e> 008e4598 <_usb_fw_task+0x68>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1862 8e4598: 285222 l32i a8, a5, 136 8e459b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1864 8e459e: 7f3705 bbci a3, 31, 8e45a7 <_usb_fw_task+0x77> 008e45a1 <_usb_fw_task+0x71>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1867 8e45a1: 285220 l32i a8, a5, 128 8e45a4: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1871 8e45a7: 7c3709 bbci a3, 28, 8e45b4 <_usb_fw_task+0x84> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1873 8e45aa: 976c s32i.n a7, a6, 48 8e45ac: 0c0200 memw 8e45af: c091 movi.n a9, 1 008e45b1 <_usb_fw_task+0x81>: 8e45b1: 292488 s8i a9, a2, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1876 8e45b4: 7b3707 bbci a3, 27, 8e45bf <_usb_fw_task+0x8f> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1878 8e45b7: 0c0200 memw 8e45ba: c0a4 movi.n a10, 4 008e45bc <_usb_fw_task+0x8c>: 8e45bc: 2a2488 s8i a10, a2, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1881 8e45bf: 896b l32i.n a9, a6, 44 8e45c1: 69920a bnei a9, 2, 8e45cf <_usb_fw_task+0x9f> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1884 8e45c4: 0c0200 memw 8e45c7: c0b4 movi.n a11, 4 8e45c9: 2b2488 s8i a11, a2, 136 8e45cc: 60000a j 8e45da <_usb_fw_task+0xaa> 008e45cf <_usb_fw_task+0x9f>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1887 8e45cf: 699107 bnei a9, 1, 8e45da <_usb_fw_task+0xaa> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1890 8e45d2: 0c0200 memw 8e45d5: c0c1 movi.n a12, 1 008e45d7 <_usb_fw_task+0xa7>: 8e45d7: 2c2488 s8i a12, a2, 136 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1892 8e45da: 976b s32i.n a7, a6, 44 008e45dc <_usb_fw_task+0xac>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1895 8e45dc: 784f02 bbsi a4, 24, 8e45e2 <_usb_fw_task+0xb2> 8e45df: 600080 j 8e4663 <_usb_fw_task+0x133> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1898 8e45e2: 0c0200 memw 8e45e5: 2320ab l8ui a3, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1913 8e45e8: 7e371d bbci a3, 30, 8e4609 <_usb_fw_task+0xd9> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1915 8e45eb: 2a0afd movi a10, 253 8e45ee: 0c0200 memw 8e45f1: 2920ab l8ui a9, a2, 171 8e45f4: 0a9901 and a9, a9, a10 8e45f7: 0c0200 memw 8e45fa: 2924ab s8i a9, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1917 8e45fd: 285212 l32i a8, a5, 72 8e4600: 1a0143 l32r a10, 4e850c <_lit4_start+0x50c> 008e4603 <_usb_fw_task+0xd3>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1915 8e4603: 27651a s16i a7, a6, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1917 8e4606: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1920 8e4609: 7d3738 bbci a3, 29, 8e4645 <_usb_fw_task+0x115> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1928 8e460c: 2a0afb movi a10, 251 8e460f: 0c0200 memw 8e4612: 2920ab l8ui a9, a2, 171 8e4615: 0a9901 and a9, a9, a10 8e4618: 0c0200 memw 8e461b: 2924ab s8i a9, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1929 8e461e: 285212 l32i a8, a5, 72 8e4621: 1a0144 l32r a10, 4e8510 <_lit4_start+0x510> 8e4624: 0b8000 callx8 a8 8e4627: 1900ce l32r a9, 4e8338 <_lit4_start+0x338> 8e462a: 1a0015 l32r a10, 4e8054 <_lit4_start+0x54> 008e462d <_usb_fw_task+0xfd>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1933 8e462d: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1939 8e4630: 28522a l32i a8, a5, 168 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1933 8e4633: 29a67f s32i a9, a10, 0x1fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1939 8e4636: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1942 8e4639: 285229 l32i a8, a5, 164 8e463c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1947 8e463f: 28522c l32i a8, a5, 176 8e4642: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1951 8e4645: 7c371a bbci a3, 28, 8e4663 <_usb_fw_task+0x133> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1953 8e4648: 2a0af7 movi a10, 247 8e464b: 0c0200 memw 8e464e: 2920ab l8ui a9, a2, 171 8e4651: 0a9901 and a9, a9, a10 8e4654: 0c0200 memw 8e4657: 2924ab s8i a9, a2, 171 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1954 8e465a: 285212 l32i a8, a5, 72 8e465d: 1a0145 l32r a10, 4e8514 <_lit4_start+0x514> 008e4660 <_usb_fw_task+0x130>: 8e4660: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:1958 8e4663: d10f retw.n 008e4665 <_usb_fw_task+0x135>: 8e4665: 000000 ... 008e4668 <_usb_clk_init>: _usb_clk_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2097 8e4668: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 8e466b: c3a1 movi.n a10, 49 8e466d: 130015 l32r a3, 4e8054 <_lit4_start+0x54> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2097 8e4670: 25fa00 movi a5, 0xffffff00 8e4673: 170018 l32r a7, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 8e4676: 0c0200 memw 8e4679: 29327d l32i a9, a3, 0x1f4 8e467c: 059901 and a9, a9, a5 8e467f: 0a9902 or a9, a9, a10 8e4682: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2102 8e4685: 28725e l32i a8, a7, 0x178 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2100 8e4688: 29367d s32i a9, a3, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2102 8e468b: 0b8000 callx8 a8 8e468e: 120037 l32r a2, 4e80dc <_lit4_start+0xdc> 8e4691: c044 movi.n a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2106 8e4693: 69a122 bnei a10, 1, 8e46b9 <_usb_clk_init+0x51> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2115 8e4696: 0c0200 memw 8e4699: 2c2286 l32i a12, a2, 0x218 8e469c: 04cc02 or a12, a12, a4 8e469f: 0c0200 memw 8e46a2: 2c2686 s32i a12, a2, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2116 8e46a5: c6bf movi.n a11, -17 8e46a7: 0c0200 memw 8e46aa: 2a2284 l32i a10, a2, 0x210 8e46ad: 0baa01 and a10, a10, a11 8e46b0: 0c0200 memw 8e46b3: 2a2684 s32i a10, a2, 0x210 8e46b6: 60009c j 8e4756 <_usb_clk_init+0xee> 008e46b9 <_usb_clk_init+0x51>: 8e46b9: 1b0075 l32r a11, 4e81d4 <_lit4_start+0x1d4> 8e46bc: c76e movi.n a6, -2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2118 8e46be: 69a320 bnei a10, 3, 8e46e2 <_usb_clk_init+0x7a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2120 8e46c1: 0c0200 memw 8e46c4: 2eb284 l32i a14, a11, 0x210 8e46c7: 06ee01 and a14, a14, a6 8e46ca: 0c0200 memw 8e46cd: 2eb684 s32i a14, a11, 0x210 8e46d0: 0c0200 memw 8e46d3: 2db28c l32i a13, a11, 0x230 8e46d6: 06dd01 and a13, a13, a6 8e46d9: 0c0200 memw 8e46dc: 2db68c s32i a13, a11, 0x230 8e46df: 600073 j 8e4756 <_usb_clk_init+0xee> 008e46e2 <_usb_clk_init+0x7a>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2124 8e46e2: 283a05 movi a8, 0x305 8e46e5: 0c0200 memw 8e46e8: 28b683 s32i a8, a11, 0x20c 8e46eb: c1ff movi.n a15, 31 8e46ed: 0c0200 memw 8e46f0: 2e2284 l32i a14, a2, 0x210 8e46f3: 0fee02 or a14, a14, a15 8e46f6: 0c0200 memw 8e46f9: 2e2684 s32i a14, a2, 0x210 8e46fc: c7d9 movi.n a13, -7 8e46fe: 0c0200 memw 8e4701: 2c2284 l32i a12, a2, 0x210 8e4704: 0dcc01 and a12, a12, a13 8e4707: 0c0200 memw 8e470a: 2c2684 s32i a12, a2, 0x210 8e470d: 1a0146 l32r a10, 4e8518 <_lit4_start+0x518> 8e4710: 0c0200 memw 8e4713: 2ab682 s32i a10, a11, 0x208 8e4716: c090 movi.n a9, 0 8e4718: 0c0200 memw 8e471b: 28723b l32i a8, a7, 236 8e471e: 2a0a64 movi a10, 100 8e4721: 29b684 s32i a9, a11, 0x210 8e4724: 0b8000 callx8 a8 8e4727: 0c0200 memw 8e472a: 2c2284 l32i a12, a2, 0x210 8e472d: 06cc01 and a12, a12, a6 8e4730: 0c0200 memw 8e4733: 2c2684 s32i a12, a2, 0x210 8e4736: c6b7 movi.n a11, -25 8e4738: 0c0200 memw 8e473b: 2a2284 l32i a10, a2, 0x210 8e473e: 0baa01 and a10, a10, a11 8e4741: 0c0200 memw 8e4744: 2a2684 s32i a10, a2, 0x210 8e4747: 0c0200 memw 8e474a: 292286 l32i a9, a2, 0x218 8e474d: 049902 or a9, a9, a4 8e4750: 0c0200 memw 8e4753: 292686 s32i a9, a2, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2134 8e4756: c3e2 movi.n a14, 50 8e4758: 0c0200 memw 8e475b: 2d327d l32i a13, a3, 0x1f4 8e475e: 05dd01 and a13, a13, a5 8e4761: 0edd02 or a13, a13, a14 8e4764: 0c0200 memw 8e4767: 2d367d s32i a13, a3, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2137 8e476a: d10f retw.n 008e476c <_usb_init>: _usb_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2141 8e476c: 6c1004 entry a1, 32 8e476f: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2146 8e4772: 28225e l32i a8, a2, 0x178 8e4775: 0b8000 callx8 a8 8e4778: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 8e477a: c3b0 movi.n a11, 48 8e477c: 130015 l32r a3, 4e8054 <_lit4_start+0x54> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2146 8e477f: 25fa00 movi a5, 0xffffff00 8e4782: 190126 l32r a9, 4e8498 <_lit4_start+0x498> 8e4785: c070 movi.n a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 8e4787: 0c0200 memw 8e478a: 2a327d l32i a10, a3, 0x1f4 8e478d: 05aa01 and a10, a10, a5 8e4790: 0baa02 or a10, a10, a11 8e4793: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2171 8e4796: 282237 l32i a8, a2, 220 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2154 8e4799: 2a367d s32i a10, a3, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2159 8e479c: 27951c s16i a7, a9, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2160 8e479f: 27951d s16i a7, a9, 58 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2164 8e47a2: 27952c s16i a7, a9, 88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2171 8e47a5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2198 8e47a8: 28223b l32i a8, a2, 236 8e47ab: 2a1a2c movi a10, 0x12c 8e47ae: 0b8000 callx8 a8 8e47b1: 190128 l32r a9, 4e84a0 <_lit4_start+0x4a0> 008e47b4 <_usb_init+0x48>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2205 8e47b4: 0c0200 memw 8e47b7: 2a92ca l32i a10, a9, 0x328 8e47ba: 77a7f6 bbci a10, 23, 8e47b4 <_usb_init+0x48> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2211 8e47bd: c3a3 movi.n a10, 51 8e47bf: 0c0200 memw 8e47c2: 29327d l32i a9, a3, 0x1f4 8e47c5: 059901 and a9, a9, a5 8e47c8: 0a9902 or a9, a9, a10 8e47cb: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2212 8e47ce: 282216 l32i a8, a2, 88 8e47d1: 1a0147 l32r a10, 4e851c <_lit4_start+0x51c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2211 8e47d4: 29367d s32i a9, a3, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2212 8e47d7: 0b8000 callx8 a8 8e47da: 160127 l32r a6, 4e849c <_lit4_start+0x49c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2224 8e47dd: 694233 bnei a4, 2, 8e4814 <_usb_init+0xa8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2230 8e47e0: c3a4 movi.n a10, 52 8e47e2: 0c0200 memw 8e47e5: 29327d l32i a9, a3, 0x1f4 8e47e8: 059901 and a9, a9, a5 8e47eb: 0a9902 or a9, a9, a10 8e47ee: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2231 8e47f1: 28221f l32i a8, a2, 124 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2230 8e47f4: 29367d s32i a9, a3, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2231 8e47f7: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2233 8e47fa: c3c5 movi.n a12, 53 008e47fc <_usb_init+0x90>: 8e47fc: 0c0200 memw 8e47ff: 2b327d l32i a11, a3, 0x1f4 8e4802: 05bb01 and a11, a11, a5 8e4805: 0cbb02 or a11, a11, a12 8e4808: 0c0200 memw 8e480b: 2b367d s32i a11, a3, 0x1f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2235 8e480e: 0c0200 memw 8e4811: 27648b s8i a7, a6, 139 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2242 8e4814: 28225e l32i a8, a2, 0x178 8e4817: 0b8000 callx8 a8 8e481a: 68a113 beqi a10, 1, 8e4831 <_usb_init+0xc5> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2245 8e481d: 2a0ac0 movi a10, 192 8e4820: 0c0200 memw 8e4823: 296094 l8ui a9, a6, 148 8e4826: 0a9902 or a9, a9, a10 8e4829: 0c0200 memw 8e482c: 296494 s8i a9, a6, 148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2247 8e482f: d10f retw.n 008e4831 <_usb_init+0xc5>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2243 8e4831: 2c0abf movi a12, 191 8e4834: 0c0200 memw 8e4837: 2b6094 l8ui a11, a6, 148 8e483a: 0cbb01 and a11, a11, a12 8e483d: 0c0200 memw 8e4840: 2b6494 s8i a11, a6, 148 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2247 8e4843: d10f retw.n 008e4845 <_usb_init+0xd9>: 8e4845: 000000 ... 008e4848 : usbfifo_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2253 8e4848: 6c1004 entry a1, 32 8e484b: 180148 l32r a8, 4e8520 <_lit4_start+0x520> 8e484e: 130149 l32r a3, 4e8524 <_lit4_start+0x524> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2256 8e4851: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2255 8e4853: 9820 s32i.n a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2257 8e4855: d10f retw.n 008e4857 : ... 008e4858 : cmnos_usb_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2261 8e4858: 6c1004 entry a1, 32 8e485b: 190151 l32r a9, 4e8544 <_lit4_start+0x544> 8e485e: 1a0150 l32r a10, 4e8540 <_lit4_start+0x540> 8e4861: 1b014f l32r a11, 4e853c <_lit4_start+0x53c> 8e4864: 1c014e l32r a12, 4e8538 <_lit4_start+0x538> 8e4867: 1d014d l32r a13, 4e8534 <_lit4_start+0x534> 8e486a: 1e014c l32r a14, 4e8530 <_lit4_start+0x530> 8e486d: 1f014b l32r a15, 4e852c <_lit4_start+0x52c> 8e4870: 13014a l32r a3, 4e8528 <_lit4_start+0x528> 8e4873: 180152 l32r a8, 4e8548 <_lit4_start+0x548> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2272 8e4876: 982a s32i.n a8, a2, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2271 8e4878: 9929 s32i.n a9, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2269 8e487a: 2a261a s32i a10, a2, 104 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2268 8e487d: 2b2619 s32i a11, a2, 100 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2267 8e4880: 9c24 s32i.n a12, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2265 8e4882: 9d23 s32i.n a13, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2264 8e4884: 9e22 s32i.n a14, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2262 8e4886: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2263 8e4888: 9f21 s32i.n a15, a2, 4 8e488a: 18015a l32r a8, 4e8568 <_lit4_start+0x568> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2285 8e488d: 282614 s32i a8, a2, 80 8e4890: 1f0154 l32r a15, 4e8550 <_lit4_start+0x550> 8e4893: 130153 l32r a3, 4e854c <_lit4_start+0x54c> 8e4896: 1e0155 l32r a14, 4e8554 <_lit4_start+0x554> 8e4899: 1d0133 l32r a13, 4e84cc <_lit4_start+0x4cc> 8e489c: 1c0156 l32r a12, 4e8558 <_lit4_start+0x558> 8e489f: 1b0157 l32r a11, 4e855c <_lit4_start+0x55c> 8e48a2: 1a0158 l32r a10, 4e8560 <_lit4_start+0x560> 8e48a5: 190159 l32r a9, 4e8564 <_lit4_start+0x564> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2283 8e48a8: 292610 s32i a9, a2, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2282 8e48ab: 9a2f s32i.n a10, a2, 60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2281 8e48ad: 9b2d s32i.n a11, a2, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2280 8e48af: 9c2e s32i.n a12, a2, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2278 8e48b1: 9d2c s32i.n a13, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2277 8e48b3: 9e2b s32i.n a14, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2274 8e48b5: 9327 s32i.n a3, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2275 8e48b7: 9f28 s32i.n a15, a2, 32 8e48b9: 180163 l32r a8, 4e858c <_lit4_start+0x58c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2297 8e48bc: 9826 s32i.n a8, a2, 24 8e48be: 1f015c l32r a15, 4e8570 <_lit4_start+0x570> 8e48c1: 13015b l32r a3, 4e856c <_lit4_start+0x56c> 8e48c4: 1e015d l32r a14, 4e8574 <_lit4_start+0x574> 8e48c7: 1d015e l32r a13, 4e8578 <_lit4_start+0x578> 8e48ca: 1c015f l32r a12, 4e857c <_lit4_start+0x57c> 8e48cd: 1b0160 l32r a11, 4e8580 <_lit4_start+0x580> 8e48d0: 1a0161 l32r a10, 4e8584 <_lit4_start+0x584> 8e48d3: 190162 l32r a9, 4e8588 <_lit4_start+0x588> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2296 8e48d6: 9925 s32i.n a9, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2294 8e48d8: 2a2618 s32i a10, a2, 96 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2293 8e48db: 2b2617 s32i a11, a2, 92 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2291 8e48de: 2c2616 s32i a12, a2, 88 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2290 8e48e1: 2d2615 s32i a13, a2, 84 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2288 8e48e4: 2e2611 s32i a14, a2, 68 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2286 8e48e7: 232613 s32i a3, a2, 76 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2287 8e48ea: 2f2612 s32i a15, a2, 72 8e48ed: 130164 l32r a3, 4e8590 <_lit4_start+0x590> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2299 8e48f0: 23261b s32i a3, a2, 108 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_api.c:2300 8e48f3: d10f retw.n 008e48f5 : 8e48f5: 000000 ... 008e48f8 : mUsbEPMap(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:20 8e48f8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:26 8e48fb: c053 movi.n a5, 3 8e48fd: 242c2f addi a4, a2, 47 8e4900: 054403 xor a4, a4, a5 8e4903: 150051 l32r a5, 4e8144 <_lit4_start+0x144> 8e4906: 040447 extui a4, a4, 0, 8 8e4909: 054402 or a4, a4, a5 8e490c: 0c0200 memw 8e490f: 234400 s8i a3, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:33 8e4912: d10f retw.n 008e4914 : mUsbFIFOMap(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:36 8e4914: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:45 8e4917: c053 movi.n a5, 3 8e4919: 240a80 movi a4, 128 8e491c: a424 add.n a4, a2, a4 8e491e: 054403 xor a4, a4, a5 8e4921: 150051 l32r a5, 4e8144 <_lit4_start+0x144> 8e4924: 040447 extui a4, a4, 0, 8 8e4927: 054402 or a4, a4, a5 8e492a: 0c0200 memw 8e492d: 234400 s8i a3, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:51 8e4930: d10f retw.n 008e4932 : ... 008e4934 : mUsbFIFOConfig(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:54 8e4934: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:60 8e4937: c053 movi.n a5, 3 8e4939: 240a90 movi a4, 144 8e493c: a424 add.n a4, a2, a4 8e493e: 054403 xor a4, a4, a5 8e4941: 150051 l32r a5, 4e8144 <_lit4_start+0x144> 8e4944: 040447 extui a4, a4, 0, 8 8e4947: 054402 or a4, a4, a5 8e494a: 0c0200 memw 8e494d: 234400 s8i a3, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:66 8e4950: d10f retw.n 008e4952 : ... 008e4954 : mUsbEPMxPtSzHigh(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:69 8e4954: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:75 8e4957: 0f2711 slli a7, a2, 1 8e495a: 0b3611 slli a6, a3, 5 8e495d: 048514 srli a5, a4, 8 8e4960: a766 add.n a6, a6, a7 8e4962: c073 movi.n a7, 3 8e4964: 266c3f addi a6, a6, 63 8e4967: 076603 xor a6, a6, a7 8e496a: 170051 l32r a7, 4e8144 <_lit4_start+0x144> 8e496d: 060647 extui a6, a6, 0, 8 8e4970: 076602 or a6, a6, a7 8e4973: 0c0200 memw 8e4976: 256400 s8i a5, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:79 8e4979: d10f retw.n 008e497b : ... 008e497c : mUsbEPMxPtSzLow(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:82 8e497c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:88 8e497f: 0f2611 slli a6, a2, 1 8e4982: 0b3511 slli a5, a3, 5 8e4985: a655 add.n a5, a5, a6 8e4987: c063 movi.n a6, 3 8e4989: 255c3e addi a5, a5, 62 8e498c: 065503 xor a5, a5, a6 8e498f: 160051 l32r a6, 4e8144 <_lit4_start+0x144> 8e4992: 050547 extui a5, a5, 0, 8 8e4995: 065502 or a5, a5, a6 8e4998: 0c0200 memw 8e499b: 245400 s8i a4, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:91 8e499e: d10f retw.n 008e49a0 : mUsbEPinHighBandSet(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:94 8e49a0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:106 8e49a3: 2a0a9f movi a10, 159 8e49a6: c073 movi.n a7, 3 8e49a8: 0f2611 slli a6, a2, 1 8e49ab: 266c3f addi a6, a6, 63 8e49ae: 076603 xor a6, a6, a7 8e49b1: 170051 l32r a7, 4e8144 <_lit4_start+0x144> 8e49b4: 060647 extui a6, a6, 0, 8 8e49b7: 076602 or a6, a6, a7 8e49ba: 0c0200 memw 8e49bd: 296000 l8ui a9, a6, 0 8e49c0: 0a9901 and a9, a9, a10 8e49c3: 0c0200 memw 8e49c6: 296400 s8i a9, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:107 8e49c9: c081 movi.n a8, 1 8e49cb: 04b714 srli a7, a4, 11 8e49ce: b177 addi.n a7, a7, 1 8e49d0: 03880c sub a8, a8, a3 8e49d3: 0b7711 slli a7, a7, 5 8e49d6: 08771d mul16s a7, a7, a8 8e49d9: 0c0200 memw 8e49dc: 256000 l8ui a5, a6, 0 8e49df: 075502 or a5, a5, a7 8e49e2: 0c0200 memw 8e49e5: 256400 s8i a5, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:111 8e49e8: d10f retw.n 008e49ea : ... 008e49ec : vUsbFIFO_EPxCfg_HS(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:143 8e49ec: 6c1004 entry a1, 32 8e49ef: 180165 l32r a8, 4e8594 <_lit4_start+0x594> 8e49f2: 190166 l32r a9, 4e8598 <_lit4_start+0x598> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:302 8e49f5: 288100 l16ui a8, a8, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:297 8e49f8: 299100 l16ui a9, a9, 0 8e49fb: b088 addi.n a8, a8, -1 8e49fd: 658179 bnez a8, 8e4b7a 8e4a00: 659176 bnez a9, 8e4b7a 8e4a03: 1a0167 l32r a10, 4e859c <_lit4_start+0x59c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:292 8e4a06: 2aa100 l16ui a10, a10, 0 8e4a09: 65a16d bnez a10, 8e4b7a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:164 8e4a0c: c0a1 movi.n a10, 1 8e4a0e: c0bf movi.n a11, 15 8e4a10: 5bffb9 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:165 8e4a13: c0a0 movi.n a10, 0 8e4a15: c0b1 movi.n a11, 1 8e4a17: 5bffbf call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:167 8e4a1a: c0a1 movi.n a10, 1 8e4a1c: c0b1 movi.n a11, 1 8e4a1e: 5bffbd call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:169 8e4a21: c0a0 movi.n a10, 0 8e4a23: 2b0a86 movi a11, 134 8e4a26: 5bffc3 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:175 8e4a29: c0a1 movi.n a10, 1 8e4a2b: c0b6 movi.n a11, 6 8e4a2d: 5bffc1 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:178 8e4a30: c0a1 movi.n a10, 1 8e4a32: c0b1 movi.n a11, 1 8e4a34: 2c2a00 movi a12, 0x200 8e4a37: 5bffc7 call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:179 8e4a3a: c0a1 movi.n a10, 1 8e4a3c: c0b1 movi.n a11, 1 8e4a3e: 2c2a00 movi a12, 0x200 8e4a41: 5bffce call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:180 8e4a44: c0a1 movi.n a10, 1 8e4a46: c0b1 movi.n a11, 1 8e4a48: 2c2a00 movi a12, 0x200 8e4a4b: 5bffd5 call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:185 8e4a4e: c0a2 movi.n a10, 2 8e4a50: 2b0af2 movi a11, 242 8e4a53: 5bffa9 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:186 8e4a56: c0a2 movi.n a10, 2 8e4a58: c1b2 movi.n a11, 18 8e4a5a: 5bffae call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:188 8e4a5d: c0a3 movi.n a10, 3 8e4a5f: c1b2 movi.n a11, 18 8e4a61: 5bffac call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:190 8e4a64: c0a2 movi.n a10, 2 8e4a66: 2b0a86 movi a11, 134 8e4a69: 5bffb2 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:196 8e4a6c: c0a3 movi.n a10, 3 8e4a6e: c0b6 movi.n a11, 6 8e4a70: 5bffb0 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:199 8e4a73: c0a2 movi.n a10, 2 8e4a75: c0b0 movi.n a11, 0 8e4a77: 2c2a00 movi a12, 0x200 8e4a7a: 5bffb6 call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:200 8e4a7d: c0a2 movi.n a10, 2 8e4a7f: c0b0 movi.n a11, 0 8e4a81: 2c2a00 movi a12, 0x200 8e4a84: 5bffbd call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:201 8e4a87: c0a2 movi.n a10, 2 8e4a89: c0b0 movi.n a11, 0 8e4a8b: 2c2a00 movi a12, 0x200 8e4a8e: 5bffc4 call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:206 8e4a91: c0a3 movi.n a10, 3 8e4a93: 2b0afe movi a11, 254 8e4a96: 5bff98 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:207 8e4a99: c0ae movi.n a10, 14 8e4a9b: c1b3 movi.n a11, 19 8e4a9d: 5bff9d call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:208 8e4aa0: c0ae movi.n a10, 14 8e4aa2: 2b0a83 movi a11, 131 8e4aa5: 5bffa3 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:217 8e4aa8: c0a3 movi.n a10, 3 8e4aaa: c0b0 movi.n a11, 0 8e4aac: c4c0 movi.n a12, 64 8e4aae: 5bffa9 call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:218 8e4ab1: c0a3 movi.n a10, 3 8e4ab3: c0b0 movi.n a11, 0 8e4ab5: c4c0 movi.n a12, 64 8e4ab7: 5bffb1 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:219 8e4aba: c0a3 movi.n a10, 3 8e4abc: c0b0 movi.n a11, 0 8e4abe: c4c0 movi.n a12, 64 8e4ac0: 5bffb7 call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:224 8e4ac3: c0a4 movi.n a10, 4 8e4ac5: 2b0aff movi a11, 255 8e4ac8: 5bff8b call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:225 8e4acb: c0af movi.n a10, 15 8e4acd: c0b4 movi.n a11, 4 8e4acf: 5bff91 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:226 8e4ad2: c0af movi.n a10, 15 8e4ad4: 2b0a83 movi a11, 131 8e4ad7: 5bff97 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:235 8e4ada: c0a4 movi.n a10, 4 8e4adc: c0b1 movi.n a11, 1 8e4ade: c4c0 movi.n a12, 64 8e4ae0: 5bff9c call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:236 8e4ae3: c0a4 movi.n a10, 4 8e4ae5: c0b1 movi.n a11, 1 8e4ae7: c4c0 movi.n a12, 64 8e4ae9: 5bffa4 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:237 8e4aec: c0a4 movi.n a10, 4 8e4aee: c0b1 movi.n a11, 1 8e4af0: c4c0 movi.n a12, 64 8e4af2: 5bffab call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:244 8e4af5: c0a5 movi.n a10, 5 8e4af7: c4bf movi.n a11, 79 8e4af9: 5bff7f call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:245 8e4afc: c0a4 movi.n a10, 4 8e4afe: c0b5 movi.n a11, 5 8e4b00: 5bff84 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:247 8e4b03: c0a5 movi.n a10, 5 8e4b05: c0b5 movi.n a11, 5 8e4b07: 5bff83 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:249 8e4b0a: c0a4 movi.n a10, 4 8e4b0c: 2b0a86 movi a11, 134 8e4b0f: 5bff89 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:255 8e4b12: c0a5 movi.n a10, 5 8e4b14: c0b6 movi.n a11, 6 8e4b16: 5bff87 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:258 8e4b19: c0a5 movi.n a10, 5 8e4b1b: c0b1 movi.n a11, 1 8e4b1d: 2c2a00 movi a12, 0x200 8e4b20: 5bff8c call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:259 8e4b23: c0a5 movi.n a10, 5 8e4b25: c0b1 movi.n a11, 1 8e4b27: 2c2a00 movi a12, 0x200 8e4b2a: 5bff94 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:260 8e4b2d: c0a5 movi.n a10, 5 8e4b2f: c0b1 movi.n a11, 1 8e4b31: 2c2a00 movi a12, 0x200 8e4b34: 5bff9a call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:268 8e4b37: c0a6 movi.n a10, 6 8e4b39: 2b0a6f movi a11, 111 8e4b3c: 5bff6e call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:269 8e4b3f: c0a6 movi.n a10, 6 8e4b41: c0b6 movi.n a11, 6 8e4b43: 5bff74 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:271 8e4b46: c0a7 movi.n a10, 7 8e4b48: c0b6 movi.n a11, 6 8e4b4a: 5bff72 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:273 8e4b4d: c0a6 movi.n a10, 6 8e4b4f: 2b0a86 movi a11, 134 8e4b52: 5bff78 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:279 8e4b55: c0a7 movi.n a10, 7 8e4b57: c0b6 movi.n a11, 6 8e4b59: 5bff76 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:282 8e4b5c: c0a6 movi.n a10, 6 8e4b5e: c0b1 movi.n a11, 1 8e4b60: 2c2a00 movi a12, 0x200 8e4b63: 5bff7c call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:283 8e4b66: c0a6 movi.n a10, 6 8e4b68: c0b1 movi.n a11, 1 8e4b6a: 2c2a00 movi a12, 0x200 8e4b6d: 5bff83 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:284 8e4b70: c0a6 movi.n a10, 6 8e4b72: c0b1 movi.n a11, 1 8e4b74: 2c2a00 movi a12, 0x200 8e4b77: 5bff8a call8 8e49a0 008e4b7a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:305 8e4b7a: d10f retw.n 008e4b7c : vUsbFIFO_EPxCfg_FS(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:308 8e4b7c: 6c1004 entry a1, 32 8e4b7f: 180165 l32r a8, 4e8594 <_lit4_start+0x594> 8e4b82: 190166 l32r a9, 4e8598 <_lit4_start+0x598> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:431 8e4b85: 288100 l16ui a8, a8, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:426 8e4b88: 299100 l16ui a9, a9, 0 8e4b8b: b088 addi.n a8, a8, -1 8e4b8d: 658118 bnez a8, 8e4ca9 8e4b90: 659115 bnez a9, 8e4ca9 8e4b93: 1a0167 l32r a10, 4e859c <_lit4_start+0x59c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:421 8e4b96: 2aa100 l16ui a10, a10, 0 8e4b99: 65a10c bnez a10, 8e4ca9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:329 8e4b9c: c0a1 movi.n a10, 1 8e4b9e: c0bf movi.n a11, 15 8e4ba0: 5bff55 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:330 8e4ba3: c0a0 movi.n a10, 0 8e4ba5: c0b1 movi.n a11, 1 8e4ba7: 5bff5b call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:331 8e4baa: c0a0 movi.n a10, 0 8e4bac: 2b0a86 movi a11, 134 8e4baf: 5bff61 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:337 8e4bb2: c0a1 movi.n a10, 1 8e4bb4: c0b6 movi.n a11, 6 8e4bb6: 5bff5f call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:340 8e4bb9: c0a1 movi.n a10, 1 8e4bbb: c0b1 movi.n a11, 1 8e4bbd: c4c0 movi.n a12, 64 8e4bbf: 5bff65 call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:341 8e4bc2: c0a1 movi.n a10, 1 8e4bc4: c0b1 movi.n a11, 1 8e4bc6: c4c0 movi.n a12, 64 8e4bc8: 5bff6c call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:343 8e4bcb: c0a1 movi.n a10, 1 8e4bcd: c0b1 movi.n a11, 1 8e4bcf: c4c0 movi.n a12, 64 8e4bd1: 5bff73 call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:348 8e4bd4: c0a2 movi.n a10, 2 8e4bd6: 2b0af2 movi a11, 242 8e4bd9: 5bff47 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:349 8e4bdc: c0a2 movi.n a10, 2 8e4bde: c1b2 movi.n a11, 18 8e4be0: 5bff4c call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:350 8e4be3: c0a2 movi.n a10, 2 8e4be5: 2b0a86 movi a11, 134 8e4be8: 5bff52 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:356 8e4beb: c0a3 movi.n a10, 3 8e4bed: c0b6 movi.n a11, 6 8e4bef: 5bff51 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:359 8e4bf2: c0a2 movi.n a10, 2 8e4bf4: c0b0 movi.n a11, 0 8e4bf6: c4c0 movi.n a12, 64 8e4bf8: 5bff56 call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:360 8e4bfb: c0a2 movi.n a10, 2 8e4bfd: c0b0 movi.n a11, 0 8e4bff: c4c0 movi.n a12, 64 8e4c01: 5bff5e call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:361 8e4c04: c0a2 movi.n a10, 2 8e4c06: c0b0 movi.n a11, 0 8e4c08: c4c0 movi.n a12, 64 8e4c0a: 5bff65 call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:366 8e4c0d: c0a3 movi.n a10, 3 8e4c0f: 2b0afe movi a11, 254 8e4c12: 5bff39 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:367 8e4c15: c0ae movi.n a10, 14 8e4c17: c1b3 movi.n a11, 19 8e4c19: 5bff3e call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:368 8e4c1c: c0ae movi.n a10, 14 8e4c1e: 2b0a83 movi a11, 131 8e4c21: 5bff44 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:377 8e4c24: c0a3 movi.n a10, 3 8e4c26: c0b0 movi.n a11, 0 8e4c28: c4c0 movi.n a12, 64 8e4c2a: 5bff4a call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:378 8e4c2d: c0a3 movi.n a10, 3 8e4c2f: c0b0 movi.n a11, 0 8e4c31: c4c0 movi.n a12, 64 8e4c33: 5bff52 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:379 8e4c36: c0a3 movi.n a10, 3 8e4c38: c0b0 movi.n a11, 0 8e4c3a: c4c0 movi.n a12, 64 8e4c3c: 5bff58 call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:384 8e4c3f: c0a4 movi.n a10, 4 8e4c41: 2b0aff movi a11, 255 8e4c44: 5bff2c call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:385 8e4c47: c0af movi.n a10, 15 8e4c49: c0b4 movi.n a11, 4 8e4c4b: 5bff32 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:386 8e4c4e: c0af movi.n a10, 15 8e4c50: 2b0a82 movi a11, 130 8e4c53: 5bff38 call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:395 8e4c56: c0a4 movi.n a10, 4 8e4c58: c0b1 movi.n a11, 1 8e4c5a: c4c0 movi.n a12, 64 8e4c5c: 5bff3d call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:396 8e4c5f: c0a4 movi.n a10, 4 8e4c61: c0b1 movi.n a11, 1 8e4c63: c4c0 movi.n a12, 64 8e4c65: 5bff45 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:397 8e4c68: c0a4 movi.n a10, 4 8e4c6a: c0b1 movi.n a11, 1 8e4c6c: c4c0 movi.n a12, 64 8e4c6e: 5bff4c call8 8e49a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:402 8e4c71: c0a5 movi.n a10, 5 8e4c73: c4bf movi.n a11, 79 8e4c75: 5bff20 call8 8e48f8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:403 8e4c78: c0a4 movi.n a10, 4 8e4c7a: c0b5 movi.n a11, 5 8e4c7c: 5bff25 call8 8e4914 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:404 8e4c7f: c0a4 movi.n a10, 4 8e4c81: 2b0a86 movi a11, 134 8e4c84: 5bff2b call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:410 8e4c87: c0a5 movi.n a10, 5 8e4c89: c0b6 movi.n a11, 6 8e4c8b: 5bff2a call8 8e4934 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:413 8e4c8e: c0a5 movi.n a10, 5 8e4c90: c0b1 movi.n a11, 1 8e4c92: c4c0 movi.n a12, 64 8e4c94: 5bff2f call8 8e4954 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:414 8e4c97: c0a5 movi.n a10, 5 8e4c99: c0b1 movi.n a11, 1 8e4c9b: c4c0 movi.n a12, 64 8e4c9d: 5bff37 call8 8e497c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:415 8e4ca0: c0a5 movi.n a10, 5 8e4ca2: c0b1 movi.n a11, 1 8e4ca4: c4c0 movi.n a12, 64 8e4ca6: 5bff3e call8 8e49a0 008e4ca9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/usb/src/usb_fifo.c:433 8e4ca9: d10f retw.n 008e4cab : ... 008e4cac <__dma_reg_read>: __dma_reg_read(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:166 8e4cac: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:167 8e4caf: 0c0200 memw 8e4cb2: 8220 l32i.n a2, a2, 0 8e4cb4: d10f retw.n 008e4cb6 <__dma_reg_read+0xa>: ... 008e4cb8 <__dma_reg_write>: __dma_reg_write(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:177 8e4cb8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:178 8e4cbb: 0c0200 memw 8e4cbe: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:179 8e4cc0: d10f retw.n 008e4cc2 <__dma_reg_write+0xa>: ... 008e4cc4 <__dma_set_base>: __dma_set_base(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:188 8e4cc4: 6c1004 entry a1, 32 8e4cc7: 160168 l32r a6, 4e85a0 <_lit4_start+0x5a0> 8e4cca: c839 beqz.n a3, 8e4cd7 <__dma_set_base+0x13> 8e4ccc: 150169 l32r a5, 4e85a4 <_lit4_start+0x5a4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:201 8e4ccf: 683107 beqi a3, 1, 8e4cda <__dma_set_base+0x16> 8e4cd2: 683204 beqi a3, 2, 8e4cda <__dma_set_base+0x16> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:202 8e4cd5: d10f retw.n 008e4cd7 <__dma_set_base+0x13>: 8e4cd7: 15016a l32r a5, 4e85a8 <_lit4_start+0x5a8> 008e4cda <__dma_set_base+0x16>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:197 8e4cda: 022409 addx2 a4, a2, a2 8e4cdd: 06440b addx8 a4, a4, a6 8e4ce0: 9540 s32i.n a5, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:202 8e4ce2: d10f retw.n 008e4ce4 <__dma_lib_tx_init>: __dma_lib_tx_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:213 8e4ce4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:217 8e4ce7: 282cfc addi a8, a2, -4 8e4cea: 6e8203 bltui a8, 2, 8e4cf1 <__dma_lib_tx_init+0xd> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:218 8e4ced: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:232 8e4cef: d10f retw.n 008e4cf1 <__dma_lib_tx_init+0xd>: 8e4cf1: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4cf4: 190168 l32r a9, 4e85a0 <_lit4_start+0x5a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:220 8e4cf7: 022409 addx2 a4, a2, a2 8e4cfa: 2882af l32i a8, a8, 0x2bc 8e4cfd: 09440b addx8 a4, a4, a9 8e4d00: b84a addi.n a10, a4, 8 8e4d02: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:222 8e4d05: db30 mov.n a11, a3 8e4d07: da20 mov.n a10, a2 8e4d09: 5bffee call8 8e4cc4 <__dma_set_base> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:224 8e4d0c: 8841 l32i.n a8, a4, 4 8e4d0e: 8240 l32i.n a2, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:228 8e4d10: 8b42 l32i.n a11, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:224 8e4d12: a822 add.n a2, a2, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:228 8e4d14: da20 mov.n a10, a2 8e4d16: 5bffe8 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:229 8e4d19: bc2a addi.n a10, a2, 12 8e4d1b: c0b2 movi.n a11, 2 8e4d1d: 5bffe6 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:230 8e4d20: 2a2c18 addi a10, a2, 24 8e4d23: c0b1 movi.n a11, 1 8e4d25: 5bffe4 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:232 8e4d28: c020 movi.n a2, 0 8e4d2a: d10f retw.n 008e4d2c <__dma_lib_rx_config>: __dma_lib_rx_config(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:238 8e4d2c: 6c1004 entry a1, 32 8e4d2f: dc40 mov.n a12, a4 8e4d31: db30 mov.n a11, a3 8e4d33: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4d36: 1a0168 l32r a10, 4e85a0 <_lit4_start+0x5a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:246 8e4d39: 022909 addx2 a9, a2, a2 8e4d3c: 2882b0 l32i a8, a8, 0x2c0 8e4d3f: 0a920b addx8 a2, a9, a10 8e4d42: b82a addi.n a10, a2, 8 8e4d44: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:251 8e4d47: c0c2 movi.n a12, 2 8e4d49: c031 movi.n a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:249 8e4d4b: 8f21 l32i.n a15, a2, 4 8e4d4d: 8d20 l32i.n a13, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 8e4d4f: 8b22 l32i.n a11, a2, 8 8e4d51: 1e016a l32r a14, 4e85a8 <_lit4_start+0x5a8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:249 8e4d54: afd2 add.n a2, a13, a15 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 8e4d56: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:251 8e4d58: 0edd0c sub a13, a13, a14 8e4d5b: 0dc338 moveqz a3, a12, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:257 8e4d5e: 5bffd6 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:258 8e4d61: db30 mov.n a11, a3 8e4d63: b82a addi.n a10, a2, 8 8e4d65: 5bffd4 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:259 8e4d68: 2a2c1c addi a10, a2, 28 8e4d6b: c0b1 movi.n a11, 1 8e4d6d: 5bffd2 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:260 8e4d70: b42a addi.n a10, a2, 4 8e4d72: c0b1 movi.n a11, 1 8e4d74: 5bffd0 call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:261 8e4d77: d10f retw.n 008e4d79 <__dma_lib_rx_config+0x4d>: 8e4d79: 000000 ... 008e4d7c <__dma_lib_rx_init>: __dma_lib_rx_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:272 8e4d7c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:273 8e4d7f: 6e2403 bltui a2, 4, 8e4d86 <__dma_lib_rx_init+0xa> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:274 8e4d82: c021 movi.n a2, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:284 8e4d84: d10f retw.n 008e4d86 <__dma_lib_rx_init+0xa>: 8e4d86: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4d89: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:280 8e4d8c: 022a09 addx2 a10, a2, a2 8e4d8f: 2882ae l32i a8, a8, 0x2b8 8e4d92: 0baa0b addx8 a10, a10, a11 8e4d95: b8aa addi.n a10, a10, 8 8e4d97: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:282 8e4d9a: db30 mov.n a11, a3 8e4d9c: da20 mov.n a10, a2 8e4d9e: 5bffc9 call8 8e4cc4 <__dma_set_base> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:284 8e4da1: c020 movi.n a2, 0 8e4da3: d10f retw.n 008e4da5 <__dma_lib_rx_init+0x29>: 8e4da5: 000000 ... 008e4da8 <__dma_hard_xmit>: __dma_hard_xmit(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:295 8e4da8: 6c1004 entry a1, 32 8e4dab: db30 mov.n a11, a3 8e4dad: 1c0168 l32r a12, 4e85a0 <_lit4_start+0x5a0> 8e4db0: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:298 8e4db3: 022a09 addx2 a10, a2, a2 8e4db6: 0caa0b addx8 a10, a10, a12 8e4db9: 8ca1 l32i.n a12, a10, 4 8e4dbb: 89a0 l32i.n a9, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:300 8e4dbd: 2882b1 l32i a8, a8, 0x2c4 8e4dc0: b8aa addi.n a10, a10, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:298 8e4dc2: ac92 add.n a2, a9, a12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:300 8e4dc4: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:304 8e4dc7: b42a addi.n a10, a2, 4 8e4dc9: c0b1 movi.n a11, 1 8e4dcb: 5bffbb call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:305 8e4dce: d10f retw.n 008e4dd0 <__dma_reap_xmitted>: __dma_reap_xmitted(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:315 8e4dd0: 6c1004 entry a1, 32 8e4dd3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4dd6: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:316 8e4dd9: 022a09 addx2 a10, a2, a2 8e4ddc: 2882b5 l32i a8, a8, 0x2d4 8e4ddf: 0baa0b addx8 a10, a10, a11 8e4de2: b8aa addi.n a10, a10, 8 8e4de4: 0b8000 callx8 a8 8e4de7: d2a0 mov.n a2, a10 8e4de9: d10f retw.n 008e4deb <__dma_reap_xmitted+0x1b>: ... 008e4dec <__dma_flush_xmit>: __dma_flush_xmit(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:326 8e4dec: 6c1004 entry a1, 32 8e4def: 140168 l32r a4, 4e85a0 <_lit4_start+0x5a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:339 8e4df2: c0b0 movi.n a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:330 8e4df4: 022309 addx2 a3, a2, a2 8e4df7: 04330b addx8 a3, a3, a4 8e4dfa: 8831 l32i.n a8, a3, 4 8e4dfc: 8430 l32i.n a4, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:332 8e4dfe: 8232 l32i.n a2, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:333 8e4e00: 8333 l32i.n a3, a3, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:330 8e4e02: a844 add.n a4, a4, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:339 8e4e04: b44a addi.n a10, a4, 4 8e4e06: 5bffac call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:340 8e4e09: da40 mov.n a10, a4 8e4e0b: db30 mov.n a11, a3 8e4e0d: 5bffaa call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:345 8e4e10: 73210f beq a2, a3, 8e4e23 <__dma_flush_xmit+0x37> 8e4e13: c0a0 movi.n a10, 0 008e4e15 <__dma_flush_xmit+0x29>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:346 8e4e15: 0c0200 memw 8e4e18: 2a2501 s16i a10, a2, 2 8e4e1b: 0c0200 memw 8e4e1e: 8224 l32i.n a2, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:345 8e4e20: 7329f1 bne a2, a3, 8e4e15 <__dma_flush_xmit+0x29> 008e4e23 <__dma_flush_xmit+0x37>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:349 8e4e23: d10f retw.n 008e4e25 <__dma_flush_xmit+0x39>: 8e4e25: 000000 ... 008e4e28 <__dma_xmit_done>: __dma_xmit_done(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:360 8e4e28: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:361 8e4e2b: 282cfc addi a8, a2, -4 8e4e2e: 6e8203 bltui a8, 2, 8e4e35 <__dma_xmit_done+0xd> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:362 8e4e31: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:364 8e4e33: d10f retw.n 008e4e35 <__dma_xmit_done+0xd>: 8e4e35: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4e38: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> 8e4e3b: 022a09 addx2 a10, a2, a2 8e4e3e: 2882b7 l32i a8, a8, 0x2dc 8e4e41: 0baa0b addx8 a10, a10, a11 8e4e44: b8aa addi.n a10, a10, 8 8e4e46: 0b8000 callx8 a8 8e4e49: 0a024f extui a2, a10, 0, 16 8e4e4c: d10f retw.n 008e4e4e <__dma_xmit_done+0x26>: ... 008e4e50 <__dma_reap_recv>: __dma_reap_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:375 8e4e50: 6c1004 entry a1, 32 8e4e53: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4e56: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:376 8e4e59: 022a09 addx2 a10, a2, a2 8e4e5c: 2882b3 l32i a8, a8, 0x2cc 8e4e5f: 0baa0b addx8 a10, a10, a11 8e4e62: b8aa addi.n a10, a10, 8 8e4e64: 0b8000 callx8 a8 8e4e67: d2a0 mov.n a2, a10 8e4e69: d10f retw.n 008e4e6b <__dma_reap_recv+0x1b>: ... 008e4e6c <__dma_return_recv>: __dma_return_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:387 8e4e6c: 6c1004 entry a1, 32 8e4e6f: db30 mov.n a11, a3 8e4e71: 1c0168 l32r a12, 4e85a0 <_lit4_start+0x5a0> 8e4e74: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:390 8e4e77: 022a09 addx2 a10, a2, a2 8e4e7a: 0caa0b addx8 a10, a10, a12 8e4e7d: 8ca1 l32i.n a12, a10, 4 8e4e7f: 89a0 l32i.n a9, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:392 8e4e81: 2882b4 l32i a8, a8, 0x2d0 8e4e84: b8aa addi.n a10, a10, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:390 8e4e86: ac92 add.n a2, a9, a12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:392 8e4e88: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:394 8e4e8b: b42a addi.n a10, a2, 4 8e4e8d: c0b1 movi.n a11, 1 8e4e8f: 5bff8a call8 8e4cb8 <__dma_reg_write> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:395 8e4e92: d10f retw.n 008e4e94 <__dma_recv_pkt>: __dma_recv_pkt(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:405 8e4e94: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:406 8e4e97: 6e2403 bltui a2, 4, 8e4e9e <__dma_recv_pkt+0xa> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:407 8e4e9a: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:409 8e4e9c: d10f retw.n 008e4e9e <__dma_recv_pkt+0xa>: 8e4e9e: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e4ea1: 1b0168 l32r a11, 4e85a0 <_lit4_start+0x5a0> 8e4ea4: 022a09 addx2 a10, a2, a2 8e4ea7: 2882b7 l32i a8, a8, 0x2dc 8e4eaa: 0baa0b addx8 a10, a10, a11 8e4ead: b8aa addi.n a10, a10, 8 8e4eaf: 0b8000 callx8 a8 8e4eb2: 0a024f extui a2, a10, 0, 16 8e4eb5: d10f retw.n 008e4eb7 <__dma_recv_pkt+0x23>: ... 008e4eb8 : dma_lib_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:414 8e4eb8: 6c1004 entry a1, 32 8e4ebb: 190172 l32r a9, 4e85c8 <_lit4_start+0x5c8> 8e4ebe: 1a0171 l32r a10, 4e85c4 <_lit4_start+0x5c4> 8e4ec1: 1b0170 l32r a11, 4e85c0 <_lit4_start+0x5c0> 8e4ec4: 1c016f l32r a12, 4e85bc <_lit4_start+0x5bc> 8e4ec7: 1d016e l32r a13, 4e85b8 <_lit4_start+0x5b8> 8e4eca: 1e016d l32r a14, 4e85b4 <_lit4_start+0x5b4> 8e4ecd: 1f016c l32r a15, 4e85b0 <_lit4_start+0x5b0> 8e4ed0: 13016b l32r a3, 4e85ac <_lit4_start+0x5ac> 8e4ed3: 180173 l32r a8, 4e85cc <_lit4_start+0x5cc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:423 8e4ed6: 982b s32i.n a8, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:422 8e4ed8: 9929 s32i.n a9, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:421 8e4eda: 9a2a s32i.n a10, a2, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:420 8e4edc: 9b28 s32i.n a11, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:419 8e4ede: 9c27 s32i.n a12, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:418 8e4ee0: 9d26 s32i.n a13, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:417 8e4ee2: 9e23 s32i.n a14, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:415 8e4ee4: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:416 8e4ee6: 9f22 s32i.n a15, a2, 8 8e4ee8: 130174 l32r a3, 4e85d0 <_lit4_start+0x5d0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:424 8e4eeb: 932c s32i.n a3, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/hif/dma_lib//dma_lib.c:425 8e4eed: d10f retw.n 008e4eef : ... 008e4ef0 <_vbuf_init>: _vbuf_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:29 8e4ef0: 6c1004 entry a1, 32 8e4ef3: 160018 l32r a6, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:34 8e4ef6: 286252 l32i a8, a6, 0x148 8e4ef9: c0a0 movi.n a10, 0 8e4efb: c2b0 movi.n a11, 32 8e4efd: 0b8000 callx8 a8 8e4f00: 140175 l32r a4, 4e85d4 <_lit4_start+0x5d4> 8e4f03: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:36 8e4f05: 95a0 s32i.n a5, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:35 8e4f07: 95a1 s32i.n a5, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:38 8e4f09: 9a40 s32i.n a10, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:40 8e4f0b: 6a2218 blti a2, 2, 8e4f27 <_vbuf_init+0x37> 8e4f0e: c031 movi.n a3, 1 008e4f10 <_vbuf_init+0x20>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:43 8e4f10: 286252 l32i a8, a6, 0x148 8e4f13: c0a0 movi.n a10, 0 8e4f15: c2b0 movi.n a11, 32 8e4f17: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:48 8e4f1a: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:46 8e4f1c: 8940 l32i.n a9, a4, 0 8e4f1e: 99a1 s32i.n a9, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:45 8e4f20: 95a0 s32i.n a5, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:47 8e4f22: 9a40 s32i.n a10, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:40 8e4f24: 7329e8 bne a2, a3, 8e4f10 <_vbuf_init+0x20> 008e4f27 <_vbuf_init+0x37>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:50 8e4f27: 9241 s32i.n a2, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:52 8e4f29: d10f retw.n 008e4f2b <_vbuf_init+0x3b>: ... 008e4f2c <_vbuf_alloc_vbuf>: _vbuf_alloc_vbuf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:56 8e4f2c: 6c1004 entry a1, 32 8e4f2f: 160175 l32r a6, 4e85d4 <_lit4_start+0x5d4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:59 8e4f32: 8260 l32i.n a2, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 8e4f34: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 8e4f36: 8461 l32i.n a4, a6, 4 8e4f38: c82a beqz.n a2, 8e4f46 <_vbuf_alloc_vbuf+0x1a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:64 8e4f3a: 8521 l32i.n a5, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 8e4f3c: b044 addi.n a4, a4, -1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:64 8e4f3e: 9560 s32i.n a5, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:62 8e4f40: 9461 s32i.n a4, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 8e4f42: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:68 8e4f44: d10f retw.n 008e4f46 <_vbuf_alloc_vbuf+0x1a>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:65 8e4f46: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:68 8e4f48: d10f retw.n 008e4f4a <_vbuf_alloc_vbuf+0x1e>: ... 008e4f4c <_vbuf_free_vbuf>: _vbuf_free_vbuf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:72 8e4f4c: 6c1004 entry a1, 32 8e4f4f: 130175 l32r a3, 4e85d4 <_lit4_start+0x5d4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:78 8e4f52: 8431 l32i.n a4, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:75 8e4f54: 8530 l32i.n a5, a3, 0 8e4f56: 9521 s32i.n a5, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:76 8e4f58: 9230 s32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:78 8e4f5a: b144 addi.n a4, a4, 1 8e4f5c: 9431 s32i.n a4, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:79 8e4f5e: d10f retw.n 008e4f60 : vbuf_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:84 8e4f60: 6c1004 entry a1, 32 8e4f63: 180177 l32r a8, 4e85dc <_lit4_start+0x5dc> 8e4f66: 190176 l32r a9, 4e85d8 <_lit4_start+0x5d8> 8e4f69: 130178 l32r a3, 4e85e0 <_lit4_start+0x5e0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:88 8e4f6c: 9323 s32i.n a3, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:87 8e4f6e: 9821 s32i.n a8, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:86 8e4f70: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vbuf/src/vbuf.c:92 8e4f72: d10f retw.n 008e4f74 <_vdesc_init>: _vdesc_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:34 8e4f74: 6c1004 entry a1, 32 8e4f77: 160018 l32r a6, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:42 8e4f7a: 286252 l32i a8, a6, 0x148 8e4f7d: c0a0 movi.n a10, 0 8e4f7f: c2b4 movi.n a11, 36 8e4f81: 0b8000 callx8 a8 8e4f84: 140179 l32r a4, 4e85e4 <_lit4_start+0x5e4> 8e4f87: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:44 8e4f89: 25a507 s16i a5, a10, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:43 8e4f8c: 95a0 s32i.n a5, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:47 8e4f8e: 9a40 s32i.n a10, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:49 8e4f90: 6a2219 blti a2, 2, 8e4fad <_vdesc_init+0x39> 8e4f93: c031 movi.n a3, 1 008e4f95 <_vdesc_init+0x21>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:53 8e4f95: 286252 l32i a8, a6, 0x148 8e4f98: c0a0 movi.n a10, 0 8e4f9a: c2b4 movi.n a11, 36 8e4f9c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:59 8e4f9f: b133 addi.n a3, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:57 8e4fa1: 8940 l32i.n a9, a4, 0 8e4fa3: 99a0 s32i.n a9, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:55 8e4fa5: 25a507 s16i a5, a10, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:58 8e4fa8: 9a40 s32i.n a10, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:49 8e4faa: 7329e7 bne a2, a3, 8e4f95 <_vdesc_init+0x21> 008e4fad <_vdesc_init+0x39>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:63 8e4fad: d10f retw.n 008e4faf <_vdesc_init+0x3b>: ... 008e4fb0 <_vdesc_alloc_desc>: _vdesc_alloc_desc(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:134 8e4fb0: 6c1004 entry a1, 32 8e4fb3: 150179 l32r a5, 4e85e4 <_lit4_start+0x5e4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:138 8e4fb6: 8250 l32i.n a2, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 8e4fb8: c030 movi.n a3, 0 8e4fba: c826 beqz.n a2, 8e4fc4 <_vdesc_alloc_desc+0x14> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:143 8e4fbc: 8420 l32i.n a4, a2, 0 8e4fbe: 9450 s32i.n a4, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 8e4fc0: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:147 8e4fc2: d10f retw.n 008e4fc4 <_vdesc_alloc_desc+0x14>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:144 8e4fc4: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:147 8e4fc6: d10f retw.n 008e4fc8 <_vdesc_get_hw_desc>: _vdesc_get_hw_desc(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:161 8e4fc8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:163 8e4fcb: 222c10 addi a2, a2, 16 8e4fce: d10f retw.n 008e4fd0 <_vdesc_swap_vdesc>: _vdesc_swap_vdesc(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:177 8e4fd0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:197 8e4fd3: 8421 l32i.n a4, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:185 8e4fd5: 2c2105 l16ui a12, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:193 8e4fd8: 282107 l16ui a8, a2, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:189 8e4fdb: 2a2106 l16ui a10, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:198 8e4fde: 8531 l32i.n a5, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:181 8e4fe0: 2e2104 l16ui a14, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:194 8e4fe3: 293107 l16ui a9, a3, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:186 8e4fe6: 2d3105 l16ui a13, a3, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:190 8e4fe9: 2b3106 l16ui a11, a3, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:182 8e4fec: 2f3104 l16ui a15, a3, 8 8e4fef: 2f2504 s16i a15, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:183 8e4ff2: 2e3504 s16i a14, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:186 8e4ff5: 2d2505 s16i a13, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:187 8e4ff8: 2c3505 s16i a12, a3, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:190 8e4ffb: 2b2506 s16i a11, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:191 8e4ffe: 2a3506 s16i a10, a3, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:194 8e5001: 292507 s16i a9, a2, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:195 8e5004: 283507 s16i a8, a3, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:198 8e5007: 9521 s32i.n a5, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:199 8e5009: 9431 s32i.n a4, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:200 8e500b: d10f retw.n 008e500d <_vdesc_swap_vdesc+0x3d>: 8e500d: 000000 ... 008e5010 : vdesc_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:205 8e5010: 6c1004 entry a1, 32 8e5013: 18017c l32r a8, 4e85f0 <_lit4_start+0x5f0> 8e5016: 19017b l32r a9, 4e85ec <_lit4_start+0x5ec> 8e5019: 1a017a l32r a10, 4e85e8 <_lit4_start+0x5e8> 8e501c: 13017d l32r a3, 4e85f4 <_lit4_start+0x5f4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:210 8e501f: 9323 s32i.n a3, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:209 8e5021: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:208 8e5023: 9921 s32i.n a9, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:207 8e5025: 9a20 s32i.n a10, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/vdesc/src/vdesc.c:216 8e5027: d10f retw.n 008e5029 : 8e5029: 000000 ... 008e502c : adf_nbuf_tailroom(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:314 8e502c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:261 8e502f: 8420 l32i.n a4, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 8e5031: 8240 l32i.n a2, a4, 0 8e5033: c825 beqz.n a2, 8e503c 008e5035 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:267 8e5035: d420 mov.n a4, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 8e5037: 8220 l32i.n a2, a2, 0 8e5039: 652ff8 bnez a2, 8e5035 008e503c : /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:315 8e503c: 254105 l16ui a5, a4, 10 8e503f: 224104 l16ui a2, a4, 8 8e5042: 234106 l16ui a3, a4, 12 8e5045: 05220c sub a2, a2, a5 8e5048: 03220c sub a2, a2, a3 8e504b: d10f retw.n 008e504d : 8e504d: 000000 ... 008e5050 : adf_nbuf_push_head(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:330 8e5050: 6c1004 entry a1, 32 8e5053: d520 mov.n a5, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:329 8e5055: 8220 l32i.n a2, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 8e5057: 282106 l16ui a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:331 8e505a: 262105 l16ui a6, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 8e505d: a388 add.n a8, a8, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:331 8e505f: 03660c sub a6, a6, a3 8e5062: 262505 s16i a6, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:332 8e5065: 282506 s16i a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:333 8e5068: 245104 l16ui a4, a5, 8 8e506b: a344 add.n a4, a4, a3 8e506d: 245504 s16i a4, a5, 8 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:331 8e5070: 8421 l32i.n a4, a2, 4 8e5072: 222105 l16ui a2, a2, 10 8e5075: a422 add.n a2, a2, a4 8e5077: d10f retw.n 008e5079 : 8e5079: 000000 ... 008e507c : adf_nbuf_put_tail(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:346 8e507c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:261 8e507f: 8620 l32i.n a6, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 8e5081: 8560 l32i.n a5, a6, 0 8e5083: c855 beqz.n a5, 8e508c 008e5085 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:267 8e5085: d650 mov.n a6, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:265 8e5087: 8550 l32i.n a5, a5, 0 8e5089: 655ff8 bnez a5, 8e5085 008e508c : /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 8e508c: 246106 l16ui a4, a6, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:355 8e508f: a349 add.n a9, a4, a3 8e5091: 296506 s16i a9, a6, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:356 8e5094: 282104 l16ui a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 8e5097: 256105 l16ui a5, a6, 10 8e509a: 8761 l32i.n a7, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:356 8e509c: a388 add.n a8, a8, a3 8e509e: 282504 s16i a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:354 8e50a1: a752 add.n a2, a5, a7 8e50a3: a242 add.n a2, a4, a2 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:347 8e50a5: d10f retw.n 008e50a7 : ... 008e50a8 : adf_nbuf_pull_head(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:362 8e50a8: 6c1004 entry a1, 32 8e50ab: d520 mov.n a5, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:373 8e50ad: 8220 l32i.n a2, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 8e50af: 282106 l16ui a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:375 8e50b2: 262105 l16ui a6, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 8e50b5: 03880c sub a8, a8, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:375 8e50b8: a366 add.n a6, a6, a3 8e50ba: 262505 s16i a6, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:376 8e50bd: 282506 s16i a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:377 8e50c0: 245104 l16ui a4, a5, 8 8e50c3: 03440c sub a4, a4, a3 8e50c6: 245504 s16i a4, a5, 8 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:363 8e50c9: 8421 l32i.n a4, a2, 4 8e50cb: 222105 l16ui a2, a2, 10 8e50ce: a422 add.n a2, a2, a4 8e50d0: d10f retw.n 008e50d2 : ... 008e50d4 : adf_nbuf_len(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:392 8e50d4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:393 8e50d7: 222104 l16ui a2, a2, 8 8e50da: d10f retw.n 008e50dc : adf_nbuf_peek_header(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:437 8e50dc: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:245 8e50df: 8520 l32i.n a5, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:247 8e50e1: 265105 l16ui a6, a5, 10 8e50e4: 8751 l32i.n a7, a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:248 8e50e6: 255106 l16ui a5, a5, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:247 8e50e9: a766 add.n a6, a6, a7 8e50eb: 9630 s32i.n a6, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/adf/nbuf/inc/adf_nbuf_pvt.h:248 8e50ed: 9540 s32i.n a5, a4, 0 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:439 8e50ef: d10f retw.n 008e50f1 : 8e50f1: 000000 ... 008e50f4 : adf_nbuf_get_priv(): /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:453 8e50f4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:454 8e50f7: bc22 addi.n a2, a2, 12 8e50f9: d10f retw.n 008e50fb : ... 008e50fc : HTC_AssembleBuffers(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:80 8e50fc: 6c1004 entry a1, 32 8e50ff: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e5102: dd40 mov.n a13, a4 8e5104: dc30 mov.n a12, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:81 8e5106: 28829b l32i a8, a8, 0x26c 8e5109: 2a22b1 l32i a10, a2, 0x2c4 8e510c: c0b0 movi.n a11, 0 8e510e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:82 8e5111: d10f retw.n 008e5113 : ... 008e5114 <_HTC_Init>: _HTC_Init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:87 8e5114: 6c1006 entry a1, 48 8e5117: 140018 l32r a4, 4e8060 <_lit4_start+0x60> 8e511a: d520 mov.n a5, a2 /root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 8e511c: 284252 l32i a8, a4, 0x148 8e511f: c0a0 movi.n a10, 0 8e5121: 2b2acc movi a11, 0x2cc 8e5124: 0b8000 callx8 a8 8e5127: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:40 8e5129: 8844 l32i.n a8, a4, 16 8e512b: c0b0 movi.n a11, 0 8e512d: 2c2acc movi a12, 0x2cc 8e5130: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:100 8e5133: 8832 l32i.n a8, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:101 8e5135: 261a8c movi a6, 0x18c 8e5138: a626 add.n a6, a2, a6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:100 8e513a: 9820 s32i.n a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:101 8e513c: 8c34 l32i.n a12, a3, 16 8e513e: 2c26b1 s32i a12, a2, 0x2c4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:102 8e5141: 8a33 l32i.n a10, a3, 12 8e5143: 2a26b0 s32i a10, a2, 0x2c0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:107 8e5146: 9212 s32i.n a2, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:110 8e5148: 284272 l32i a8, a4, 0x1c8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:106 8e514b: 294286 l32i a9, a4, 0x218 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:105 8e514e: 2b4287 l32i a11, a4, 0x21c 8e5151: 9b10 s32i.n a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:106 8e5153: 9911 s32i.n a9, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:110 8e5155: db10 mov.n a11, a1 8e5157: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:114 8e515a: c4e0 movi.n a14, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 8e515c: c3a4 movi.n a10, 52 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:125 8e515e: c0b3 movi.n a11, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:118 8e5160: c0d6 movi.n a13, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:142 8e5162: 2f4288 l32i a15, a4, 0x220 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:114 8e5165: c0c0 movi.n a12, 0 8e5167: 2c6649 s32i a12, a6, 0x124 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:118 8e516a: 2d26ac s32i a13, a2, 0x2b0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 8e516d: 296249 l32i a9, a6, 0x124 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:122 8e5170: 2c664c s32i a12, a6, 0x130 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:125 8e5173: 2b26af s32i a11, a2, 0x2bc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:128 8e5176: 0a9928 mull a9, a9, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:144 8e5179: c2ac movi.n a10, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:130 8e517b: 0e9935 max a9, a9, a14 8e517e: 29664a s32i a9, a6, 0x128 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:136 8e5181: 8830 l32i.n a8, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:149 8e5183: 292a7c movi a9, 0x27c 8e5186: a929 add.n a9, a2, a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:136 8e5188: 286644 s32i a8, a6, 0x110 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:137 8e518b: 8b31 l32i.n a11, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:142 8e518d: 2f663d s32i a15, a6, 244 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:143 8e5190: 2d4289 l32i a13, a4, 0x224 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:145 8e5193: 2e6582 s16i a14, a6, 0x104 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:143 8e5196: 2d663e s32i a13, a6, 248 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:139 8e5199: 2c6648 s32i a12, a6, 0x120 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:144 8e519c: 2a6583 s16i a10, a6, 0x106 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:137 8e519f: 2b6647 s32i a11, a6, 0x11c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 8e51a2: 2a22b0 l32i a10, a2, 0x2c0 8e51a5: 2b2c19 addi a11, a2, 25 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:146 8e51a8: 2226a5 s32i a2, a2, 0x294 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 8e51ab: 28427c l32i a8, a4, 0x1f0 8e51ae: 2c2c18 addi a12, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:149 8e51b1: 9922 s32i.n a9, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:150 8e51b3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:155 8e51b6: 884e l32i.n a8, a4, 56 8e51b8: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:156 8e51bb: 2b2019 l8ui a11, a2, 25 8e51be: 2e22b0 l32i a14, a2, 0x2c0 8e51c1: 2d4275 l32i a13, a4, 0x1d4 8e51c4: 69a209 bnei a10, 2, 8e51d1 <_HTC_Init+0xbd> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:157 8e51c7: dae0 mov.n a10, a14 8e51c9: 8c31 l32i.n a12, a3, 4 8e51cb: 0bd000 callx8 a13 8e51ce: 600006 j 8e51d8 <_HTC_Init+0xc4> 008e51d1 <_HTC_Init+0xbd>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:159 8e51d1: dae0 mov.n a10, a14 8e51d3: c0c1 movi.n a12, 1 8e51d5: 0bd000 callx8 a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:165 8e51d8: 2526a9 s32i a5, a2, 0x2a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:169 8e51db: da20 mov.n a10, a2 8e51dd: c0b4 movi.n a11, 4 8e51df: c4c0 movi.n a12, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:164 8e51e1: c091 movi.n a9, 1 8e51e3: 29269e s32i a9, a2, 0x278 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:169 8e51e6: 5bffc5 call8 8e50fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:172 8e51e9: 284274 l32i a8, a4, 0x1d0 8e51ec: 2a22b0 l32i a10, a2, 0x2c0 8e51ef: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:174 8e51f2: d10f retw.n 008e51f4 <_HTC_Shutdown>: _HTC_Shutdown(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:178 8e51f4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:182 8e51f7: d10f retw.n 008e51f9 <_HTC_Shutdown+0x5>: 8e51f9: 000000 ... 008e51fc <_HTC_RegisterService>: _HTC_RegisterService(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:185 8e51fc: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:189 8e51ff: 2422a6 l32i a4, a2, 0x298 8e5202: 9430 s32i.n a4, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:190 8e5204: 2326a6 s32i a3, a2, 0x298 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:191 8e5207: d10f retw.n 008e5209 <_HTC_RegisterService+0xd>: 8e5209: 000000 ... 008e520c <_HTC_Ready>: _HTC_Ready(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:194 8e520c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:200 8e520f: da20 mov.n a10, a2 8e5211: 5800bc call8 8e5504 8e5214: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:206 8e5216: c0b8 movi.n a11, 8 8e5218: 5bff98 call8 8e507c 8e521b: 150018 l32r a5, 4e8060 <_lit4_start+0x60> 8e521e: d3a0 mov.n a3, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:208 8e5220: 8854 l32i.n a8, a5, 16 8e5222: c0b0 movi.n a11, 0 8e5224: c0c8 movi.n a12, 8 8e5226: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 8e5229: dc40 mov.n a12, a4 8e522b: da20 mov.n a10, a2 8e522d: c0b0 movi.n a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:213 8e522f: c1e6 movi.n a14, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 8e5231: c081 movi.n a8, 1 8e5233: c0f0 movi.n a15, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 8e5235: 2922a7 l32i a9, a2, 0x29c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 8e5238: 2d22aa l32i a13, a2, 0x2a8 8e523b: 2d3403 s8i a13, a3, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 8e523e: 293405 s8i a9, a3, 5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 8e5241: 2f3400 s8i a15, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 8e5244: 098914 srli a9, a9, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 8e5247: 0d8d14 srli a13, a13, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:209 8e524a: 283401 s8i a8, a3, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:213 8e524d: 2e3406 s8i a14, a3, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 8e5250: 285284 l32i a8, a5, 0x210 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:212 8e5253: 2d3402 s8i a13, a3, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:210 8e5256: 293404 s8i a9, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:217 8e5259: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:219 8e525c: d10f retw.n 008e525e <_HTC_Ready+0x52>: ... 008e5260 : ReturnBuffers(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:222 8e5260: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:229 8e5263: da40 mov.n a10, a4 8e5265: c0b8 movi.n a11, 8 8e5267: 5bff7a call8 8e5050 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:233 8e526a: 2a22b0 l32i a10, a2, 0x2c0 8e526d: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e5270: dc40 mov.n a12, a4 8e5272: 03360f subx8 a6, a3, a3 8e5275: 288277 l32i a8, a8, 0x1dc 8e5278: 02660a addx4 a6, a6, a2 8e527b: 2b6019 l8ui a11, a6, 25 8e527e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:236 8e5281: 2922a8 l32i a9, a2, 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:259 8e5284: 2822aa l32i a8, a2, 0x2a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:236 8e5287: 7f974b bbci a9, 31, 8e52d6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:238 8e528a: da40 mov.n a10, a4 8e528c: 5bff91 call8 8e50d4 8e528f: 2b22a7 l32i a11, a2, 0x29c 8e5292: 5805ee call8 8e6a4c <__udivsi3> 8e5295: d7a0 mov.n a7, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:239 8e5297: da40 mov.n a10, a4 8e5299: 5bff8e call8 8e50d4 8e529c: c041 movi.n a4, 1 8e529e: 2b22a7 l32i a11, a2, 0x29c 8e52a1: 5805fe call8 8e6a9c <__umodsi3> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:245 8e52a4: 2c6907 l16si a12, a6, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 8e52a7: 2b6902 l16si a11, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:240 8e52aa: b17d addi.n a13, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:239 8e52ac: 0ad739 movnez a7, a13, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 8e52af: a7bb add.n a11, a11, a7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:245 8e52b1: 07cc0c sub a12, a12, a7 8e52b4: 2c6507 s16i a12, a6, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:244 8e52b7: 2b6502 s16i a11, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:248 8e52ba: 2a229c l32i a10, a2, 0x270 8e52bd: 003104 ssl a3 8e52c0: 004b1a sll a11, a4 8e52c3: 0aba02 or a10, a11, a10 8e52c6: 2a269c s32i a10, a2, 0x270 8e52c9: c85e beqz.n a5, 8e52db /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:251 8e52cb: da20 mov.n a10, a2 8e52cd: b46c addi.n a12, a6, 4 8e52cf: dd30 mov.n a13, a3 8e52d1: 580094 call8 8e5524 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:261 8e52d4: d10f retw.n 008e52d6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:259 8e52d6: a8ff add.n a15, a15, a8 008e52d8 : 8e52d8: 2f26aa s32i a15, a2, 0x2a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:261 8e52db: d10f retw.n 008e52dd : 8e52dd: 000000 ... 008e52e0 <_HTC_ReturnBuffersList>: _HTC_ReturnBuffersList(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:264 8e52e0: 6c1008 entry a1, 64 8e52e3: 251603 s32i a5, a1, 12 8e52e6: 261604 s32i a6, a1, 16 8e52e9: 241602 s32i a4, a1, 8 8e52ec: c84e beqz.n a4, 8e52fe <_HTC_ReturnBuffersList+0x1e> 008e52ee <_HTC_ReturnBuffersList+0xe>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:276 8e52ee: da20 mov.n a10, a2 8e52f0: db30 mov.n a11, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:273 8e52f2: dc40 mov.n a12, a4 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:541 8e52f4: 8441 l32i.n a4, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:276 8e52f6: c0d0 movi.n a13, 0 8e52f8: 5bffd9 call8 8e5260 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:271 8e52fb: 654fef bnez a4, 8e52ee <_HTC_ReturnBuffersList+0xe> 008e52fe <_HTC_ReturnBuffersList+0x1e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:279 8e52fe: dd30 mov.n a13, a3 8e5300: da20 mov.n a10, a2 8e5302: 003104 ssl a3 8e5305: c0b1 movi.n a11, 1 8e5307: 033c0f subx8 a12, a3, a3 8e530a: 02cc0a addx4 a12, a12, a2 8e530d: 00bb1a sll a11, a11 8e5310: b4cc addi.n a12, a12, 4 8e5312: 580084 call8 8e5524 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:280 8e5315: d10f retw.n 008e5317 <_HTC_ReturnBuffersList+0x37>: ... 008e5318 <_HTC_ReturnBuffers>: _HTC_ReturnBuffers(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:283 8e5318: 6c1004 entry a1, 32 8e531b: dc40 mov.n a12, a4 8e531d: db30 mov.n a11, a3 8e531f: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:284 8e5321: c0d1 movi.n a13, 1 8e5323: 5bffcf call8 8e5260 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:285 8e5326: d10f retw.n 008e5328 <_HTC_SendMsg>: _HTC_SendMsg(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:288 8e5328: 6c1008 entry a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:294 8e532b: da40 mov.n a10, a4 8e532d: 5bff71 call8 8e50f4 8e5330: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:297 8e5332: da40 mov.n a10, a4 8e5334: 5bff67 call8 8e50d4 8e5337: d6a0 mov.n a6, a10 8e5339: 9a15 s32i.n a10, a1, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:304 8e533b: c0b8 movi.n a11, 8 8e533d: da40 mov.n a10, a4 8e533f: 5bff44 call8 8e5050 8e5342: 9611 s32i.n a6, a1, 4 8e5344: d7a0 mov.n a7, a10 8e5346: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e5349: c090 movi.n a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:309 8e534b: 235400 s8i a3, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:307 8e534e: c4d0 movi.n a13, 64 8e5350: 2c5001 l8ui a12, a5, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 8e5353: 033b0f subx8 a11, a3, a3 8e5356: 02bb0a addx4 a11, a11, a2 8e5359: 9b14 s32i.n a11, a1, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:307 8e535b: 0dcc02 or a12, a12, a13 8e535e: 2c5401 s8i a12, a5, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:315 8e5361: 23a400 s8i a3, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:314 8e5364: 29a401 s8i a9, a10, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 8e5367: 288279 l32i a8, a8, 0x1e4 8e536a: 2bb018 l8ui a11, a11, 24 8e536d: 2a22b0 l32i a10, a2, 0x2c0 8e5370: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:301 8e5373: 8540 l32i.n a5, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:328 8e5375: d6a0 mov.n a6, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:329 8e5377: da40 mov.n a10, a4 /root/Workspace/0412_v202/tgt/adf/include/nbuf/adf_nbuf.h:301 8e5379: 255105 l16ui a5, a5, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:329 8e537c: 5bff55 call8 8e50d4 8e537f: d3a0 mov.n a3, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:334 8e5381: da40 mov.n a10, a4 8e5383: 5bff2a call8 8e502c 8e5386: 05650c sub a5, a6, a5 8e5389: 03550c sub a5, a5, a3 8e538c: 75ab06 bgeu a10, a5, 8e5396 <_HTC_SendMsg+0x6e> 8e538f: da40 mov.n a10, a4 8e5391: 5bff26 call8 8e502c 8e5394: d5a0 mov.n a5, a10 008e5396 <_HTC_SendMsg+0x6e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:335 8e5396: 6b5602 bgei a5, 6, 8e539c <_HTC_SendMsg+0x74> 8e5399: 6000bf j 8e545c <_HTC_SendMsg+0x134> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:344 8e539c: 23229c l32i a3, a2, 0x270 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:393 8e539f: c060 movi.n a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:349 8e53a1: 64309c beqz a3, 8e5441 <_HTC_SendMsg+0x119> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:351 8e53a4: da40 mov.n a10, a4 8e53a6: c0b2 movi.n a11, 2 8e53a8: 9716 s32i.n a7, a1, 24 8e53aa: 5bff34 call8 8e507c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:362 8e53ad: d720 mov.n a7, a2 8e53af: b42b addi.n a11, a2, 4 8e53b1: c062 movi.n a6, 2 8e53b3: c0d1 movi.n a13, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:351 8e53b5: 9a12 s32i.n a10, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:355 8e53b7: c083 movi.n a8, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:359 8e53b9: 2c5cfe addi a12, a5, -2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:356 8e53bc: c090 movi.n a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:362 8e53be: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:356 8e53c0: 29a401 s8i a9, a10, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:355 8e53c3: 28a400 s8i a8, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:390 8e53c6: 6430b2 beqz a3, 8e547c <_HTC_SendMsg+0x154> 8e53c9: 6bc402 bgei a12, 4, 8e53cf <_HTC_SendMsg+0xa7> 8e53cc: 6000ac j 8e547c <_HTC_SendMsg+0x154> 8e53cf: 9c17 s32i.n a12, a1, 28 8e53d1: 9b10 s32i.n a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:366 8e53d3: 005104 ssl a5 8e53d6: 00de1a sll a14, a13 8e53d9: 9e13 s32i.n a14, a1, 12 8e53db: 7e3057 bnone a3, a14, 8e5436 <_HTC_SendMsg+0x10e> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:368 8e53de: da40 mov.n a10, a4 8e53e0: c0b4 movi.n a11, 4 8e53e2: 5bff26 call8 8e507c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:378 8e53e5: c080 movi.n a8, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 8e53e7: 8913 l32i.n a9, a1, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:368 8e53e9: dba0 mov.n a11, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:373 8e53eb: 25a400 s8i a5, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:374 8e53ee: 2c7902 l16si a12, a7, 4 8e53f1: 2ca401 s8i a12, a10, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 8e53f4: 2d710e l16ui a13, a7, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 8e53f7: c7af movi.n a10, -1 8e53f9: 0a9903 xor a9, a9, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 8e53fc: adcc add.n a12, a12, a13 8e53fe: 0c0c4b extui a12, a12, 0, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:376 8e5401: 0c8a14 srli a10, a12, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:375 8e5404: 2c750e s16i a12, a7, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:376 8e5407: 2cb403 s8i a12, a11, 3 8e540a: 2ab402 s8i a10, a11, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:380 8e540d: 2f7108 l16ui a15, a7, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:371 8e5410: 093301 and a3, a3, a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:378 8e5413: 287502 s16i a8, a7, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:380 8e5416: 7df704 bbci a15, 29, 8e541e <_HTC_SendMsg+0xf6> 8e5419: 8a10 l32i.n a10, a1, 0 8e541b: 5800f2 call8 8e57e4 008e541e <_HTC_SendMsg+0xf6>: 8e541e: 8b10 l32i.n a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 8e5420: 8f12 l32i.n a15, a1, 8 8e5422: c0d1 movi.n a13, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:383 8e5424: 8c17 l32i.n a12, a1, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 8e5426: 2ef001 l8ui a14, a15, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:384 8e5429: b466 addi.n a6, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:383 8e542b: 2cccfc addi a12, a12, -4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:382 8e542e: b4ee addi.n a14, a14, 4 8e5430: 2ef401 s8i a14, a15, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:386 8e5433: 6ec445 bltui a12, 4, 8e547c <_HTC_SendMsg+0x154> 008e5436 <_HTC_SendMsg+0x10e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:390 8e5436: b155 addi.n a5, a5, 1 8e5438: 277c1c addi a7, a7, 28 8e543b: 2bbc1c addi a11, a11, 28 8e543e: 63ff84 j 8e53c6 <_HTC_SendMsg+0x9e> 008e5441 <_HTC_SendMsg+0x119>: 8e5441: 9716 s32i.n a7, a1, 24 8e5443: 8716 l32i.n a7, a1, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:396 8e5445: 6a6113 blti a6, 1, 8e545c <_HTC_SendMsg+0x134> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 8e5448: c082 movi.n a8, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:413 8e544a: 8911 l32i.n a9, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:409 8e544c: 267404 s8i a6, a7, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 8e544f: 2f7001 l8ui a15, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:413 8e5452: a969 add.n a9, a6, a9 8e5454: 9915 s32i.n a9, a1, 20 008e5456 <_HTC_SendMsg+0x12e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:411 8e5456: 08ff02 or a15, a15, a8 8e5459: 2f7401 s8i a15, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 8e545c: 8b14 l32i.n a11, a1, 16 8e545e: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 8e5461: 8c15 l32i.n a12, a1, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 8e5463: 2a22b0 l32i a10, a2, 0x2c0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 8e5466: 2c7403 s8i a12, a7, 3 8e5469: 0c8d14 srli a13, a12, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 8e546c: 288276 l32i a8, a8, 0x1d8 8e546f: dc40 mov.n a12, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:422 8e5471: 2d7402 s8i a13, a7, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:423 8e5474: 2bb018 l8ui a11, a11, 24 8e5477: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:424 8e547a: d10f retw.n 008e547c <_HTC_SendMsg+0x154>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:393 8e547c: 23269c s32i a3, a2, 0x270 8e547f: 63ffc0 j 8e5443 <_HTC_SendMsg+0x11b> 008e5482 <_HTC_SendMsg+0x15a>: ... 008e5484 <_HTC_PauseRecv>: _HTC_PauseRecv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:427 8e5484: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:435 8e5487: d10f retw.n 008e5489 <_HTC_PauseRecv+0x5>: 8e5489: 000000 ... 008e548c <_HTC_ResumeRecv>: _HTC_ResumeRecv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:438 8e548c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:465 8e548f: d10f retw.n 008e5491 <_HTC_ResumeRecv+0x5>: 8e5491: 000000 ... 008e5494 <_HTC_GetReservedHeadroom>: _HTC_GetReservedHeadroom(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:468 8e5494: 6c1004 entry a1, 32 8e5497: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:471 8e549a: 28827a l32i a8, a8, 0x1e8 8e549d: 2a22b0 l32i a10, a2, 0x2c0 8e54a0: 0b8000 callx8 a8 8e54a3: b8a2 addi.n a2, a10, 8 8e54a5: d10f retw.n 008e54a7 <_HTC_GetReservedHeadroom+0x13>: ... 008e54a8 : htc_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:475 8e54a8: 6c1004 entry a1, 32 8e54ab: 19017e l32r a9, 4e85f8 <_lit4_start+0x5f8> 8e54ae: 130180 l32r a3, 4e8600 <_lit4_start+0x600> 8e54b1: 1a0186 l32r a10, 4e8618 <_lit4_start+0x618> 8e54b4: 1b0185 l32r a11, 4e8614 <_lit4_start+0x614> 8e54b7: 1c0184 l32r a12, 4e8610 <_lit4_start+0x610> 8e54ba: 1d0183 l32r a13, 4e860c <_lit4_start+0x60c> 8e54bd: 1e0182 l32r a14, 4e8608 <_lit4_start+0x608> 8e54c0: 1f0181 l32r a15, 4e8604 <_lit4_start+0x604> 8e54c3: 18017f l32r a8, 4e85fc <_lit4_start+0x5fc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:477 8e54c6: 9824 s32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:484 8e54c8: 9a28 s32i.n a10, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:483 8e54ca: 9b27 s32i.n a11, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:482 8e54cc: 9c21 s32i.n a12, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:481 8e54ce: 9d26 s32i.n a13, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:480 8e54d0: 9e22 s32i.n a14, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:479 8e54d2: 9f23 s32i.n a15, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:476 8e54d4: 9920 s32i.n a9, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:478 8e54d6: 9325 s32i.n a3, a2, 20 8e54d8: 180188 l32r a8, 4e8620 <_lit4_start+0x620> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:486 8e54db: 982a s32i.n a8, a2, 40 8e54dd: 130189 l32r a3, 4e8624 <_lit4_start+0x624> 8e54e0: 190187 l32r a9, 4e861c <_lit4_start+0x61c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:485 8e54e3: 9929 s32i.n a9, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:487 8e54e5: 932b s32i.n a3, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:494 8e54e7: d10f retw.n 008e54e9 : 8e54e9: 000000 ... 008e54ec : HTCFreeMsgBuffer(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:502 8e54ec: 6c1004 entry a1, 32 8e54ef: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e54f2: dc30 mov.n a12, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:503 8e54f4: 28829e l32i a8, a8, 0x278 8e54f7: 2a22b1 l32i a10, a2, 0x2c4 8e54fa: c0b0 movi.n a11, 0 8e54fc: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:504 8e54ff: d10f retw.n 008e5501 : 8e5501: 000000 ... 008e5504 : HTCAllocMsgBuffer(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:509 8e5504: 6c1004 entry a1, 32 8e5507: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:510 8e550a: 283285 l32i a8, a3, 0x214 8e550d: da20 mov.n a10, a2 8e550f: 0b8000 callx8 a8 8e5512: dca0 mov.n a12, a10 8e5514: 28329c l32i a8, a3, 0x270 8e5517: 2a22b1 l32i a10, a2, 0x2c4 8e551a: c0b0 movi.n a11, 0 8e551c: 0b8000 callx8 a8 8e551f: d2a0 mov.n a2, a10 8e5521: d10f retw.n 008e5523 : ... 008e5524 : HTCCheckAndSendCreditReport(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:514 8e5524: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:520 8e5527: 28229b l32i a8, a2, 0x26c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:525 8e552a: 29229c l32i a9, a2, 0x270 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:520 8e552d: 73804f bnone a8, a3, 8e5580 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:525 8e5530: 73904c bnone a9, a3, 8e5580 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:530 8e5533: c062 movi.n a6, 2 8e5535: c941 beqz.n a4, 8e554a /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:532 8e5537: 2a4904 l16si a10, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:533 8e553a: 2b4900 l16si a11, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:540 8e553d: 8c44 l32i.n a12, a4, 16 8e553f: c8a1 beqz.n a10, 8e5544 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:533 8e5541: 7ab23b blt a11, a10, 8e5580 008e5544 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:540 8e5544: 2d22af l32i a13, a2, 0x2bc 8e5547: 7dca08 bge a12, a13, 8e5553 008e554a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:553 8e554a: da20 mov.n a10, a2 8e554c: 5bffed call8 8e5504 8e554f: d3a0 mov.n a3, a10 8e5551: cca9 bnez.n a10, 8e555e 008e5553 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:545 8e5553: 2e22a8 l32i a14, a2, 0x2a0 8e5556: 06ee02 or a14, a14, a6 8e5559: 2e26a8 s32i a14, a2, 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:576 8e555c: d10f retw.n 008e555e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:562 8e555e: 5bfee5 call8 8e50f4 8e5561: c84c beqz.n a4, 8e5571 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:565 8e5563: 8844 l32i.n a8, a4, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:567 8e5565: c2f0 movi.n a15, 32 8e5567: 0f5f02 or a15, a5, a15 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:565 8e556a: b188 addi.n a8, a8, 1 8e556c: 9844 s32i.n a8, a4, 16 008e556e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:567 8e556e: 2fa401 s8i a15, a10, 1 8e5571: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:573 8e5574: dc30 mov.n a12, a3 8e5576: 288284 l32i a8, a8, 0x210 8e5579: da20 mov.n a10, a2 8e557b: c0b0 movi.n a11, 0 008e557d : 8e557d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:576 8e5580: d10f retw.n 008e5582 : ... 008e5584 : HTCProcessConnectMsg(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:580 8e5584: 6c1006 entry a1, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:589 8e5587: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:587 8e5589: 263002 l8ui a6, a3, 2 8e558c: 283003 l8ui a8, a3, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:581 8e558f: 2422a6 l32i a4, a2, 0x298 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:587 8e5592: 086611 slli a6, a6, 8 8e5595: 086602 or a6, a6, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:586 8e5598: c080 movi.n a8, 0 8e559a: 9810 s32i.n a8, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:589 8e559c: 5bffd9 call8 8e5504 8e559f: d7a0 mov.n a7, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:593 8e55a1: c0ba movi.n a11, 10 8e55a3: 5bfeb6 call8 8e507c 8e55a6: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e55a9: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:595 8e55ab: 8884 l32i.n a8, a8, 16 8e55ad: c0b0 movi.n a11, 0 8e55af: c0ca movi.n a12, 10 8e55b1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:598 8e55b4: 265403 s8i a6, a5, 3 8e55b7: 068914 srli a9, a6, 8 8e55ba: c0a0 movi.n a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:596 8e55bc: c0b3 movi.n a11, 3 8e55be: 2b5401 s8i a11, a5, 1 8e55c1: 2a5400 s8i a10, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:598 8e55c4: 295402 s8i a9, a5, 2 8e55c7: c946 beqz.n a4, 8e55e1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:600 8e55c9: 2b229e l32i a11, a2, 0x278 8e55cc: c1a5 movi.n a10, 21 008e55ce : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:602 8e55ce: 7baa04 bge a10, a11, 8e55d6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:605 8e55d1: c0d3 movi.n a13, 3 8e55d3: 60000c j 8e55e3 008e55d6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:608 8e55d6: 2c4108 l16ui a12, a4, 16 8e55d9: 76c11a beq a12, a6, 8e55f7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:657 8e55dc: 8440 l32i.n a4, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:600 8e55de: 654fec bnez a4, 8e55ce 008e55e1 : 8e55e1: c0d1 movi.n a13, 1 008e55e3 : 8e55e3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:664 8e55e6: dc70 mov.n a12, a7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:660 8e55e8: 2d5404 s8i a13, a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:664 8e55eb: 288284 l32i a8, a8, 0x210 8e55ee: da20 mov.n a10, a2 8e55f0: c0b0 movi.n a11, 0 8e55f2: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:665 8e55f5: d10f retw.n 008e55f7 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 8e55f7: da40 mov.n a10, a4 8e55f9: ba5e addi.n a14, a5, 10 8e55fb: df10 mov.n a15, a1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:616 8e55fd: 2d3008 l8ui a13, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:619 8e5600: c090 movi.n a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 8e5602: 8843 l32i.n a8, a4, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:619 8e5604: ba3c addi.n a12, a3, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:616 8e5606: 0d9c38 moveqz a12, a9, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:629 8e5609: 0b8000 callx8 a8 8e560c: dda0 mov.n a13, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:632 8e560e: 65afd1 bnez a10, 8e55e3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:635 8e5611: 8a10 l32i.n a10, a1, 0 8e5613: 2a5408 s8i a10, a5, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:637 8e5616: 29229e l32i a9, a2, 0x278 8e5619: 295405 s8i a9, a5, 5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:639 8e561c: 2a410a l16ui a10, a4, 20 8e561f: 2a5407 s8i a10, a5, 7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:641 8e5622: 09990f subx8 a9, a9, a9 8e5625: 02990a addx4 a9, a9, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:639 8e5628: 0a8a14 srli a10, a10, 8 8e562b: 2a5406 s8i a10, a5, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:643 8e562e: 2a3005 l8ui a10, a3, 5 8e5631: 283004 l8ui a8, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:641 8e5634: 9492 s32i.n a4, a9, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:643 8e5636: 29229e l32i a9, a2, 0x278 8e5639: 088811 slli a8, a8, 8 8e563c: 0a8802 or a8, a8, a10 8e563f: 09990f subx8 a9, a9, a9 8e5642: 02990a addx4 a9, a9, a2 8e5645: 289508 s16i a8, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:645 8e5648: 2f229e l32i a15, a2, 0x278 8e564b: 2e3006 l8ui a14, a3, 6 8e564e: 0fff0f subx8 a15, a15, a15 8e5651: 02ff0a addx4 a15, a15, a2 8e5654: 2ef418 s8i a14, a15, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:646 8e5657: 2c229e l32i a12, a2, 0x278 8e565a: 2b3007 l8ui a11, a3, 7 8e565d: 0ccc0f subx8 a12, a12, a12 8e5660: 02cc0a addx4 a12, a12, a2 8e5663: 2bc419 s8i a11, a12, 25 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 8e5666: 2a229e l32i a10, a2, 0x278 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:649 8e5669: 2b4109 l16ui a11, a4, 18 8e566c: c0c1 movi.n a12, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 8e566e: b1aa addi.n a10, a10, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:649 8e5670: 0cbb02 or a11, a11, a12 8e5673: 2b4509 s16i a11, a4, 18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:651 8e5676: 2a269e s32i a10, a2, 0x278 8e5679: 63ff66 j 8e55e3 008e567c : HTCProcessConfigPipeMsg(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:668 8e567c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:674 8e567f: da20 mov.n a10, a2 8e5681: 5bffa0 call8 8e5504 8e5684: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:679 8e5686: c0b4 movi.n a11, 4 8e5688: 5bfe7c call8 8e507c 8e568b: 160018 l32r a6, 4e8060 <_lit4_start+0x60> 8e568e: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:681 8e5690: 8864 l32i.n a8, a6, 16 8e5692: c0b0 movi.n a11, 0 8e5694: c0c4 movi.n a12, 4 8e5696: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:683 8e5699: c096 movi.n a9, 6 8e569b: c0a0 movi.n a10, 0 8e569d: 2a5400 s8i a10, a5, 0 8e56a0: 295401 s8i a9, a5, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:685 8e56a3: 2b3002 l8ui a11, a3, 2 8e56a6: 2b5402 s8i a11, a5, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:687 8e56a9: 286278 l32i a8, a6, 0x1e0 8e56ac: 2a22b0 l32i a10, a2, 0x2c0 8e56af: 0b8000 callx8 a8 8e56b2: cba6 beqz.n a10, 8e56ec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:688 8e56b4: c0e0 movi.n a14, 0 8e56b6: 2e5403 s8i a14, a5, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:694 8e56b9: 2c3003 l8ui a12, a3, 3 8e56bc: 2d22ab l32i a13, a2, 0x2ac 8e56bf: 2b22aa l32i a11, a2, 0x2a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:697 8e56c2: c092 movi.n a9, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:694 8e56c4: acdd add.n a13, a13, a12 8e56c6: 7db21c blt a11, a13, 8e56e6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:701 8e56c9: 2a22b0 l32i a10, a2, 0x2c0 8e56cc: 286275 l32i a8, a6, 0x1d4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:695 8e56cf: 2d26ab s32i a13, a2, 0x2ac /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:701 8e56d2: 2b3002 l8ui a11, a3, 2 8e56d5: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:706 8e56d8: dc40 mov.n a12, a4 8e56da: 286284 l32i a8, a6, 0x210 8e56dd: da20 mov.n a10, a2 8e56df: c0b0 movi.n a11, 0 8e56e1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:707 8e56e4: d10f retw.n 008e56e6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:697 8e56e6: 295403 s8i a9, a5, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:698 8e56e9: 63ffeb j 8e56d8 008e56ec : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:690 8e56ec: c091 movi.n a9, 1 8e56ee: 63fff4 j 8e56e6 008e56f1 : 8e56f1: 000000 ... 008e56f4 : HTCControlSvcProcessMsg(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:711 8e56f4: 6c1006 entry a1, 48 8e56f7: c831 beqz.n a3, 8e56fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:718 8e56f9: 63fffc j 8e56f9 008e56fc : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:722 8e56fc: da40 mov.n a10, a4 8e56fe: db10 mov.n a11, a1 8e5700: b41c addi.n a12, a1, 4 8e5702: 5bfe76 call8 8e50dc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 8e5705: 8b10 l32i.n a11, a1, 0 8e5707: 29b000 l8ui a9, a11, 0 8e570a: 2ab001 l8ui a10, a11, 1 8e570d: 089911 slli a9, a9, 8 8e5710: 0a9902 or a9, a9, a10 8e5713: 68920d beqi a9, 2, 8e5724 8e5716: 68945b beqi a9, 4, 8e5775 8e5719: 69950c bnei a9, 5, 8e5729 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:734 8e571c: da50 mov.n a10, a5 8e571e: 5bffd7 call8 8e567c 8e5721: 600004 j 8e5729 008e5724 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:731 8e5724: da50 mov.n a10, a5 8e5726: 5bff97 call8 8e5584 008e5729 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 8e5729: c030 movi.n a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:749 8e572b: 2a52a8 l32i a10, a5, 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:747 8e572e: c071 movi.n a7, 1 8e5730: 160018 l32r a6, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:749 8e5733: 7fa70e bbci a10, 31, 8e5745 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:751 8e5736: dc40 mov.n a12, a4 8e5738: 286282 l32i a8, a6, 0x208 8e573b: da50 mov.n a10, a5 8e573d: c0b0 movi.n a11, 0 8e573f: 0b8000 callx8 a8 8e5742: 60001a j 8e5760 008e5745 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:755 8e5745: da40 mov.n a10, a4 8e5747: c0b8 movi.n a11, 8 8e5749: 5bfe41 call8 8e5050 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:758 8e574c: dc40 mov.n a12, a4 8e574e: 2a52b0 l32i a10, a5, 0x2c0 8e5751: 022b0f subx8 a11, a2, a2 8e5754: 286277 l32i a8, a6, 0x1dc 8e5757: 05bb0a addx4 a11, a11, a5 8e575a: 2bb019 l8ui a11, a11, 25 8e575d: 0b8000 callx8 a8 8e5760: c83f beqz.n a3, 8e5773 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:763 8e5762: 2c52a8 l32i a12, a5, 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:764 8e5765: 2a52a9 l32i a10, a5, 0x2a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:763 8e5768: 07cc02 or a12, a12, a7 8e576b: 2c56a8 s32i a12, a5, 0x2a0 8e576e: c8a1 beqz.n a10, 8e5773 008e5770 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:765 8e5770: 0ba000 callx8 a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:768 8e5773: d10f retw.n 008e5775 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:743 8e5775: c031 movi.n a3, 1 8e5777: 63ffb0 j 8e572b 008e577a : ... 008e577c : HTCControlSvcProcessSendComplete(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:772 8e577c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:777 8e577f: da30 mov.n a10, a3 8e5781: 5bfe5c call8 8e50f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:800 8e5784: 29a001 l8ui a9, a10, 1 8e5787: 7a970e bbci a9, 26, 8e5799 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:803 8e578a: 090a44 extui a10, a9, 0, 5 8e578d: 0aaa0f subx8 a10, a10, a10 8e5790: 04aa0a addx4 a10, a10, a4 8e5793: 88a5 l32i.n a8, a10, 20 8e5795: b088 addi.n a8, a8, -1 8e5797: 98a5 s32i.n a8, a10, 20 008e5799 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:807 8e5799: db30 mov.n a11, a3 8e579b: da40 mov.n a10, a4 8e579d: 5bff53 call8 8e54ec /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:810 8e57a0: 2e42a8 l32i a14, a4, 0x2a0 8e57a3: 7ee712 bbci a14, 30, 8e57b9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 8e57a6: da40 mov.n a10, a4 8e57a8: c0c0 movi.n a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:813 8e57aa: c7bd movi.n a11, -3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 8e57ac: c1d6 movi.n a13, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:813 8e57ae: 0beb01 and a11, a14, a11 8e57b1: 2b46a8 s32i a11, a4, 0x2a0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:815 8e57b4: c7bf movi.n a11, -1 8e57b6: 5bff5b call8 8e5524 008e57b9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:817 8e57b9: d10f retw.n 008e57bb : ... 008e57bc : HTCSendDoneHandler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:820 8e57bc: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:825 8e57bf: da20 mov.n a10, a2 8e57c1: 5bfe4c call8 8e50f4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:826 8e57c4: 24a000 l8ui a4, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:831 8e57c7: c0b8 movi.n a11, 8 8e57c9: da20 mov.n a10, a2 8e57cb: 5bfe37 call8 8e50a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:836 8e57ce: 044c0f subx8 a12, a4, a4 8e57d1: 03cc0a addx4 a12, a12, a3 8e57d4: 8cc2 l32i.n a12, a12, 8 8e57d6: db20 mov.n a11, a2 8e57d8: 88c2 l32i.n a8, a12, 8 8e57da: da40 mov.n a10, a4 8e57dc: 8cc6 l32i.n a12, a12, 24 8e57de: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:837 8e57e1: d10f retw.n 008e57e3 : ... 008e57e4 : AdjustCreditThreshold(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:897 8e57e4: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 8e57e7: 282905 l16si a8, a2, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 8e57ea: 252106 l16ui a5, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 8e57ed: 242900 l16si a4, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 8e57f0: 050541 extui a5, a5, 0, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:899 8e57f3: a844 add.n a4, a4, a8 8e57f5: 084432 sext a4, a4, 15 8e57f8: c857 beqz.n a5, 8e5803 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:915 8e57fa: 68510d beqi a5, 1, 8e580b 8e57fd: 695205 bnei a5, 2, 8e5806 008e5800 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:912 8e5800: 044409 addx2 a4, a4, a4 8e5803: 042412 srai a4, a4, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:917 8e5806: 242504 s16i a4, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:919 8e5809: d10f retw.n 008e580b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:909 8e580b: 041412 srai a4, a4, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:910 8e580e: 63fff4 j 8e5806 008e5811 : 8e5811: 000000 ... 008e5814 : RedistributeCredit(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:922 8e5814: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:924 8e5817: d10f retw.n 008e5819 : 8e5819: 000000 ... 008e581c : HTCMsgRecvHandler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:928 8e581c: 6c1008 entry a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:949 8e581f: db10 mov.n a11, a1 8e5821: b41c addi.n a12, a1, 4 8e5823: d720 mov.n a7, a2 8e5825: 023738 moveqz a7, a3, a2 8e5828: da70 mov.n a10, a7 8e582a: 5bfe2c call8 8e50dc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 8e582d: 8b10 l32i.n a11, a1, 0 8e582f: 9714 s32i.n a7, a1, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 8e5831: 8a11 l32i.n a10, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 8e5833: 28b006 l8ui a8, a11, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:955 8e5836: 26b000 l8ui a6, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 8e5839: 2aacf8 addi a10, a10, -8 8e583c: 9a16 s32i.n a10, a1, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 8e583e: 2bb007 l8ui a11, a11, 7 8e5841: 088811 slli a8, a8, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:961 8e5844: 06650f subx8 a5, a6, a6 8e5847: 04550a addx4 a5, a5, a4 8e584a: 29510d l16ui a9, a5, 26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:953 8e584d: 0b8802 or a8, a8, a11 8e5850: 9815 s32i.n a8, a1, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 8e5852: 2b42a7 l32i a11, a4, 0x29c 8e5855: 9b17 s32i.n a11, a1, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:961 8e5857: 09880c sub a8, a8, a9 8e585a: 08084b extui a8, a8, 0, 12 8e585d: 9813 s32i.n a8, a1, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:966 8e585f: 58047b call8 8e6a4c <__udivsi3> 8e5862: d7a0 mov.n a7, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:967 8e5864: 8b17 l32i.n a11, a1, 28 8e5866: 8a16 l32i.n a10, a1, 24 8e5868: 58048c call8 8e6a9c <__umodsi3> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:972 8e586b: 8b15 l32i.n a11, a1, 20 8e586d: 2b550d s16i a11, a5, 26 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:974 8e5870: 8d10 l32i.n a13, a1, 0 8e5872: 8c13 l32i.n a12, a1, 12 8e5874: 2ed001 l8ui a14, a13, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:968 8e5877: b179 addi.n a9, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:967 8e5879: 0a9739 movnez a7, a9, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:974 8e587c: 7de709 bbci a14, 29, 8e5889 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:977 8e587f: 8a14 l32i.n a10, a1, 16 8e5881: 2bd004 l8ui a11, a13, 4 8e5884: 5bffe3 call8 8e5814 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1045 8e5887: d10f retw.n 008e5889 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:981 8e5889: 2f42a8 l32i a15, a4, 0x2a0 8e588c: c0d1 movi.n a13, 1 8e588e: 9212 s32i.n a2, a1, 8 8e5890: 7ff730 bbci a15, 31, 8e58c4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:984 8e5893: 006104 ssl a6 8e5896: 00d21a sll a2, a13 8e5899: 7c7b1c bgeu a7, a12, 8e58b9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 8e589c: 2b5907 l16si a11, a5, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:986 8e589f: 295902 l16si a9, a5, 4 8e58a2: 07ca0c sub a10, a12, a7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 8e58a5: 0abb0c sub a11, a11, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:986 8e58a8: aa99 add.n a9, a9, a10 008e58aa : 8e58aa: 295502 s16i a9, a5, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:987 8e58ad: 2b5507 s16i a11, a5, 14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:988 8e58b0: 28429c l32i a8, a4, 0x270 8e58b3: 082802 or a8, a2, a8 8e58b6: 28469c s32i a8, a4, 0x270 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:991 8e58b9: 2c5907 l16si a12, a5, 14 8e58bc: b1cc addi.n a12, a12, 1 8e58be: 2c5507 s16i a12, a5, 14 8e58c1: 600005 j 8e58ca 008e58c4 : 8e58c4: 006104 ssl a6 8e58c7: 00d21a sll a2, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:999 8e58ca: 29429b l32i a9, a4, 0x26c 8e58cd: 7fe715 bbci a14, 31, 8e58e6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1002 8e58d0: 092e02 or a14, a2, a9 8e58d3: 2e469b s32i a14, a4, 0x26c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1004 8e58d6: 2d5108 l16ui a13, a5, 16 8e58d9: b457 addi.n a7, a5, 4 8e58db: 7dd719 bbci a13, 29, 8e58f8 8e58de: da70 mov.n a10, a7 8e58e0: 5bffc0 call8 8e57e4 8e58e3: 600011 j 8e58f8 008e58e6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1008 8e58e6: b457 addi.n a7, a5, 4 8e58e8: c0f0 movi.n a15, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1007 8e58ea: c78f movi.n a8, -1 008e58ec : 8e58ec: 082803 xor a8, a2, a8 8e58ef: 089801 and a8, a9, a8 8e58f2: 28469b s32i a8, a4, 0x26c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1008 8e58f5: 2f5506 s16i a15, a5, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1013 8e58f8: 8a14 l32i.n a10, a1, 16 8e58fa: c0b8 movi.n a11, 8 8e58fc: 5bfdea call8 8e50a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1037 8e58ff: 8d52 l32i.n a13, a5, 8 8e5901: dc30 mov.n a12, a3 8e5903: 8b12 l32i.n a11, a1, 8 8e5905: 88d1 l32i.n a8, a13, 4 8e5907: da60 mov.n a10, a6 8e5909: 8dd6 l32i.n a13, a13, 24 8e590b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1044 8e590e: dd60 mov.n a13, a6 8e5910: dc70 mov.n a12, a7 8e5912: db20 mov.n a11, a2 8e5914: da40 mov.n a10, a4 8e5916: 5bff03 call8 8e5524 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/htc/src/htc.c:1045 8e5919: d10f retw.n 008e591b : ... 008e591c <_DMAengine_init>: _DMAengine_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:50 8e591c: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:52 8e591f: d10f retw.n 008e5921 <_DMAengine_init+0x5>: 8e5921: 000000 ... 008e5924 <_DMAengine_init_rx_queue>: _DMAengine_init_rx_queue(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:55 8e5924: 6c1004 entry a1, 32 8e5927: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:59 8e592a: 2882a6 l32i a8, a8, 0x298 8e592d: 0b8000 callx8 a8 8e5930: caae beqz.n a10, 8e5962 <_DMAengine_init_rx_queue+0x3e> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:62 8e5932: c0b0 movi.n a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 8e5934: 0c0200 memw 8e5937: 2ba509 s16i a11, a10, 18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 8e593a: 0c0200 memw 8e593d: 2ba508 s16i a11, a10, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 8e5940: 0c0200 memw 8e5943: 2ba50b s16i a11, a10, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 8e5946: 0c0200 memw 8e5949: 2ba50a s16i a11, a10, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 8e594c: 0c0200 memw 8e594f: 9ba6 s32i.n a11, a10, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 8e5951: 0c0200 memw 8e5954: 9ba7 s32i.n a11, a10, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 8e5956: 0c0200 memw 8e5959: 9ba8 s32i.n a11, a10, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:64 8e595b: 29ac10 addi a9, a10, 16 8e595e: 9921 s32i.n a9, a2, 4 8e5960: 9920 s32i.n a9, a2, 0 008e5962 <_DMAengine_init_rx_queue+0x3e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:66 8e5962: d10f retw.n 008e5964 <_DMAengine_init_tx_queue>: _DMAengine_init_tx_queue(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:69 8e5964: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:70 8e5967: da20 mov.n a10, a2 8e5969: 5bffee call8 8e5924 <_DMAengine_init_rx_queue> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:71 8e596c: c080 movi.n a8, 0 8e596e: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:72 8e5970: 9823 s32i.n a8, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:73 8e5972: d10f retw.n 008e5974 : swapData(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:78 8e5974: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:79 8e5977: 0c0200 memw 8e597a: 262103 l16ui a6, a2, 6 8e597d: 062614 srli a6, a6, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:81 8e5980: 0c0200 memw 8e5983: 8523 l32i.n a5, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:84 8e5985: 0c0200 memw 8e5988: 242103 l16ui a4, a2, 6 8e598b: c033 movi.n a3, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:88 8e598d: 055202 or a2, a5, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:84 8e5990: 743002 bnone a3, a4, 8e5996 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:85 8e5993: 266c01 addi a6, a6, 1 008e5996 : 8e5996: 15018a l32r a5, 4e8628 <_lit4_start+0x628> 8e5999: 6d6a20 loopgtz a6, 8e59bd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:89 8e599c: 8820 l32i.n a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:91 8e599e: 058a01 and a10, a8, a5 8e59a1: 088910 slli a9, a8, 24 8e59a4: 08aa11 slli a10, a10, 8 8e59a7: 0a9902 or a9, a9, a10 8e59aa: 088a57 extui a10, a8, 24, 8 8e59ad: 088814 srli a8, a8, 8 8e59b0: 058801 and a8, a8, a5 8e59b3: 0a8802 or a8, a8, a10 8e59b6: 098802 or a8, a8, a9 8e59b9: 9820 s32i.n a8, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:92 8e59bb: b422 addi.n a2, a2, 4 008e59bd : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:93 8e59bd: d10f retw.n 008e59bf : ... 008e59c0 <_DMAengine_return_recv_buf>: _DMAengine_return_recv_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:98 8e59c0: 6c1004 entry a1, 32 8e59c3: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:102 8e59c5: 8b30 l32i.n a11, a3, 0 8e59c7: 580004 call8 8e59d8 8e59ca: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:103 8e59cd: 2882a3 l32i a8, a8, 0x28c 8e59d0: da30 mov.n a10, a3 8e59d2: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:104 8e59d5: d10f retw.n 008e59d7 <_DMAengine_return_recv_buf+0x17>: ... 008e59d8 : config_queue(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:107 8e59d8: 6c1004 entry a1, 32 8e59db: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:114 8e59de: 64305b beqz a3, 8e5a3d 8e59e1: c060 movi.n a6, 0 8e59e3: c040 movi.n a4, 0 008e59e5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:115 8e59e5: 2852a7 l32i a8, a5, 0x29c 8e59e8: da30 mov.n a10, a3 8e59ea: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 8e59ed: 0c0200 memw 8e59f0: 26a501 s16i a6, a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 8e59f3: 0c0200 memw 8e59f6: 26a500 s16i a6, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 8e59f9: 0c0200 memw 8e59fc: 26a503 s16i a6, a10, 6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 8e59ff: 0c0200 memw 8e5a02: 26a502 s16i a6, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 8e5a05: 0c0200 memw 8e5a08: 96a2 s32i.n a6, a10, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 8e5a0a: 0c0200 memw 8e5a0d: 96a3 s32i.n a6, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 8e5a0f: 0c0200 memw 8e5a12: 96a4 s32i.n a6, a10, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:118 8e5a14: 263505 s16i a6, a3, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:119 8e5a17: 8b31 l32i.n a11, a3, 4 8e5a19: 0c0200 memw 8e5a1c: 9ba3 s32i.n a11, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:120 8e5a1e: 293104 l16ui a9, a3, 8 8e5a21: 0c0200 memw 8e5a24: 29a503 s16i a9, a10, 6 8e5a27: cc43 bnez.n a4, 8e5a2e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:123 8e5a29: d7a0 mov.n a7, a10 8e5a2b: 600004 j 8e5a33 008e5a2e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:126 8e5a2e: 0c0200 memw 8e5a31: 9a44 s32i.n a10, a4, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:130 8e5a33: 8330 l32i.n a3, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:126 8e5a35: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:114 8e5a37: 653faa bnez a3, 8e59e5 8e5a3a: 600001 j 8e5a3f 008e5a3d : 8e5a3d: c040 movi.n a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 8e5a3f: db70 mov.n a11, a7 8e5a41: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:133 8e5a43: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 8e5a46: 2852ba l32i a8, a5, 0x2e8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:133 8e5a49: 9472 s32i.n a4, a7, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:134 8e5a4b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:136 8e5a4e: d10f retw.n 008e5a50 <_DMAengine_config_rx_queue>: _DMAengine_config_rx_queue(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:143 8e5a50: 6c1006 entry a1, 48 8e5a53: da20 mov.n a10, a2 8e5a55: 9311 s32i.n a3, a1, 4 8e5a57: d230 mov.n a2, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:148 8e5a59: 6a3141 blti a3, 1, 8e5a9e <_DMAengine_config_rx_queue+0x4e> 8e5a5c: c050 movi.n a5, 0 8e5a5e: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e5a61: c070 movi.n a7, 0 8e5a63: 9a10 s32i.n a10, a1, 0 008e5a65 <_DMAengine_config_rx_queue+0x15>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:150 8e5a65: 2832a6 l32i a8, a3, 0x298 8e5a68: 0b8000 callx8 a8 8e5a6b: d6a0 mov.n a6, a10 8e5a6d: cca1 bnez.n a10, 8e5a72 <_DMAengine_config_rx_queue+0x22> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:152 8e5a6f: 63fffc j 8e5a6f <_DMAengine_config_rx_queue+0x1f> 008e5a72 <_DMAengine_config_rx_queue+0x22>: /root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 8e5a72: 283252 l32i a8, a3, 0x148 8e5a75: c0a0 movi.n a10, 0 8e5a77: db40 mov.n a11, a4 8e5a79: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:154 8e5a7c: 9a61 s32i.n a10, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:155 8e5a7e: 246504 s16i a4, a6, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:170 8e5a81: b177 addi.n a7, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:156 8e5a83: c0b0 movi.n a11, 0 8e5a85: 9b60 s32i.n a11, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:157 8e5a87: 2b6505 s16i a11, a6, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:158 8e5a8a: 2b6506 s16i a11, a6, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:159 8e5a8d: 2b6507 s16i a11, a6, 14 8e5a90: c850 beqz.n a5, 8e5a94 <_DMAengine_config_rx_queue+0x44> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:167 8e5a92: 9560 s32i.n a5, a6, 0 008e5a94 <_DMAengine_config_rx_queue+0x44>: 8e5a94: d560 mov.n a5, a6 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:148 8e5a96: 7729cb bne a2, a7, 8e5a65 <_DMAengine_config_rx_queue+0x15> 8e5a99: 8a10 l32i.n a10, a1, 0 8e5a9b: 600001 j 8e5aa0 <_DMAengine_config_rx_queue+0x50> 008e5a9e <_DMAengine_config_rx_queue+0x4e>: 8e5a9e: c050 movi.n a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:172 8e5aa0: db50 mov.n a11, a5 8e5aa2: 5bffcd call8 8e59d8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:173 8e5aa5: d10f retw.n 008e5aa7 <_DMAengine_config_rx_queue+0x57>: ... 008e5aa8 <_DMAengine_xmit_buf>: _DMAengine_xmit_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:176 8e5aa8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:185 8e5aab: 8930 l32i.n a9, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:176 8e5aad: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:186 8e5aaf: c0c0 movi.n a12, 0 8e5ab1: 64907a beqz a9, 8e5b2f <_DMAengine_xmit_buf+0x87> 8e5ab4: c0f0 movi.n a15, 0 008e5ab6 <_DMAengine_xmit_buf+0xe>: 8e5ab6: 222a00 movi a2, 0x200 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:188 8e5ab9: 2d9c10 addi a13, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:40 8e5abc: 0c0200 memw 8e5abf: 2f9509 s16i a15, a9, 18 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:41 8e5ac2: 0c0200 memw 8e5ac5: 2f9508 s16i a15, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:42 8e5ac8: 0c0200 memw 8e5acb: 2f950b s16i a15, a9, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:43 8e5ace: 0c0200 memw 8e5ad1: 2f950a s16i a15, a9, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:44 8e5ad4: 0c0200 memw 8e5ad7: 9f96 s32i.n a15, a9, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:45 8e5ad9: 0c0200 memw 8e5adc: 9f97 s32i.n a15, a9, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:46 8e5ade: 0c0200 memw 8e5ae1: 9f98 s32i.n a15, a9, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:191 8e5ae3: 289106 l16ui a8, a9, 12 8e5ae6: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:192 8e5ae9: 8e91 l32i.n a14, a9, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:191 8e5aeb: 28950b s16i a8, a9, 22 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:192 8e5aee: 289105 l16ui a8, a9, 10 8e5af1: ae88 add.n a8, a8, a14 8e5af3: 0c0200 memw 8e5af6: 9897 s32i.n a8, a9, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:193 8e5af8: 0c0200 memw 8e5afb: 2f9508 s16i a15, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:194 8e5afe: 0c0200 memw 8e5b01: 2f9509 s16i a15, a9, 18 8e5b04: cdcb bnez.n a12, 8e5b23 <_DMAengine_xmit_buf+0x7b> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:201 8e5b06: dbd0 mov.n a11, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:203 8e5b08: 0c0200 memw 8e5b0b: 2e9108 l16ui a14, a9, 16 8e5b0e: 02ee02 or a14, a14, a2 8e5b11: 0c0200 memw 8e5b14: 2e9508 s16i a14, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:206 8e5b17: 2c3104 l16ui a12, a3, 8 8e5b1a: 0c0200 memw 8e5b1d: 2c950a s16i a12, a9, 20 8e5b20: 600004 j 8e5b28 <_DMAengine_xmit_buf+0x80> 008e5b23 <_DMAengine_xmit_buf+0x7b>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:210 8e5b23: 0c0200 memw 8e5b26: 9dc4 s32i.n a13, a12, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:214 8e5b28: 8990 l32i.n a9, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:210 8e5b2a: dcd0 mov.n a12, a13 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:186 8e5b2c: 659f89 bnez a9, 8e5ab9 <_DMAengine_xmit_buf+0x11> 008e5b2f <_DMAengine_xmit_buf+0x87>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:217 8e5b2f: 291a00 movi a9, 0x100 8e5b32: 0c0200 memw 8e5b35: 28d100 l16ui a8, a13, 0 8e5b38: 098802 or a8, a8, a9 8e5b3b: 0c0200 memw 8e5b3e: 28d500 s16i a8, a13, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:218 8e5b41: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:220 8e5b44: 8fa2 l32i.n a15, a10, 8 8e5b46: 89a3 l32i.n a9, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:218 8e5b48: 9db2 s32i.n a13, a11, 8 8e5b4a: ccf9 bnez.n a15, 8e5b57 <_DMAengine_xmit_buf+0xaf> 8e5b4c: cc97 bnez.n a9, 8e5b57 <_DMAengine_xmit_buf+0xaf> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:222 8e5b4e: 93a3 s32i.n a3, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:221 8e5b50: 93a2 s32i.n a3, a10, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:223 8e5b52: 9331 s32i.n a3, a3, 4 8e5b54: 600003 j 8e5b5b <_DMAengine_xmit_buf+0xb3> 008e5b57 <_DMAengine_xmit_buf+0xaf>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:226 8e5b57: 9391 s32i.n a3, a9, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:227 8e5b59: 93a3 s32i.n a3, a10, 12 8e5b5b: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:230 8e5b5e: 2882bb l32i a8, a8, 0x2ec 8e5b61: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:231 8e5b64: d10f retw.n 008e5b66 <_DMAengine_xmit_buf+0xbe>: ... 008e5b68 <_DMAengine_flush_xmit>: _DMAengine_flush_xmit(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:234 8e5b68: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:235 8e5b6b: d10f retw.n 008e5b6d <_DMAengine_flush_xmit+0x5>: 8e5b6d: 000000 ... 008e5b70 <_DMAengine_has_compl_packets>: _DMAengine_has_compl_packets(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:238 8e5b70: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:242 8e5b73: 8520 l32i.n a5, a2, 0 8e5b75: 8321 l32i.n a3, a2, 4 8e5b77: 75310f beq a3, a5, 8e5b8a <_DMAengine_has_compl_packets+0x1a> 8e5b7a: 0c0200 memw 8e5b7d: 285101 l16ui a8, a5, 2 8e5b80: c021 movi.n a2, 1 8e5b82: 080841 extui a8, a8, 0, 2 8e5b85: 688101 beqi a8, 1, 8e5b8a <_DMAengine_has_compl_packets+0x1a> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:246 8e5b88: d10f retw.n 008e5b8a <_DMAengine_has_compl_packets+0x1a>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:242 8e5b8a: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:246 8e5b8c: d10f retw.n 008e5b8e <_DMAengine_has_compl_packets+0x1e>: ... 008e5b90 <_DMAengine_reap_recv_buf>: _DMAengine_reap_recv_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:250 8e5b90: 6c1004 entry a1, 32 8e5b93: da20 mov.n a10, a2 8e5b95: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:256 8e5b98: 2822b9 l32i a8, a2, 0x2e4 8e5b9b: 0b8000 callx8 a8 8e5b9e: d3a0 mov.n a3, a10 8e5ba0: cca3 bnez.n a10, 8e5ba7 <_DMAengine_reap_recv_buf+0x17> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:259 8e5ba2: c0a0 movi.n a10, 0 8e5ba4: 600013 j 8e5bbb <_DMAengine_reap_recv_buf+0x2b> 008e5ba7 <_DMAengine_reap_recv_buf+0x17>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:265 8e5ba7: 2822a1 l32i a8, a2, 0x284 8e5baa: 0b8000 callx8 a8 8e5bad: d2a0 mov.n a2, a10 8e5baf: cca1 bnez.n a10, 8e5bb4 <_DMAengine_reap_recv_buf+0x24> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:266 8e5bb1: 63fffc j 8e5bb1 <_DMAengine_reap_recv_buf+0x21> 008e5bb4 <_DMAengine_reap_recv_buf+0x24>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:268 8e5bb4: db30 mov.n a11, a3 8e5bb6: 58003c call8 8e5ca8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:269 8e5bb9: da20 mov.n a10, a2 8e5bbb: d2a0 mov.n a2, a10 8e5bbd: d10f retw.n 008e5bbf <_DMAengine_reap_recv_buf+0x2f>: ... 008e5bc0 <_DMAengine_reap_xmited_buf>: _DMAengine_reap_xmited_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:273 8e5bc0: 6c1004 entry a1, 32 8e5bc3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:277 8e5bc6: 2882b9 l32i a8, a8, 0x2e4 8e5bc9: da20 mov.n a10, a2 8e5bcb: 0b8000 callx8 a8 8e5bce: dba0 mov.n a11, a10 8e5bd0: cca3 bnez.n a10, 8e5bd7 <_DMAengine_reap_xmited_buf+0x17> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:280 8e5bd2: c030 movi.n a3, 0 8e5bd4: 60001a j 8e5bf2 <_DMAengine_reap_xmited_buf+0x32> 008e5bd7 <_DMAengine_reap_xmited_buf+0x17>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:284 8e5bd7: 8322 l32i.n a3, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:285 8e5bd9: 8923 l32i.n a9, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:284 8e5bdb: c0c0 movi.n a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:285 8e5bdd: 739906 bne a9, a3, 8e5be7 <_DMAengine_reap_xmited_buf+0x27> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:286 8e5be0: 9c22 s32i.n a12, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:287 8e5be2: 9c23 s32i.n a12, a2, 12 8e5be4: 600003 j 8e5beb <_DMAengine_reap_xmited_buf+0x2b> 008e5be7 <_DMAengine_reap_xmited_buf+0x27>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:289 8e5be7: 8a31 l32i.n a10, a3, 4 8e5be9: 9a22 s32i.n a10, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:292 8e5beb: 9c31 s32i.n a12, a3, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:293 8e5bed: da30 mov.n a10, a3 8e5bef: 58002e call8 8e5ca8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:294 8e5bf2: d230 mov.n a2, a3 8e5bf4: d10f retw.n 008e5bf6 <_DMAengine_reap_xmited_buf+0x36>: ... 008e5bf8 <_DMAengine_desc_dump>: _DMAengine_desc_dump(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:298 8e5bf8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:302 8e5bfb: 8320 l32i.n a3, a2, 0 8e5bfd: c040 movi.n a4, 0 8e5bff: c478 movi.n a7, 72 8e5c01: c563 movi.n a6, 83 8e5c03: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:305 8e5c06: 8821 l32i.n a8, a2, 4 8e5c08: 738915 bne a8, a3, 8e5c21 <_DMAengine_desc_dump+0x29> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:310 8e5c0b: 285212 l32i a8, a5, 72 8e5c0e: db30 mov.n a11, a3 8e5c10: 1a018b l32r a10, 4e862c <_lit4_start+0x62c> 8e5c13: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:328 8e5c16: 285212 l32i a8, a5, 72 8e5c19: 1a018c l32r a10, 4e8630 <_lit4_start+0x630> 8e5c1c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:329 8e5c1f: d10f retw.n 008e5c21 <_DMAengine_desc_dump+0x29>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:318 8e5c21: dc60 mov.n a12, a6 8e5c23: 0c0200 memw 8e5c26: 1a018d l32r a10, 4e8634 <_lit4_start+0x634> 8e5c29: 293101 l16ui a9, a3, 2 8e5c2c: db30 mov.n a11, a3 8e5c2e: 285212 l32i a8, a5, 72 8e5c31: 090940 extui a9, a9, 0, 1 8e5c34: 097c39 movnez a12, a7, a9 8e5c37: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:321 8e5c3a: c0b5 movi.n a11, 5 8e5c3c: b144 addi.n a4, a4, 1 8e5c3e: da40 mov.n a10, a4 8e5c40: 580396 call8 8e6a9c <__umodsi3> 8e5c43: cca7 bnez.n a10, 8e5c4e <_DMAengine_desc_dump+0x56> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:323 8e5c45: 285212 l32i a8, a5, 72 8e5c48: 1a018e l32r a10, 4e8638 <_lit4_start+0x638> 008e5c4b <_DMAengine_desc_dump+0x53>: 8e5c4b: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:326 8e5c4e: 0c0200 memw 8e5c51: 8334 l32i.n a3, a3, 16 8e5c53: 63ffaf j 8e5c06 <_DMAengine_desc_dump+0xe> 008e5c56 <_DMAengine_desc_dump+0x5e>: ... 008e5c58 : dma_engine_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:334 8e5c58: 6c1004 entry a1, 32 8e5c5b: 190192 l32r a9, 4e8648 <_lit4_start+0x648> 8e5c5e: 130194 l32r a3, 4e8650 <_lit4_start+0x650> 8e5c61: 1a0191 l32r a10, 4e8644 <_lit4_start+0x644> 8e5c64: 1b0190 l32r a11, 4e8640 <_lit4_start+0x640> 8e5c67: 1c018f l32r a12, 4e863c <_lit4_start+0x63c> 8e5c6a: 1d0197 l32r a13, 4e865c <_lit4_start+0x65c> 8e5c6d: 1e0196 l32r a14, 4e8658 <_lit4_start+0x658> 8e5c70: 1f0195 l32r a15, 4e8654 <_lit4_start+0x654> 8e5c73: 180193 l32r a8, 4e864c <_lit4_start+0x64c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:340 8e5c76: 9826 s32i.n a8, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:344 8e5c78: 9d2a s32i.n a13, a2, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:343 8e5c7a: 9e29 s32i.n a14, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:342 8e5c7c: 9f28 s32i.n a15, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:339 8e5c7e: 9925 s32i.n a9, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:341 8e5c80: 9327 s32i.n a3, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:338 8e5c82: 9a24 s32i.n a10, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:337 8e5c84: 9b23 s32i.n a11, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:336 8e5c86: 9c20 s32i.n a12, a2, 0 8e5c88: 18019c l32r a8, 4e8670 <_lit4_start+0x670> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:349 8e5c8b: 982d s32i.n a8, a2, 52 8e5c8d: 1c0198 l32r a12, 4e8660 <_lit4_start+0x660> 8e5c90: 1b0199 l32r a11, 4e8664 <_lit4_start+0x664> 8e5c93: 1a019a l32r a10, 4e8668 <_lit4_start+0x668> 8e5c96: 13019d l32r a3, 4e8674 <_lit4_start+0x674> 8e5c99: 19019b l32r a9, 4e866c <_lit4_start+0x66c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:348 8e5c9c: 992c s32i.n a9, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:350 8e5c9e: 932e s32i.n a3, a2, 56 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:347 8e5ca0: 9a2b s32i.n a10, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:346 8e5ca2: 9b22 s32i.n a11, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:345 8e5ca4: 9c21 s32i.n a12, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:354 8e5ca6: d10f retw.n 008e5ca8 : relinkUSBDescToVdesc(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:357 8e5ca8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:363 8e5cab: 253cf0 addi a5, a3, -16 8e5cae: 9520 s32i.n a5, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:364 8e5cb0: 0c0200 memw 8e5cb3: 243102 l16ui a4, a3, 4 8e5cb6: 242504 s16i a4, a2, 8 8e5cb9: ca36 beqz.n a3, 8e5ce3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:366 8e5cbb: c020 movi.n a2, 0 008e5cbd : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:367 8e5cbd: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:370 8e5cc0: 253cf0 addi a5, a3, -16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:367 8e5cc3: 293dff addmi a9, a3, 0xffffff00 8e5cc6: 283103 l16ui a8, a3, 6 8e5cc9: 28957e s16i a8, a9, 252 8e5ccc: c820 beqz.n a2, 8e5cd0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:373 8e5cce: 9520 s32i.n a5, a2, 0 008e5cd0 : 8e5cd0: d250 mov.n a2, a5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:377 8e5cd2: 0c0200 memw 8e5cd5: 2a3100 l16ui a10, a3, 0 8e5cd8: 77af09 bbsi a10, 23, 8e5ce5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:382 8e5cdb: 0c0200 memw 8e5cde: 8334 l32i.n a3, a3, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:366 8e5ce0: 653fd9 bnez a3, 8e5cbd 008e5ce3 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:386 8e5ce3: d10f retw.n 008e5ce5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:378 8e5ce5: 2c3dff addmi a12, a3, 0xffffff00 8e5ce8: c0b0 movi.n a11, 0 8e5cea: 2bc63c s32i a11, a12, 240 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/dma_engine.c:386 8e5ced: d10f retw.n 008e5cef : ... 008e5cf0 : zfDmaGetPacket(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:59 8e5cf0: 6c1004 entry a1, 32 8e5cf3: d520 mov.n a5, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:62 8e5cf5: 8220 l32i.n a2, a2, 0 8e5cf7: 8351 l32i.n a3, a5, 4 8e5cf9: 723903 bne a3, a2, 8e5d00 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:63 8e5cfc: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 8e5cfe: d10f retw.n 008e5d00 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:66 8e5d00: 0c0200 memw 8e5d03: 282101 l16ui a8, a2, 2 8e5d06: 080841 extui a8, a8, 0, 2 8e5d09: c88e beqz.n a8, 8e5d1b 8e5d0b: 0c0200 memw 8e5d0e: 292101 l16ui a9, a2, 2 8e5d11: 090941 extui a9, a9, 0, 2 8e5d14: 689203 beqi a9, 2, 8e5d1b /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:74 8e5d17: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 8e5d19: d10f retw.n 008e5d1b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:74 8e5d1b: 0c0200 memw 8e5d1e: 8a22 l32i.n a10, a2, 8 8e5d20: 0c0200 memw 8e5d23: 8aa4 l32i.n a10, a10, 16 8e5d25: 9a50 s32i.n a10, a5, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:76 8e5d27: d10f retw.n 008e5d29 : 8e5d29: 000000 ... 008e5d2c : zfDmaReclaimPacket(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:101 8e5d2c: 6c1008 entry a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:110 8e5d2f: da30 mov.n a10, a3 8e5d31: c0b0 movi.n a11, 0 8e5d33: c041 movi.n a4, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:113 8e5d35: 0c0200 memw 8e5d38: 24a501 s16i a4, a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:114 8e5d3b: 0c0200 memw 8e5d3e: 2ba500 s16i a11, a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:115 8e5d41: 0c0200 memw 8e5d44: 2ba502 s16i a11, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:125 8e5d47: 0c0200 memw 8e5d4a: 8832 l32i.n a8, a3, 8 8e5d4c: 7a8966 bne a8, a10, 8e5db6 8e5d4f: 150018 l32r a5, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:133 8e5d52: 0c0200 memw 8e5d55: 8932 l32i.n a9, a3, 8 8e5d57: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:137 8e5d5a: da10 mov.n a10, a1 8e5d5c: db30 mov.n a11, a3 8e5d5e: 8855 l32i.n a8, a5, 20 8e5d60: c1c4 movi.n a12, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:133 8e5d62: 9394 s32i.n a3, a9, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:137 8e5d64: 0b8000 callx8 a8 8e5d67: 1c019e l32r a12, 4e8678 <_lit4_start+0x678> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:140 8e5d6a: 0c0200 memw 8e5d6d: 2d3101 l16ui a13, a3, 2 8e5d70: 0cdd01 and a13, a13, a12 8e5d73: 0c0200 memw 8e5d76: 2d3501 s16i a13, a3, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:143 8e5d79: 0c0200 memw 8e5d7c: 2b1101 l16ui a11, a1, 2 8e5d7f: 0cbb01 and a11, a11, a12 8e5d82: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:148 8e5d85: 8a21 l32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:143 8e5d87: 2b1501 s16i a11, a1, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:148 8e5d8a: 2852a8 l32i a8, a5, 0x2a0 8e5d8d: 2b3cf0 addi a11, a3, -16 8e5d90: 2aacf0 addi a10, a10, -16 8e5d93: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:151 8e5d96: 8a21 l32i.n a10, a2, 4 8e5d98: 8855 l32i.n a8, a5, 20 8e5d9a: db10 mov.n a11, a1 8e5d9c: c1c4 movi.n a12, 20 8e5d9e: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:155 8e5da1: 8a21 l32i.n a10, a2, 4 8e5da3: 0c0200 memw 8e5da6: 29a101 l16ui a9, a10, 2 8e5da9: 049902 or a9, a9, a4 8e5dac: 0c0200 memw 8e5daf: 29a501 s16i a9, a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:158 8e5db2: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:159 8e5db4: d10f retw.n 008e5db6 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:129 8e5db6: 0c0200 memw 8e5db9: 8aa4 l32i.n a10, a10, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:111 8e5dbb: 63ff76 j 8e5d35 008e5dbe : ... 008e5dc0 : zfDmaPutPacket(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:183 8e5dc0: 6c1008 entry a1, 64 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:192 8e5dc3: da30 mov.n a10, a3 8e5dc5: 14019e l32r a4, 4e8678 <_lit4_start+0x678> 8e5dc8: c051 movi.n a5, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:196 8e5dca: 0c0200 memw 8e5dcd: 29a101 l16ui a9, a10, 2 8e5dd0: 049901 and a9, a9, a4 8e5dd3: 059902 or a9, a9, a5 8e5dd6: 0c0200 memw 8e5dd9: 29a501 s16i a9, a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:199 8e5ddc: 0c0200 memw 8e5ddf: 8832 l32i.n a8, a3, 8 8e5de1: 7a8972 bne a8, a10, 8e5e57 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:207 8e5de4: 0c0200 memw 8e5de7: 8b32 l32i.n a11, a3, 8 8e5de9: 0c0200 memw 8e5dec: 93b4 s32i.n a3, a11, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:210 8e5dee: 0c0200 memw 8e5df1: 8a32 l32i.n a10, a3, 8 8e5df3: 73a906 bne a10, a3, 8e5dfd /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:212 8e5df6: 8c21 l32i.n a12, a2, 4 8e5df8: 0c0200 memw 8e5dfb: 9c32 s32i.n a12, a3, 8 008e5dfd : 8e5dfd: 160018 l32r a6, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:216 8e5e00: da10 mov.n a10, a1 8e5e02: 8865 l32i.n a8, a6, 20 8e5e04: db30 mov.n a11, a3 8e5e06: c1c4 movi.n a12, 20 8e5e08: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:221 8e5e0b: 0c0200 memw 8e5e0e: 2c3101 l16ui a12, a3, 2 8e5e11: 04cc01 and a12, a12, a4 8e5e14: 0c0200 memw 8e5e17: 2c3501 s16i a12, a3, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:224 8e5e1a: 0c0200 memw 8e5e1d: 2b1101 l16ui a11, a1, 2 8e5e20: 04bb01 and a11, a11, a4 8e5e23: 0c0200 memw /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:229 8e5e26: 8a21 l32i.n a10, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:224 8e5e28: 2b1501 s16i a11, a1, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:229 8e5e2b: 2862a8 l32i a8, a6, 0x2a0 8e5e2e: 2b3cf0 addi a11, a3, -16 8e5e31: 2aacf0 addi a10, a10, -16 8e5e34: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:231 8e5e37: 8a21 l32i.n a10, a2, 4 8e5e39: 8865 l32i.n a8, a6, 20 8e5e3b: db10 mov.n a11, a1 8e5e3d: c1c4 movi.n a12, 20 8e5e3f: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:232 8e5e42: 8a21 l32i.n a10, a2, 4 8e5e44: 0c0200 memw 8e5e47: 29a101 l16ui a9, a10, 2 8e5e4a: 059902 or a9, a9, a5 8e5e4d: 0c0200 memw 8e5e50: 29a501 s16i a9, a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:234 8e5e53: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:235 8e5e55: d10f retw.n 008e5e57 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:203 8e5e57: 0c0200 memw 8e5e5a: 8aa4 l32i.n a10, a10, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/dma_engine/src/desc.c:193 8e5e5c: 63ff6a j 8e5dca 008e5e5f : ... 008e5e60 : mii_reg_write_32(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:54 8e5e60: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:55 8e5e63: 0c0200 memw 8e5e66: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:56 8e5e69: 28823b l32i a8, a8, 236 8e5e6c: c0a5 movi.n a10, 5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:55 8e5e6e: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:56 8e5e70: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/rom/fwd/fwd.h:57 8e5e73: d10f retw.n 008e5e75 : 8e5e75: 000000 ... 008e5e78 : fwd_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:26 8e5e78: 6c1006 entry a1, 48 8e5e7b: 1901a1 l32r a9, 4e8684 <_lit4_start+0x684> 8e5e7e: 1a01a0 l32r a10, 4e8680 <_lit4_start+0x680> 8e5e81: 1b019f l32r a11, 4e867c <_lit4_start+0x67c> 8e5e84: 120018 l32r a2, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:30 8e5e87: 9b10 s32i.n a11, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:31 8e5e89: 9a11 s32i.n a10, a1, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:34 8e5e8b: 28227a l32i a8, a2, 0x1e8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:32 8e5e8e: 9912 s32i.n a9, a1, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:34 8e5e90: c0a0 movi.n a10, 0 8e5e92: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:38 8e5e95: 282272 l32i a8, a2, 0x1c8 8e5e98: c0a0 movi.n a10, 0 8e5e9a: db10 mov.n a11, a1 8e5e9c: 0b8000 callx8 a8 8e5e9f: 1b01a2 l32r a11, 4e8688 <_lit4_start+0x688> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:40 8e5ea2: 28227c l32i a8, a2, 0x1f0 8e5ea5: c0a0 movi.n a10, 0 8e5ea7: b1bc addi.n a12, a11, 1 8e5ea9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:42 8e5eac: c020 movi.n a2, 0 8e5eae: d10f retw.n 008e5eb0 : fwd_retbuf_handler(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:47 8e5eb0: 6c1004 entry a1, 32 8e5eb3: 180018 l32r a8, 4e8060 <_lit4_start+0x60> 8e5eb6: 1b01a1 l32r a11, 4e8684 <_lit4_start+0x684> 8e5eb9: dc20 mov.n a12, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:48 8e5ebb: 288277 l32i a8, a8, 0x1dc 8e5ebe: 8ab1 l32i.n a10, a11, 4 8e5ec0: 2bb008 l8ui a11, a11, 8 8e5ec3: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:49 8e5ec6: d10f retw.n 008e5ec8 : fwd_tgt_process_last(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:53 8e5ec8: 6c1004 entry a1, 32 8e5ecb: 1501a1 l32r a5, 4e8684 <_lit4_start+0x684> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:63 8e5ece: c0a1 movi.n a10, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 8e5ed0: b328 addi.n a8, a2, 3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:55 8e5ed2: 255200 l32i a5, a5, 0 8e5ed5: c921 beqz.n a2, 8e5eea /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 8e5ed7: 220a00 movi a2, 0 8e5eda: 082814 srli a8, a8, 2 8e5edd: 6d8906 loopnez a8, 8e5ee7 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:58 8e5ee0: 8950 l32i.n a9, a5, 0 8e5ee2: b455 addi.n a5, a5, 4 8e5ee4: 092203 xor a2, a2, a9 008e5ee7 : 8e5ee7: 600001 j 8e5eec 008e5eea : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:57 8e5eea: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:60 8e5eec: 032b0c sub a11, a2, a3 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:61 8e5eef: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:60 8e5ef1: 0ba239 movnez a2, a10, a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:63 8e5ef4: d10f retw.n 008e5ef6 : ... 008e5ef8 : fwd_tgt_recv(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:70 8e5ef8: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:80 8e5efb: 8a30 l32i.n a10, a3, 0 8e5efd: 8ca1 l32i.n a12, a10, 4 8e5eff: 2ba105 l16ui a11, a10, 10 8e5f02: 1601a1 l32r a6, 4e8684 <_lit4_start+0x684> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:81 8e5f05: 2ea106 l16ui a14, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:80 8e5f08: acbb add.n a11, a11, a12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:84 8e5f0a: 0c0200 memw 8e5f0d: 2cb101 l16ui a12, a11, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:85 8e5f10: 0c0200 memw 8e5f13: 8db1 l32i.n a13, a11, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:86 8e5f15: 0c0200 memw 8e5f18: 24b100 l16ui a4, a11, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:87 8e5f1b: b8b2 addi.n a2, a11, 8 8e5f1d: ccdb bnez.n a13, 8e5f2c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:90 8e5f1f: 0c0200 memw 8e5f22: 8920 l32i.n a9, a2, 0 8e5f24: 9960 s32i.n a9, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:91 8e5f26: b422 addi.n a2, a2, 4 8e5f28: 600002 j 8e5f2e 008e5f2b : ... 008e5f2c : 8e5f2c: 8960 l32i.n a9, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:97 8e5f2e: 2fccfc addi a15, a12, -4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:94 8e5f31: a9d9 add.n a9, a13, a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:96 8e5f33: 04fc38 moveqz a12, a15, a4 8e5f36: c9c6 beqz.n a12, 8e5f50 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:100 8e5f38: b3c8 addi.n a8, a12, 3 8e5f3a: 082814 srli a8, a8, 2 8e5f3d: 6d890d loopnez a8, 8e5f4e /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:101 8e5f40: 0c0200 memw 8e5f43: 8a20 l32i.n a10, a2, 0 8e5f45: 0c0200 memw 8e5f48: 9a90 s32i.n a10, a9, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:102 8e5f4a: b422 addi.n a2, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:103 8e5f4c: b499 addi.n a9, a9, 4 008e5f4e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:100 8e5f4e: 8a30 l32i.n a10, a3, 0 008e5f50 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:107 8e5f50: 89a0 l32i.n a9, a10, 0 8e5f52: c895 beqz.n a9, 8e5f5b 008e5f54 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:108 8e5f54: da90 mov.n a10, a9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:107 8e5f56: 8990 l32i.n a9, a9, 0 8e5f58: 659ff8 bnez a9, 8e5f54 008e5f5b : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:109 8e5f5b: 27a106 l16ui a7, a10, 12 8e5f5e: 0e770c sub a7, a7, a14 8e5f61: 07074f extui a7, a7, 0, 16 8e5f64: 27a506 s16i a7, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 8e5f67: 283104 l16ui a8, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 8e5f6a: 89a1 l32i.n a9, a10, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:113 8e5f6c: b875 addi.n a5, a7, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 8e5f6e: 0e880c sub a8, a8, a14 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:114 8e5f71: b88f addi.n a15, a8, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:110 8e5f73: 283504 s16i a8, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:113 8e5f76: 25a506 s16i a5, a10, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 8e5f79: 28a105 l16ui a8, a10, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:114 8e5f7c: 2f3504 s16i a15, a3, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:112 8e5f7f: a988 add.n a8, a8, a9 8e5f81: a877 add.n a7, a7, a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:116 8e5f83: 0c0200 memw 8e5f86: 8fb1 l32i.n a15, a11, 4 8e5f88: 0c0200 memw 8e5f8b: 9f71 s32i.n a15, a7, 4 8e5f8d: ca48 beqz.n a4, 8e5fb9 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:119 8e5f8f: c081 movi.n a8, 1 8e5f91: 0c0200 memw 8e5f94: 9870 s32i.n a8, a7, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:120 8e5f96: 600006 j 8e5fa0 008e5f99 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:132 8e5f99: c093 movi.n a9, 3 8e5f9b: 0c0200 memw 8e5f9e: 9970 s32i.n a9, a7, 0 8e5fa0: 180018 l32r a8, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:136 8e5fa3: dc30 mov.n a12, a3 8e5fa5: 288276 l32i a8, a8, 0x1d8 8e5fa8: 8a61 l32i.n a10, a6, 4 8e5faa: 2b6009 l8ui a11, a6, 9 8e5fad: 0b8000 callx8 a8 8e5fb0: cc43 bnez.n a4, 8e5fb7 8e5fb2: cc51 bnez.n a5, 8e5fb7 008e5fb4 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:140 8e5fb4: 0b2000 callx8 a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:142 8e5fb7: d10f retw.n 008e5fb9 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:123 8e5fb9: adca add.n a10, a12, a13 8e5fbb: 0c0200 memw 8e5fbe: 8b20 l32i.n a11, a2, 0 8e5fc0: 5bffc1 call8 8e5ec8 8e5fc3: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:127 8e5fc5: 0c0200 memw 8e5fc8: 8221 l32i.n a2, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:129 8e5fca: 65afcb bnez a10, 8e5f99 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:130 8e5fcd: c092 movi.n a9, 2 8e5fcf: 0c0200 memw 8e5fd2: 9970 s32i.n a9, a7, 0 8e5fd4: 63ffc8 j 8e5fa0 008e5fd7 : ... 008e5fd8 : magpie_mdio_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:146 8e5fd8: 6c1004 entry a1, 32 8e5fdb: c080 movi.n a8, 0 8e5fdd: 1301a3 l32r a3, 4e868c <_lit4_start+0x68c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:148 8e5fe0: 9320 s32i.n a3, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:149 8e5fe2: 9824 s32i.n a8, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:150 8e5fe4: 9825 s32i.n a8, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:151 8e5fe6: 982b s32i.n a8, a2, 44 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:152 8e5fe8: 9826 s32i.n a8, a2, 24 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:153 8e5fea: 9827 s32i.n a8, a2, 28 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:154 8e5fec: 9828 s32i.n a8, a2, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:155 8e5fee: 9829 s32i.n a8, a2, 36 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:156 8e5ff0: 9822 s32i.n a8, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:157 8e5ff2: 9821 s32i.n a8, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:158 8e5ff4: 982a s32i.n a8, a2, 40 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:159 8e5ff6: 982c s32i.n a8, a2, 48 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:160 8e5ff8: d10f retw.n 008e5ffa : ... 008e5ffc : magpie_mdio_boot_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:164 8e5ffc: 6c1004 entry a1, 32 8e5fff: 130018 l32r a3, 4e8060 <_lit4_start+0x60> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:167 8e6002: 283212 l32i a8, a3, 72 8e6005: 1a01a4 l32r a10, 4e8690 <_lit4_start+0x690> 8e6008: 0b8000 callx8 a8 8e600b: 120037 l32r a2, 4e80dc <_lit4_start+0xdc> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:169 8e600e: 0c0200 memw 8e6011: 2b2284 l32i a11, a2, 0x210 8e6014: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:171 8e6017: 2c2a00 movi a12, 0x200 8e601a: 0cbb02 or a11, a11, a12 8e601d: 0b0b4f extui a11, a11, 0, 16 8e6020: 5bff8f call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:173 8e6023: 28323b l32i a8, a3, 236 8e6026: 2a3ae8 movi a10, 0x3e8 8e6029: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:176 8e602c: 0c0200 memw 8e602f: 2b2284 l32i a11, a2, 0x210 8e6032: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:178 8e6035: 2cdaff movi a12, 0xfffffdff 8e6038: 0cbb01 and a11, a11, a12 8e603b: 0b0b4f extui a11, a11, 0, 16 8e603e: 5bff88 call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:181 8e6041: 0c0200 memw 8e6044: 1c01a5 l32r a12, 4e8694 <_lit4_start+0x694> 8e6047: 2b2284 l32i a11, a2, 0x210 8e604a: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:183 8e604d: 0cbb02 or a11, a11, a12 8e6050: 0b0b4f extui a11, a11, 0, 16 8e6053: 5bff83 call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:184 8e6056: 28323b l32i a8, a3, 236 8e6059: 2a3ae8 movi a10, 0x3e8 8e605c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:187 8e605f: 0c0200 memw 8e6062: 1c01a6 l32r a12, 4e8698 <_lit4_start+0x698> 8e6065: 2b2284 l32i a11, a2, 0x210 8e6068: 1a00e7 l32r a10, 4e839c <_lit4_start+0x39c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:189 8e606b: 0cbb01 and a11, a11, a12 8e606e: 0b0b4f extui a11, a11, 0, 16 8e6071: 5bff7b call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:190 8e6074: 28323b l32i a8, a3, 236 8e6077: 2a3ae8 movi a10, 0x3e8 8e607a: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:193 8e607d: 0c0200 memw 8e6080: 2b2286 l32i a11, a2, 0x218 8e6083: 1a00e5 l32r a10, 4e8394 <_lit4_start+0x394> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:195 8e6086: c0c1 movi.n a12, 1 8e6088: 0cbb02 or a11, a11, a12 8e608b: 0b0b4f extui a11, a11, 0, 16 8e608e: 5bff74 call8 8e5e60 8e6091: 1a01a7 l32r a10, 4e869c <_lit4_start+0x69c> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:197 8e6094: c1b5 movi.n a11, 21 8e6096: 5bff72 call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:198 8e6099: 28323b l32i a8, a3, 236 8e609c: 2a3ae8 movi a10, 0x3e8 8e609f: 0b8000 callx8 a8 8e60a2: 1a01a8 l32r a10, 4e86a0 <_lit4_start+0x6a0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:200 8e60a5: c0b5 movi.n a11, 5 8e60a7: 5bff6e call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:201 8e60aa: 28323b l32i a8, a3, 236 8e60ad: 2a3ae8 movi a10, 0x3e8 8e60b0: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:202 8e60b3: 283212 l32i a8, a3, 72 8e60b6: 1a01a9 l32r a10, 4e86a4 <_lit4_start+0x6a4> 8e60b9: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:203 8e60bc: d10f retw.n 008e60be : ... 008e60c0 : magpie_mdio_wait_for_lock(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:207 8e60c0: 6c1006 entry a1, 48 8e60c3: 1301aa l32r a3, 4e86a8 <_lit4_start+0x6a8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:210 8e60c6: 0c0200 memw 8e60c9: 8830 l32i.n a8, a3, 0 8e60cb: 0c0200 memw 8e60ce: 281500 s16i a8, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:211 8e60d1: 0c0200 memw 8e60d4: 221100 l16ui a2, a1, 0 8e60d7: 7f2f13 bbsi a2, 31, 8e60ee 008e60da : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:212 8e60da: 0c0200 memw 8e60dd: 8a30 l32i.n a10, a3, 0 8e60df: 0c0200 memw 8e60e2: 2a1500 s16i a10, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:211 8e60e5: 0c0200 memw 8e60e8: 291100 l16ui a9, a1, 0 8e60eb: 7f97eb bbci a9, 31, 8e60da 008e60ee : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:215 8e60ee: 0c0200 memw 8e60f1: 221100 l16ui a2, a1, 0 8e60f4: 028247 extui a2, a2, 8, 8 8e60f7: d10f retw.n 008e60f9 : 8e60f9: 000000 ... 008e60fc : magpie_mdio_release_lock(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:221 8e60fc: 6c1004 entry a1, 32 8e60ff: 1a01aa l32r a10, 4e86a8 <_lit4_start+0x6a8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:222 8e6102: c0c2 movi.n a12, 2 8e6104: 082b11 slli a11, a2, 8 8e6107: 0cbb02 or a11, a11, a12 8e610a: 0b0b4f extui a11, a11, 0, 16 8e610d: 5bff54 call8 8e5e60 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:223 8e6110: d10f retw.n 008e6112 : ... 008e6114 : mdio_read_block(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:227 8e6114: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:231 8e6117: 6a313a blti a3, 1, 8e6155 8e611a: 030940 extui a9, a3, 0, 1 8e611d: b136 addi.n a6, a3, 1 8e611f: b234 addi.n a4, a3, 2 8e6121: b038 addi.n a8, a3, -1 8e6123: 06643b movgez a4, a6, a6 8e6126: 1601ab l32r a6, 4e86ac <_lit4_start+0x6ac> 8e6129: 041412 srai a4, a4, 1 8e612c: c030 movi.n a3, 0 8e612e: 6d4a23 loopgtz a4, 8e6155 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:232 8e6131: 0c0200 memw 8e6134: 8760 l32i.n a7, a6, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:238 8e6136: a23a add.n a10, a3, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:242 8e6138: b466 addi.n a6, a6, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:232 8e613a: 07074f extui a7, a7, 0, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:234 8e613d: 738901 bne a8, a3, 8e6142 8e6140: cc9a bnez.n a9, 8e614e 008e6142 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:239 8e6142: 27a401 s8i a7, a10, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:238 8e6145: 078b14 srli a11, a7, 8 8e6148: 2ba400 s8i a11, a10, 0 8e614b: 600004 j 8e6153 008e614e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:236 8e614e: a23c add.n a12, a3, a2 8e6150: 27c400 s8i a7, a12, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:241 8e6153: b233 addi.n a3, a3, 2 008e6155 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:244 8e6155: c020 movi.n a2, 0 8e6157: d10f retw.n 008e6159 : 8e6159: 000000 ... 008e615c : magpie_mdio_copy_bytes(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:249 8e615c: 6c1004 entry a1, 32 8e615f: 160018 l32r a6, 4e8060 <_lit4_start+0x60> 8e6162: 1a01ac l32r a10, 4e86b0 <_lit4_start+0x6b0> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:253 8e6165: 286212 l32i a8, a6, 72 8e6168: db20 mov.n a11, a2 8e616a: dc30 mov.n a12, a3 8e616c: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:254 8e616f: 6a3117 blti a3, 1, 8e618a 8e6172: c040 movi.n a4, 0 008e6174 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:255 8e6174: 5bffd2 call8 8e60c0 8e6177: d5a0 mov.n a5, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:257 8e6179: a24a add.n a10, a4, a2 8e617b: db50 mov.n a11, a5 8e617d: 5bffe5 call8 8e6114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:258 8e6180: a454 add.n a4, a5, a4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:259 8e6182: c0a0 movi.n a10, 0 8e6184: 5bffdd call8 8e60fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:254 8e6187: 7342e9 blt a4, a3, 8e6174 008e618a : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:261 8e618a: dc30 mov.n a12, a3 8e618c: 286212 l32i a8, a6, 72 8e618f: db20 mov.n a11, a2 8e6191: 1a01ad l32r a10, 4e86b4 <_lit4_start+0x6b4> 8e6194: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:262 8e6197: d10f retw.n 008e6199 : 8e6199: 000000 ... 008e619c : fw_compute_cksum(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:266 8e619c: 6c1004 entry a1, 32 8e619f: d520 mov.n a5, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:270 8e61a1: 6a3112 blti a3, 1, 8e61b7 8e61a4: c76f movi.n a6, -1 8e61a6: 220a00 movi a2, 0 8e61a9: 6d3a08 loopgtz a3, 8e61b5 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:271 8e61ac: 8450 l32i.n a4, a5, 0 8e61ae: b455 addi.n a5, a5, 4 8e61b0: 064403 xor a4, a4, a6 8e61b3: a422 add.n a2, a2, a4 008e61b5 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:273 8e61b5: d10f retw.n 008e61b7 : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:270 8e61b7: c020 movi.n a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:273 8e61b9: d10f retw.n 008e61bb : ... 008e61bc : mdio_get_fw_image(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:282 8e61bc: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:285 8e61bf: 5bffc0 call8 8e60c0 8e61c2: 130018 l32r a3, 4e8060 <_lit4_start+0x60> 8e61c5: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:286 8e61c7: 283212 l32i a8, a3, 72 8e61ca: 1a01ae l32r a10, 4e86b8 <_lit4_start+0x6b8> 8e61cd: db40 mov.n a11, a4 8e61cf: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:288 8e61d2: db40 mov.n a11, a4 8e61d4: da20 mov.n a10, a2 8e61d6: 5bffcf call8 8e6114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:289 8e61d9: 283212 l32i a8, a3, 72 8e61dc: 1a01af l32r a10, 4e86bc <_lit4_start+0x6bc> 8e61df: 8b22 l32i.n a11, a2, 8 8e61e1: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:291 8e61e4: c0a0 movi.n a10, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:290 8e61e6: 8920 l32i.n a9, a2, 0 8e61e8: 9925 s32i.n a9, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:291 8e61ea: 5bffc4 call8 8e60fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:294 8e61ed: 5bffb4 call8 8e60c0 8e61f0: dba0 mov.n a11, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:295 8e61f2: bc2a addi.n a10, a2, 12 8e61f4: 5bffc7 call8 8e6114 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:296 8e61f7: 283212 l32i a8, a3, 72 8e61fa: 1a01b0 l32r a10, 4e86c0 <_lit4_start+0x6c0> 8e61fd: 8b23 l32i.n a11, a2, 12 8e61ff: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:297 8e6202: c0a0 movi.n a10, 0 8e6204: 5bffbd call8 8e60fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:299 8e6207: 8924 l32i.n a9, a2, 16 8e6209: 659053 bnez a9, 8e6260 8e620c: c040 movi.n a4, 0 008e620e : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:301 8e620e: 8a20 l32i.n a10, a2, 0 8e6210: 8b21 l32i.n a11, a2, 4 8e6212: 5bffd2 call8 8e615c /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:303 8e6215: 5bffaa call8 8e60c0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:309 8e6218: 8b21 l32i.n a11, a2, 4 8e621a: 8a20 l32i.n a10, a2, 0 8e621c: 0b2b14 srli a11, a11, 2 8e621f: 5bffdf call8 8e619c 8e6222: 8c23 l32i.n a12, a2, 12 8e6224: 7ac117 beq a12, a10, 8e623f /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:310 8e6227: 283212 l32i a8, a3, 72 8e622a: 1a01b1 l32r a10, 4e86c4 <_lit4_start+0x6c4> 8e622d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:311 8e6230: 9424 s32i.n a4, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:312 8e6232: c0a1 movi.n a10, 1 8e6234: 5bffb1 call8 8e60fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:299 8e6237: 8924 l32i.n a9, a2, 16 8e6239: 649fd1 beqz a9, 8e620e 8e623c: 600020 j 8e6260 008e623f : /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:315 8e623f: 283212 l32i a8, a3, 72 8e6242: 1a01b2 l32r a10, 4e86c8 <_lit4_start+0x6c8> 8e6245: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:317 8e6248: c0a2 movi.n a10, 2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:316 8e624a: c091 movi.n a9, 1 8e624c: 9924 s32i.n a9, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:317 8e624e: 5bffab call8 8e60fc /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:320 8e6251: 5bff9b call8 8e60c0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:321 8e6254: 7da708 bbci a10, 29, 8e6260 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:322 8e6257: 283212 l32i a8, a3, 72 8e625a: 1a01b3 l32r a10, 4e86cc <_lit4_start+0x6cc> 008e625d : 8e625d: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/fwd//fwd.c:328 8e6260: c020 movi.n a2, 0 8e6262: d10f retw.n 008e6264 : buf_pool_module_install(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:34 8e6264: 6c1004 entry a1, 32 8e6267: 1801b8 l32r a8, 4e86e0 <_lit4_start+0x6e0> 8e626a: 1901b7 l32r a9, 4e86dc <_lit4_start+0x6dc> 8e626d: 1a01b6 l32r a10, 4e86d8 <_lit4_start+0x6d8> 8e6270: 1b01b5 l32r a11, 4e86d4 <_lit4_start+0x6d4> 8e6273: 1c01b4 l32r a12, 4e86d0 <_lit4_start+0x6d0> 8e6276: 1301b9 l32r a3, 4e86e4 <_lit4_start+0x6e4> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:40 8e6279: 9321 s32i.n a3, a2, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:39 8e627b: 9825 s32i.n a8, a2, 20 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:38 8e627d: 9924 s32i.n a9, a2, 16 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:37 8e627f: 9a23 s32i.n a10, a2, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:36 8e6281: 9b22 s32i.n a11, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:35 8e6283: 9c20 s32i.n a12, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:41 8e6285: d10f retw.n 008e6287 : ... 008e6288 <_buf_pool_static_init>: _buf_pool_static_init(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:44 8e6288: 6c1004 entry a1, 32 8e628b: 1201ba l32r a2, 4e86e8 <_lit4_start+0x6e8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:48 8e628e: c050 movi.n a5, 0 8e6290: c03a movi.n a3, 10 8e6292: 022402 or a4, a2, a2 8e6295: 6d3a03 loopgtz a3, 8e629c <_buf_pool_static_init+0x14> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:49 8e6298: 9540 s32i.n a5, a4, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:50 8e629a: b444 addi.n a4, a4, 4 008e629c <_buf_pool_static_init+0x14>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:52 8e629c: d10f retw.n 008e629e <_buf_pool_static_init+0x16>: ... 008e62a0 <_buf_pool_static_shutdown>: _buf_pool_static_shutdown(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:65 8e62a0: 6c1004 entry a1, 32 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:67 8e62a3: d10f retw.n 008e62a5 <_buf_pool_static_shutdown+0x5>: 8e62a5: 000000 ... 008e62a8 <_buf_pool_static_create_pool>: _buf_pool_static_create_pool(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:70 8e62a8: 6c1006 entry a1, 48 8e62ab: 9410 s32i.n a4, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 8e62ad: 6a414a blti a4, 1, 8e62fb <_buf_pool_static_create_pool+0x53> 8e62b0: 1b01ba l32r a11, 4e86e8 <_lit4_start+0x6e8> 8e62b3: 160018 l32r a6, 4e8060 <_lit4_start+0x60> 8e62b6: c070 movi.n a7, 0 008e62b8 <_buf_pool_static_create_pool+0x10>: 8e62b8: 0b330a addx4 a3, a3, a11 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:78 8e62bb: 2862a1 l32i a8, a6, 0x284 8e62be: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:79 8e62c1: 2862a6 l32i a8, a6, 0x298 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:78 8e62c4: d2a0 mov.n a2, a10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:79 8e62c6: 0b8000 callx8 a8 8e62c9: d4a0 mov.n a4, a10 /root/Workspace/0412_v202/tgt/target/adf/os/inc/adf_os_mem_pvt.h:10 8e62cb: 286252 l32i a8, a6, 0x148 8e62ce: db50 mov.n a11, a5 8e62d0: c0a0 movi.n a10, 0 8e62d2: 0b8000 callx8 a8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 8e62d5: 8c10 l32i.n a12, a1, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:95 8e62d7: b177 addi.n a7, a7, 1 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:82 8e62d9: 254504 s16i a5, a4, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:81 8e62dc: 9a41 s32i.n a10, a4, 4 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:84 8e62de: c0b0 movi.n a11, 0 8e62e0: 2b4506 s16i a11, a4, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:83 8e62e3: 2b4505 s16i a11, a4, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:89 8e62e6: 8930 l32i.n a9, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:87 8e62e8: 9420 s32i.n a4, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:86 8e62ea: 2b2504 s16i a11, a2, 8 8e62ed: cc95 bnez.n a9, 8e62f6 <_buf_pool_static_create_pool+0x4e> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:90 8e62ef: 9230 s32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:77 8e62f1: 77c9c6 bne a12, a7, 8e62bb <_buf_pool_static_create_pool+0x13> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:96 8e62f4: d10f retw.n 008e62f6 <_buf_pool_static_create_pool+0x4e>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:92 8e62f6: 9921 s32i.n a9, a2, 4 8e62f8: 63fff3 j 8e62ef <_buf_pool_static_create_pool+0x47> 008e62fb <_buf_pool_static_create_pool+0x53>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:96 8e62fb: d10f retw.n 008e62fd <_buf_pool_static_create_pool+0x55>: 8e62fd: 000000 ... 008e6300 <_buf_pool_static_alloc_buf>: _buf_pool_static_alloc_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:99 8e6300: 6c1004 entry a1, 32 8e6303: 1201ba l32r a2, 4e86e8 <_lit4_start+0x6e8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:102 8e6306: 02330a addx4 a3, a3, a2 8e6309: 8230 l32i.n a2, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:104 8e630b: c050 movi.n a5, 0 8e630d: c82f beqz.n a2, 8e6320 <_buf_pool_static_alloc_buf+0x20> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:107 8e630f: 8620 l32i.n a6, a2, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:104 8e6311: 8821 l32i.n a8, a2, 4 8e6313: 9830 s32i.n a8, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:106 8e6315: 9521 s32i.n a5, a2, 4 008e6317 <_buf_pool_static_alloc_buf+0x17>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:107 8e6317: 246505 s16i a4, a6, 10 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:108 8e631a: 256506 s16i a5, a6, 12 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:109 8e631d: 252504 s16i a5, a2, 8 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:112 8e6320: d10f retw.n 008e6322 <_buf_pool_static_alloc_buf+0x22>: ... 008e6324 <_buf_pool_static_alloc_buf_align>: _buf_pool_static_alloc_buf_align(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:116 8e6324: 6c1004 entry a1, 32 8e6327: dc40 mov.n a12, a4 8e6329: db30 mov.n a11, a3 8e632b: da20 mov.n a10, a2 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:117 8e632d: 5bfff4 call8 8e6300 <_buf_pool_static_alloc_buf> 8e6330: d2a0 mov.n a2, a10 8e6332: d10f retw.n 008e6334 <_buf_pool_static_free_buf>: _buf_pool_static_free_buf(): /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:121 8e6334: 6c1004 entry a1, 32 8e6337: 1201ba l32r a2, 4e86e8 <_lit4_start+0x6e8> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:122 8e633a: 02330a addx4 a3, a3, a2 8e633d: 8530 l32i.n a5, a3, 0 8e633f: c850 beqz.n a5, 8e6343 <_buf_pool_static_free_buf+0xf> /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:125 8e6341: 9541 s32i.n a5, a4, 4 008e6343 <_buf_pool_static_free_buf+0xf>: /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:123 8e6343: 9430 s32i.n a4, a3, 0 /root/Workspace/0412_v202/tgt/build/magpie_1_1/image/magpie/../../../..//build/magpie_1_1/rom/buf_pool/src/buf_pool_static.c:128 8e6345: d10f retw.n 008e6347 <_buf_pool_static_free_buf+0x13>: ... 008e6348 <_xtos_set_exception_handler>: _xtos_set_exception_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:33 8e6348: 6c1004 entry a1, 32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:36 8e634b: 6f2d2d bgeui a2, 64, 8e637c <_xtos_set_exception_handler+0x34> 8e634e: 1901bb l32r a9, 4e86ec <_lit4_start+0x6ec> 8e6351: ca3b beqz.n a3, 8e6380 <_xtos_set_exception_handler+0x38> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-sethandler.c:48 8e6353: c0a0 movi.n a10, 0 8e6355: 1601bd l32r a6, 4e86f4 <_lit4_start+0x6f4> 8e6358: 1401be l32r a4, 4e86f8 <_lit4_start+0x6f8> 8e635b: 180006 l32r a8, 4e8018 <_lit4_start+0x18> 8e635e: 1c01bc l32r a12, 4e86f0 <_lit4_start+0x6f0> 8e6361: 0e2511 slli a5, a2, 2 8e6364: 09370c sub a7, a3, a9 8e6367: ac5c add.n a12, a5, a12 8e6369: a855 add.n a5, a5, a8 8e636b: 82c0 l32i.n a2, a12, 0 8e636d: 93c0 s32i.n a3, a12, 0 8e636f: 076439 movnez a4, a6, a7 8e6372: 9450 s32i.n a4, a5, 0 8e6374: 092b0c sub a11, a2, a9 8e6377: 0ba238 moveqz a2, a10, a11 8e637a: d10f retw.n 008e637c <_xtos_set_exception_handler+0x34>: 8e637c: c020 movi.n a2, 0 8e637e: d10f retw.n 008e6380 <_xtos_set_exception_handler+0x38>: 8e6380: c0a0 movi.n a10, 0 8e6382: 09970c sub a7, a9, a9 8e6385: 1601bd l32r a6, 4e86f4 <_lit4_start+0x6f4> 8e6388: 180006 l32r a8, 4e8018 <_lit4_start+0x18> 8e638b: 1c01bc l32r a12, 4e86f0 <_lit4_start+0x6f0> 8e638e: 0e2511 slli a5, a2, 2 8e6391: 1401be l32r a4, 4e86f8 <_lit4_start+0x6f8> 8e6394: ac5c add.n a12, a5, a12 8e6396: a855 add.n a5, a5, a8 8e6398: 82c0 l32i.n a2, a12, 0 8e639a: 99c0 s32i.n a9, a12, 0 8e639c: 076439 movnez a4, a6, a7 8e639f: 9450 s32i.n a4, a5, 0 8e63a1: 092b0c sub a11, a2, a9 8e63a4: 0ba238 moveqz a2, a10, a11 8e63a7: d10f retw.n 008e63a9 <_xtos_set_exception_handler+0x61>: 8e63a9: 000000 ... 008e63ac <_xtos_cause3_handler>: _xtos_cause3_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:30 8e63ac: c023 movi.n a2, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:31 8e63ae: 600002 j 8e63b4 <_xtos_c_wrapper_handler> 008e63b1 <_xtos_cause3_handler+0x5>: 8e63b1: 000000 ... 008e63b4 <_xtos_c_wrapper_handler>: _xtos_c_wrapper_handler(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:44 8e63b4: 9517 s32i.n a5, a1, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:51 8e63b6: 9218 s32i.n a2, a1, 32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:94 8e63b8: 120008 l32r a2, 4e8020 <_lit4_start+0x20> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:96 8e63bb: 03b130 rsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:97 8e63be: 02e616 xsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:104 8e63c1: 9310 s32i.n a3, a1, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:105 8e63c3: 9211 s32i.n a2, a1, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:126 8e63c5: 211c70 addi a1, a1, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:128 8e63c8: 12000a l32r a2, 4e8028 <_lit4_start+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:130 8e63cb: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:213 8e63ce: 032402 or a4, a2, a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:214 8e63d1: 042409 addx2 a4, a2, a4 008e63d4 <_GeneralException>: _GeneralException(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:222 8e63d4: 6c100e entry a1, 112 008e63d7 <_GeneralException+0x3>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:287 8e63d7: 0c0330 rsr.sar a12 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:288 8e63da: 0d0600 rsil a13, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:294 8e63dd: 1d01bc l32r a13, 4e86f0 <_lit4_start+0x6f0> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:295 8e63e0: 8f18 l32i.n a15, a1, 32 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:297 8e63e2: 9c12 s32i.n a12, a1, 8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:298 8e63e4: 0c0230 rsr.lcount a12 8e63e7: 0e0030 rsr.lbeg a14 8e63ea: 9c19 s32i.n a12, a1, 36 8e63ec: 0c0130 rsr.lend a12 8e63ef: 9e1a s32i.n a14, a1, 40 8e63f1: 9c1b s32i.n a12, a1, 44 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:300 8e63f3: 0dfc0a addx4 a12, a15, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:301 8e63f6: 8cc0 l32i.n a12, a12, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:308 8e63f8: de10 mov.n a14, a1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:310 8e63fa: 64c002 beqz a12, 8e6400 <_GeneralException+0x2c> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:311 8e63fd: 0fc000 callx12 a12 008e6400 <_GeneralException+0x2c>: /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:319 8e6400: 8d19 l32i.n a13, a1, 36 8e6402: 8e1a l32i.n a14, a1, 40 8e6404: 8f1b l32i.n a15, a1, 44 8e6406: 0d0231 wsr.lcount a13 8e6409: 0e0031 wsr.lbeg a14 8e640c: 0f0131 wsr.lend a15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:320 8e640f: 8e12 l32i.n a14, a1, 8 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:348 8e6411: 0c3600 rsil a12, 3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:351 8e6414: 0e0331 wsr.sar a14 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:353 8e6417: 10000c l32r a0, 4e8030 <_lit4_start+0x30> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:360 8e641a: 1d000a l32r a13, 4e8028 <_lit4_start+0x28> /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:371 8e641d: 0d0002 or a0, a0, a13 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:372 8e6420: 00d009 addx2 a0, a13, a0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-c-wrapper-handler.S:373 8e6423: d10f retw.n 008e6425 <_GeneralException+0x51>: 8e6425: 000000 ... 008e6428 : xthal_spill_registers_into_stack_nw(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:114 8e6428: 024830 rsr.windowbase a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:115 8e642b: b122 addi.n a2, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:116 8e642d: 002004 ssr a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:117 8e6430: 034930 rsr.windowstart a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:118 8e6433: 030219 srl a2, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:119 8e6436: 00331a sll a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:120 8e6439: 6730bf bgez a3, 8e64fc /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:122 8e643c: 038357 extui a3, a3, 24, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:123 8e643f: 032202 or a2, a2, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:138 8e6442: 020306 neg a3, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:139 8e6445: 023301 and a3, a3, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:140 8e6448: 033f04 nsau a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:141 8e644b: 003104 ssl a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:153 8e644e: 020219 srl a2, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:154 8e6451: 024931 wsr.windowstart a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:163 8e6454: 024830 rsr.windowbase a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:165 8e6457: 222c1f addi a2, a2, 31 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:166 8e645a: 03230c sub a3, a2, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:170 8e645d: 034831 wsr.windowbase a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:171 8e6460: 010200 rsync /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:174 8e6463: 024930 rsr.windowstart a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:198 8e6466: 64207a beqz a2, 8e64e4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:199 8e6469: 7f2f08 bbsi a2, 31, 8e6475 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:200 8e646c: 7e2f19 bbsi a2, 30, 8e6489 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:201 8e646f: 7d2f3a bbsi a2, 29, 8e64ad /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:202 8e6472: 60008c j 8e6502 008e6475 : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:208 8e6475: 239cf0 addi a3, a9, -16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:209 8e6478: 9430 s32i.n a4, a3, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:210 8e647a: 9531 s32i.n a5, a3, 4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:211 8e647c: 9632 s32i.n a6, a3, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:212 8e647e: 9733 s32i.n a7, a3, 12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:214 8e6480: 021614 srli a6, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:215 8e6483: 010804 rotw 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:217 8e6486: 63ffdc j 8e6466 008e6489 : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:221 8e6489: 23dcf0 addi a3, a13, -16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:222 8e648c: 9430 s32i.n a4, a3, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:223 8e648e: 9531 s32i.n a5, a3, 4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:224 8e6490: 9632 s32i.n a6, a3, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:225 8e6492: 9733 s32i.n a7, a3, 12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:227 8e6494: 235cf4 addi a3, a5, -12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:228 8e6497: 8330 l32i.n a3, a3, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:230 8e6499: 233ce0 addi a3, a3, -32 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:232 8e649c: 9830 s32i.n a8, a3, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:233 8e649e: 9931 s32i.n a9, a3, 4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:234 8e64a0: 9a32 s32i.n a10, a3, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:235 8e64a2: 9b33 s32i.n a11, a3, 12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:237 8e64a4: 022a14 srli a10, a2, 2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:238 8e64a7: 020804 rotw 2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:240 8e64aa: 63ffb8 j 8e6466 008e64ad : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:244 8e64ad: 010804 rotw 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:246 8e64b0: 2ddcf0 addi a13, a13, -16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:247 8e64b3: 90d0 s32i.n a0, a13, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:248 8e64b5: 91d1 s32i.n a1, a13, 4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:249 8e64b7: 92d2 s32i.n a2, a13, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:250 8e64b9: 93d3 s32i.n a3, a13, 12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:252 8e64bb: 231cf4 addi a3, a1, -12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:253 8e64be: 8330 l32i.n a3, a3, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:254 8e64c0: 2ddc10 addi a13, a13, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:255 8e64c3: 233cd0 addi a3, a3, -48 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:257 8e64c6: 9430 s32i.n a4, a3, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:258 8e64c8: 9531 s32i.n a5, a3, 4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:259 8e64ca: 9632 s32i.n a6, a3, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:260 8e64cc: 9733 s32i.n a7, a3, 12 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:261 8e64ce: 9834 s32i.n a8, a3, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:262 8e64d0: 9935 s32i.n a9, a3, 20 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:263 8e64d2: 9a36 s32i.n a10, a3, 24 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:264 8e64d4: 9b37 s32i.n a11, a3, 28 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:266 8e64d6: 0f0804 rotw -1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:267 8e64d9: 023e14 srli a14, a2, 3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:268 8e64dc: 030804 rotw 3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:270 8e64df: 63ff83 j 8e6466 008e64e2 : ... 008e64e4 : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:275 8e64e4: 010804 rotw 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:276 8e64e7: 024830 rsr.windowbase a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:277 8e64ea: 002104 ssl a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:278 8e64ed: c021 movi.n a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:279 8e64ef: 00221a sll a2, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:280 8e64f2: 024931 wsr.windowstart a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:281 8e64f5: 010200 rsync /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:282 8e64f8: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:283 8e64fa: d00f ret.n 008e64fc : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:290 8e64fc: c021 movi.n a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:291 8e64fe: d00f ret.n 008e6500 : ... 008e6502 : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:306 8e6502: 0f2211 slli a2, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:307 8e6505: b122 addi.n a2, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:308 8e6507: 034830 rsr.windowbase a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:309 8e650a: 782f0a bbsi a2, 24, 8e6518 008e650d : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:310 8e650d: b033 addi.n a3, a3, -1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:311 8e650f: 0f2211 slli a2, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:312 8e6512: 7827f7 bbci a2, 24, 8e650d /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:313 8e6515: 030342 extui a3, a3, 0, 3 008e6518 : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:317 8e6518: 003104 ssl a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:318 8e651b: 082310 slli a3, a2, 24 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:319 8e651e: 032218 src a2, a2, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:320 8e6521: 020247 extui a2, a2, 0, 8 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:321 8e6524: 024931 wsr.windowstart a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:322 8e6527: 030330 rsr.sar a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:323 8e652a: c220 movi.n a2, 32 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:324 8e652c: 03230c sub a3, a2, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:325 8e652f: 034831 wsr.windowbase a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:326 8e6532: 010200 rsync /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:328 8e6535: c022 movi.n a2, 2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:329 8e6537: d00f ret.n 008e6539 : 8e6539: 000000 ... 008e653c : xthal_window_spill(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:355 8e653c: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:357 8e653f: 1601bf l32r a6, 4e86fc <_lit4_start+0x6fc> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:358 8e6542: 05e630 rsr.ps a5 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:359 8e6545: d400 mov.n a4, a0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:360 8e6547: 065201 and a2, a5, a6 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:361 8e654a: b322 addi.n a2, a2, 3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:362 8e654c: 02e631 wsr.ps a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:363 8e654f: 010200 rsync /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:364 8e6552: 53ffb5 call0 8e6428 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:365 8e6555: d040 mov.n a0, a4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:366 8e6557: 05e631 wsr.ps a5 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:367 8e655a: 010200 rsync /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/windowspill_asm.S:369 8e655d: d10f retw.n 008e655f : ... 008e6560 : strcmp(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:45 8e6560: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:48 8e6563: 282000 l8ui a8, a2, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:49 8e6566: 293000 l8ui a9, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:50 8e6569: c0a3 movi.n a10, 3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:51 8e656b: 798952 bne a8, a9, 8e65c1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:53 8e656e: 032b02 or a11, a2, a3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:54 8e6571: 7ab053 bnone a11, a10, 8e65c8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:56 8e6574: 032b03 xor a11, a2, a3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:57 8e6577: 7ab831 bany a11, a10, 8e65ac /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:60 8e657a: b122 addi.n a2, a2, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:61 8e657c: 6480b7 beqz a8, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:62 8e657f: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:63 8e6581: 7a2043 bnone a2, a10, 8e65c8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:64 8e6584: 282000 l8ui a8, a2, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:65 8e6587: 293000 l8ui a9, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:66 8e658a: b122 addi.n a2, a2, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:67 8e658c: 798931 bne a8, a9, 8e65c1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:68 8e658f: 6480a4 beqz a8, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:69 8e6592: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:70 8e6594: 7a2030 bnone a2, a10, 8e65c8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:71 8e6597: 282000 l8ui a8, a2, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:72 8e659a: 293000 l8ui a9, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:73 8e659d: b122 addi.n a2, a2, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:74 8e659f: 79891e bne a8, a9, 8e65c1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:75 8e65a2: 648091 beqz a8, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:76 8e65a5: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:77 8e65a7: 60001d j 8e65c8 008e65aa : ... 008e65ac : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:104 8e65ac: c080 movi.n a8, 0 008e65ae : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:108 8e65ae: 6d880f loop a8, 8e65c1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:111 8e65b1: 282000 l8ui a8, a2, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:112 8e65b4: 293000 l8ui a9, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:113 8e65b7: b122 addi.n a2, a2, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:114 8e65b9: 798904 bne a8, a9, 8e65c1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:115 8e65bc: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:117 8e65be: 648fff beqz a8, 8e65c1 008e65c1 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:122 8e65c1: 09820c sub a2, a8, a9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:123 8e65c4: d10f retw.n 008e65c6 : ... 008e65c8 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:152 8e65c8: 1401c0 l32r a4, 4e8700 <_lit4_start+0x700> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:153 8e65cb: 1701c3 l32r a7, 4e870c <_lit4_start+0x70c> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:162 8e65ce: 6d4829 loop a4, 8e65fb /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:165 8e65d1: 282200 l32i a8, a2, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:166 8e65d4: 293200 l32i a9, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:167 8e65d7: 0f8511 slli a5, a8, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:168 8e65da: 79895e bne a8, a9, 8e663c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:169 8e65dd: 058902 or a9, a8, a5 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:170 8e65e0: 779c20 bnall a9, a7, 8e6604 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:173 8e65e3: 282201 l32i a8, a2, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:174 8e65e6: 293201 l32i a9, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:175 8e65e9: 0f8511 slli a5, a8, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:176 8e65ec: 79894c bne a8, a9, 8e663c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:177 8e65ef: 058902 or a9, a8, a5 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:178 8e65f2: 779c08 bnall a9, a7, 8e65fe /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:180 8e65f5: 222c08 addi a2, a2, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:181 8e65f8: 233c08 addi a3, a3, 8 008e65fb : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:183 8e65fb: 011102 or a1, a1, a1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:187 8e65fe: 222c04 addi a2, a2, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:188 8e6601: 233c04 addi a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:213 8e6604: 74802f bnone a8, a4, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:215 8e6607: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:216 8e660a: 16018a l32r a6, 4e8628 <_lit4_start+0x628> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:217 8e660d: 758026 bnone a8, a5, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:218 8e6610: 1701c2 l32r a7, 4e8708 <_lit4_start+0x708> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:219 8e6613: 768020 bnone a8, a6, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:220 8e6616: 77801d bnone a8, a7, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:235 8e6619: b422 addi.n a2, a2, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:236 8e661b: b433 addi.n a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:249 8e661d: 6d4816 loop a4, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:252 8e6620: 8820 l32i.n a8, a2, 0 008e6622 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:253 8e6622: 8930 l32i.n a9, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:254 8e6624: b422 addi.n a2, a2, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:255 8e6626: 798923 bne a8, a9, 8e664d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:256 8e6629: 74800a bnone a8, a4, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:257 8e662c: 758007 bnone a8, a5, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:258 8e662f: 768004 bnone a8, a6, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:259 8e6632: 778001 bnone a8, a7, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:260 8e6635: b433 addi.n a3, a3, 4 008e6637 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:279 8e6637: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:280 8e6639: d10f retw.n 008e663b : ... 008e663c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:286 8e663c: 058a02 or a10, a8, a5 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:287 8e663f: 77ac0a bnall a10, a7, 8e664d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:288 8e6642: 798b03 bgeu a8, a9, 8e6649 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:289 8e6645: c72f movi.n a2, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:290 8e6647: d10f retw.n 008e6649 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:292 8e6649: c021 movi.n a2, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:293 8e664b: d10f retw.n 008e664d : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:297 8e664d: 098203 xor a2, a8, a9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:298 8e6650: 742819 bany a2, a4, 8e666d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:299 8e6653: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:300 8e6656: 7480dd bnone a8, a4, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:301 8e6659: 75280b bany a2, a5, 8e6668 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:302 8e665c: 16018a l32r a6, 4e8628 <_lit4_start+0x628> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:303 8e665f: 7580d4 bnone a8, a5, 8e6637 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:304 8e6662: 762802 bany a2, a6, 8e6668 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:305 8e6665: 7680ce bnone a8, a6, 8e6637 008e6668 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:313 8e6668: 09820c sub a2, a8, a9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:314 8e666b: d10f retw.n 008e666d : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:318 8e666d: 088a57 extui a10, a8, 24, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:319 8e6670: 098b57 extui a11, a9, 24, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:320 8e6673: 0ba20c sub a2, a10, a11 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcmp.S:321 8e6676: d10f retw.n 008e6678 : strcpy(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:35 8e6678: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:38 8e667b: 022a02 or a10, a2, a2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:39 8e667e: 1401c0 l32r a4, 4e8700 <_lit4_start+0x700> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:40 8e6681: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:41 8e6684: 16018a l32r a6, 4e8628 <_lit4_start+0x628> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:42 8e6687: 270aff movi a7, 255 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:43 8e668a: 7f3f0b bbsi a3, 31, 8e6699 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:44 8e668d: 7e3f17 bbsi a3, 30, 8e66a8 008e6690 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:48 8e6690: c083 movi.n a8, 3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:49 8e6692: 78a02a bnone a10, a8, 8e66c0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:51 8e6695: 600063 j 8e66fc 008e6698 : ... 008e6699 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:54 8e6699: 283000 l8ui a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:55 8e669c: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:56 8e669e: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:57 8e66a1: c988 beqz.n a8, 8e66bd /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:58 8e66a3: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:59 8e66a5: 7e37e7 bbci a3, 30, 8e6690 008e66a8 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:62 8e66a8: 283000 l8ui a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:64 8e66ab: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:65 8e66ae: c88b beqz.n a8, 8e66bd /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:66 8e66b0: 283001 l8ui a8, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:67 8e66b3: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:68 8e66b5: 28a401 s8i a8, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:69 8e66b8: b2aa addi.n a10, a10, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:70 8e66ba: 658fd2 bnez a8, 8e6690 008e66bd : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:71 8e66bd: d10f retw.n 008e66bf : ... 008e66c0 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:87 8e66c0: c080 movi.n a8, 0 008e66c2 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:91 8e66c2: 6d8813 loop a8, 8e66d9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:92 8e66c5: 8830 l32i.n a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:93 8e66c7: b433 addi.n a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:94 8e66c9: 74800f bnone a8, a4, 8e66dc /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:95 8e66cc: 758014 bnone a8, a5, 8e66e4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:96 8e66cf: 768019 bnone a8, a6, 8e66ec /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:97 8e66d2: 98a0 s32i.n a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:98 8e66d4: 778001 bnone a8, a7, 8e66d9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:99 8e66d7: b4aa addi.n a10, a10, 4 008e66d9 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:115 8e66d9: d10f retw.n 008e66db : ... 008e66dc : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:119 8e66dc: c080 movi.n a8, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:121 8e66de: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:122 8e66e1: d10f retw.n 008e66e3 : ... 008e66e4 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:126 8e66e4: 08085f extui a8, a8, 16, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:128 8e66e7: 28a500 s16i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:129 8e66ea: d10f retw.n 008e66ec : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:133 8e66ec: 08085f extui a8, a8, 16, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:135 8e66ef: 28a500 s16i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:136 8e66f2: c080 movi.n a8, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:137 8e66f4: 28a402 s8i a8, a10, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:138 8e66f7: d10f retw.n 008e66f9 : 8e66f9: 000000 ... 008e66fc : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:157 8e66fc: c080 movi.n a8, 0 008e66fe : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:161 8e66fe: 6d880c loop a8, 8e670e /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:163 8e6701: 283000 l8ui a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:164 8e6704: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:165 8e6706: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:166 8e6709: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:168 8e670b: 648fff beqz a8, 8e670e 008e670e : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strcpy.S:172 8e670e: d10f retw.n 008e6710 : strlen(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:35 8e6710: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:38 8e6713: 232cfc addi a3, a2, -4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:39 8e6716: 1401c0 l32r a4, 4e8700 <_lit4_start+0x700> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:40 8e6719: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:41 8e671c: 16018a l32r a6, 4e8628 <_lit4_start+0x628> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:42 8e671f: 270aff movi a7, 255 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:43 8e6722: 7f2f06 bbsi a2, 31, 8e672c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:44 8e6725: 7e2f0d bbsi a2, 30, 8e6736 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:45 8e6728: 60001c j 8e6748 008e672b : ... 008e672c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:48 8e672c: 283004 l8ui a8, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:49 8e672f: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:50 8e6731: ca88 beqz.n a8, 8e675d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:51 8e6733: 7e3711 bbci a3, 30, 8e6748 008e6736 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:54 8e6736: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:55 8e6738: 8830 l32i.n a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:56 8e673a: 76802e bnone a8, a6, 8e676c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:57 8e673d: 778807 bany a8, a7, 8e6748 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:60 8e6740: b333 addi.n a3, a3, 3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:61 8e6742: 02320c sub a2, a3, a2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:62 8e6745: d10f retw.n 008e6747 : ... 008e6748 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:80 8e6748: c080 movi.n a8, 0 008e674a : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:84 8e674a: 6d880f loop a8, 8e675d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:86 8e674d: 8831 l32i.n a8, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:87 8e674f: b433 addi.n a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:88 8e6751: 74800a bnone a8, a4, 8e675f /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:89 8e6754: 75800c bnone a8, a5, 8e6764 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:90 8e6757: 768011 bnone a8, a6, 8e676c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:92 8e675a: 7780ff bnone a8, a7, 8e675d 008e675d : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:98 8e675d: b333 addi.n a3, a3, 3 008e675f : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:102 8e675f: 02320c sub a2, a3, a2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:103 8e6762: d10f retw.n 008e6764 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:106 8e6764: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:107 8e6766: 02320c sub a2, a3, a2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:108 8e6769: d10f retw.n 008e676b : ... 008e676c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:111 8e676c: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:112 8e676e: 02320c sub a2, a3, a2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strlen.S:113 8e6771: d10f retw.n 008e6773 : ... 008e6774 : strncmp(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:66 8e6774: 6c1004 entry a1, 32 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:84 8e6777: 64407f beqz a4, 8e67fa /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:88 8e677a: 023502 or a5, a3, a2 8e677d: 050541 extui a5, a5, 0, 2 8e6780: 645040 beqz a5, 8e67c4 008e6783 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:111 8e6783: 272000 l8ui a7, a2, 0 8e6786: 283000 l8ui a8, a3, 0 8e6789: b044 addi.n a4, a4, -1 8e678b: 684025 beqi a4, -1, 8e67b4 8e678e: 787922 bne a7, a8, 8e67b4 8e6791: 6d0816 loop a0, 8e67ab /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:115 8e6794: d640 mov.n a6, a4 8e6796: c944 beqz.n a4, 8e67ae 8e6798: c972 beqz.n a7, 8e67ae /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:117 8e679a: b044 addi.n a4, a4, -1 8e679c: b133 addi.n a3, a3, 1 8e679e: b122 addi.n a2, a2, 1 8e67a0: c965 beqz.n a6, 8e67b9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:111 8e67a2: 272000 l8ui a7, a2, 0 8e67a5: 283000 l8ui a8, a3, 0 8e67a8: 778908 bne a8, a7, 8e67b4 008e67ab : 8e67ab: 63ffe2 j 8e6791 008e67ae : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:120 8e67ae: c020 movi.n a2, 0 8e67b0: d10f retw.n 008e67b2 : ... 008e67b4 : 8e67b4: 08720c sub a2, a7, a8 8e67b7: d10f retw.n 008e67b9 : 8e67b9: 253000 l8ui a5, a3, 0 8e67bc: 222000 l8ui a2, a2, 0 8e67bf: 05220c sub a2, a2, a5 8e67c2: d10f retw.n 008e67c4 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:93 8e67c4: 6e44bb bltui a4, 4, 8e6783 8e67c7: 8720 l32i.n a7, a2, 0 8e67c9: 8630 l32i.n a6, a3, 0 8e67cb: 7769b4 bne a6, a7, 8e6783 8e67ce: 1901c4 l32r a9, 4e8710 <_lit4_start+0x710> 8e67d1: 1801c5 l32r a8, 4e8714 <_lit4_start+0x714> 8e67d4: c7af movi.n a10, -1 8e67d6: 600006 j 8e67e0 008e67d9 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:103 8e67d9: 8720 l32i.n a7, a2, 0 8e67db: 8b30 l32i.n a11, a3, 0 8e67dd: 77b9a2 bne a11, a7, 8e6783 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:95 8e67e0: 244cfc addi a4, a4, -4 8e67e3: c947 beqz.n a4, 8e67fe /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:99 8e67e5: 0a7d03 xor a13, a7, a10 8e67e8: a97c add.n a12, a7, a9 8e67ea: 0dcc01 and a12, a12, a13 8e67ed: 7c880d bany a8, a12, 8e67fe /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:103 8e67f0: b433 addi.n a3, a3, 4 8e67f2: b422 addi.n a2, a2, 4 8e67f4: 6f44e1 bgeui a4, 4, 8e67d9 8e67f7: 63ff88 j 8e6783 008e67fa : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/strncmp.c:120 8e67fa: c020 movi.n a2, 0 8e67fc: d10f retw.n 008e67fe : 8e67fe: c020 movi.n a2, 0 8e6800: d10f retw.n 008e6802 : ... 008e6804 <__strncpy_aux>: __strncpy_aux(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:35 8e6804: 283000 l8ui a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:36 8e6807: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:37 8e6809: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:38 8e680c: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:39 8e680e: ca47 beqz.n a4, 8e6839 <__strncpy_aux+0x35> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:40 8e6810: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:41 8e6812: 648048 beqz a8, 8e685e /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:42 8e6815: 7e373d bbci a3, 30, 8e6856 008e6818 <__strncpy_aux+0x14>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:45 8e6818: 283000 l8ui a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:46 8e681b: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:47 8e681d: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:48 8e6820: c945 beqz.n a4, 8e6839 <__strncpy_aux+0x35> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:49 8e6822: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:50 8e6824: cb86 beqz.n a8, 8e685e /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:51 8e6826: 283001 l8ui a8, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:52 8e6829: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:53 8e682b: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:54 8e682e: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:55 8e6830: c845 beqz.n a4, 8e6839 <__strncpy_aux+0x35> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:56 8e6832: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:57 8e6834: cd8e bnez.n a8, 8e6856 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:58 8e6836: 600024 j 8e685e 008e6839 <__strncpy_aux+0x35>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:61 8e6839: d10f retw.n 008e683b <__strncpy_aux+0x37>: ... 008e683c : strncpy(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:68 8e683c: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:71 8e683f: da20 mov.n a10, a2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:72 8e6841: 644ff4 beqz a4, 8e6839 <__strncpy_aux+0x35> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:74 8e6844: 1b01c0 l32r a11, 4e8700 <_lit4_start+0x700> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:75 8e6847: 1501c1 l32r a5, 4e8704 <_lit4_start+0x704> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:76 8e684a: 16018a l32r a6, 4e8628 <_lit4_start+0x628> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:77 8e684d: 270aff movi a7, 255 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:78 8e6850: 7f3fb0 bbsi a3, 31, 8e6804 <__strncpy_aux> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:79 8e6853: 7e3fc1 bbsi a3, 30, 8e6818 <__strncpy_aux+0x14> 008e6856 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:83 8e6856: c083 movi.n a8, 3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:84 8e6858: 78a054 bnone a10, a8, 8e68b0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:86 8e685b: 6000a5 j 8e6904 008e685e : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:92 8e685e: c090 movi.n a9, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:93 8e6860: 7faf28 bbsi a10, 31, 8e688c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:94 8e6863: 7eaf32 bbsi a10, 30, 8e6899 008e6866 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:96 8e6866: 6a4413 blti a4, 4, 8e687d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:101 8e6869: 042812 srai a8, a4, 2 8e686c: d30f nop.n /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:102 8e686e: 6d8803 loop a8, 8e6875 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:103 8e6871: 99a0 s32i.n a9, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:104 8e6873: b4aa addi.n a10, a10, 4 008e6875 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:106 8e6875: 0e8811 slli a8, a8, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:107 8e6878: 08440c sub a4, a4, a8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:118 8e687b: c849 beqz.n a4, 8e6888 008e687d : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:122 8e687d: 29a400 s8i a9, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:123 8e6880: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:124 8e6882: 2aac01 addi a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:125 8e6885: 654ff4 bnez a4, 8e687d 008e6888 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:127 8e6888: d10f retw.n 008e688a : ... 008e688c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:130 8e688c: 29a400 s8i a9, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:131 8e688f: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:132 8e6891: 644ff3 beqz a4, 8e6888 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:133 8e6894: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:134 8e6896: 7ea7cc bbci a10, 30, 8e6866 008e6899 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:137 8e6899: 29a400 s8i a9, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:138 8e689c: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:139 8e689e: 644fe6 beqz a4, 8e6888 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:140 8e68a1: 29a401 s8i a9, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:141 8e68a4: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:142 8e68a6: 644fde beqz a4, 8e6888 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:143 8e68a9: b2aa addi.n a10, a10, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:144 8e68ab: 63ffb7 j 8e6866 008e68ae : ... 008e68b0 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:162 8e68b0: c080 movi.n a8, 0 008e68b2 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:166 8e68b2: 6d8819 loop a8, 8e68cf /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:167 8e68b5: 6a454b blti a4, 5, 8e6904 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:168 8e68b8: 8830 l32i.n a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:169 8e68ba: b433 addi.n a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:170 8e68bc: 7b8012 bnone a8, a11, 8e68d2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:171 8e68bf: 75801d bnone a8, a5, 8e68e0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:172 8e68c2: 76802a bnone a8, a6, 8e68f0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:173 8e68c5: 98a0 s32i.n a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:174 8e68c7: 244cfc addi a4, a4, -4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:175 8e68ca: b4aa addi.n a10, a10, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:176 8e68cc: 77808e bnone a8, a7, 8e685e 008e68cf : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:193 8e68cf: 63ff8b j 8e685e 008e68d2 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:197 8e68d2: c080 movi.n a8, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:199 8e68d4: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:200 8e68d7: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:201 8e68d9: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:202 8e68db: 63ff7f j 8e685e 008e68de : ... 008e68e0 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:206 8e68e0: 08085f extui a8, a8, 16, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:208 8e68e3: 28a500 s16i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:209 8e68e6: 244cfe addi a4, a4, -2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:210 8e68e9: b2aa addi.n a10, a10, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:211 8e68eb: 63ff6f j 8e685e 008e68ee : ... 008e68f0 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:215 8e68f0: 08085f extui a8, a8, 16, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:217 8e68f3: 28a500 s16i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:218 8e68f6: c080 movi.n a8, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:219 8e68f8: 28a402 s8i a8, a10, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:220 8e68fb: 244cfd addi a4, a4, -3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:221 8e68fe: b3aa addi.n a10, a10, 3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:222 8e6900: 63ff5a j 8e685e 008e6903 : ... 008e6904 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:238 8e6904: c080 movi.n a8, 0 008e6906 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:242 8e6906: 6d8810 loop a8, 8e691a /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:244 8e6909: 283000 l8ui a8, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:245 8e690c: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:246 8e690e: 28a400 s8i a8, a10, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:247 8e6911: b044 addi.n a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:248 8e6913: c846 beqz.n a4, 8e691d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:249 8e6915: b1aa addi.n a10, a10, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:251 8e6917: 648fff beqz a8, 8e691a 008e691a : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:255 8e691a: 63ff40 j 8e685e 008e691d : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/strncpy.S:257 8e691d: d10f retw.n 008e691f : ... 008e6920 <_xtos_unhandled_interrupt>: _xtos_unhandled_interrupt(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:102 8e6920: 6c1002 entry a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:108 8e6923: 0f1400 break 1, 15 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/interrupt-table.S:115 8e6926: d10f retw.n 008e6928 <_xtos_unhandled_exception>: _xtos_unhandled_exception(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:49 8e6928: 8214 l32i.n a2, a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:50 8e692a: 8315 l32i.n a3, a1, 20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:52 8e692c: 211c70 addi a1, a1, 112 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:54 8e692f: 011400 break 1, 1 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-unhandled.S:61 8e6932: 000300 rfe 008e6935 <_xtos_unhandled_exception+0xd>: 8e6935: 000000 ... 008e6938 <_xtos_return_from_exc>: _xtos_return_from_exc(): 8e6938: 8251 l32i.n a2, a5, 4 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:62 8e693a: 8350 l32i.n a3, a5, 0 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:63 8e693c: 02e631 wsr.ps a2 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:72 8e693f: 8254 l32i.n a2, a5, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:73 8e6941: 8456 l32i.n a4, a5, 24 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:74 8e6943: 010200 rsync /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:88 8e6946: 03b131 wsr.epc1 a3 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:96 8e6949: 8355 l32i.n a3, a5, 20 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:97 8e694b: 8557 l32i.n a5, a5, 28 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-return.S:99 8e694d: 000300 rfe 008e6950 <_xtos_p_none>: _xtos_p_none(): /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-table.S:42 8e6950: 6c1002 entry a1, 16 /folks/rhsu/project/p4v/perforce/p0_core/project/src/rom/os/src/xtos/exc-table.S:44 8e6953: d10f retw.n 008e6955 <_xtos_p_none+0x5>: 8e6955: 000000 ... 008e6958 : xthal_get_ccount(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:43 8e6958: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:45 8e695b: 02ea30 rsr.ccount a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:59 8e695e: d10f retw.n 008e6960 : xthal_set_ccompare(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:72 8e6960: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:74 8e6963: 652005 bnez a2, 8e696c /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:75 8e6966: 03f031 wsr.ccompare0 a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:85 8e6969: 000200 isync 008e696c : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:89 8e696c: d10f retw.n 008e696e : ... 008e6970 : xthal_get_ccompare(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:98 8e6970: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:100 8e6973: cc23 bnez.n a2, 8e697a /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:101 8e6975: 02f030 rsr.ccompare0 a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:102 8e6978: d10f retw.n 008e697a : /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:114 8e697a: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/clock.S:116 8e697c: d10f retw.n 008e697e : ... 008e6980 : xthal_get_intenable(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:63 8e6980: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:65 8e6983: 02e430 rsr.intenable a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:69 8e6986: d10f retw.n 008e6988 : xthal_get_intread(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:102 8e6988: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:104 8e698b: 02e230 rsr.interrupt a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:108 8e698e: d10f retw.n 008e6990 : xthal_set_intenable(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:80 8e6990: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:82 8e6993: 02e431 wsr.intenable a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/hal/int_asm.S:84 8e6996: d10f retw.n 008e6998 <__divsi3>: __divsi3(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:587 8e6998: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:591 8e699b: 032703 xor a7, a2, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:592 8e699e: 021606 abs a6, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:593 8e69a1: 031306 abs a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:594 8e69a4: 6e3234 bltui a3, 2, 8e69dc <__divsi3+0x44> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:604 8e69a7: 061514 srli a5, a6, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:605 8e69aa: 73533a bltu a5, a3, 8e69e8 <__divsi3+0x50> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:606 8e69ad: 056f04 nsau a5, a6 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:607 8e69b0: 043f04 nsau a4, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:610 8e69b3: 05440c sub a4, a4, a5 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:611 8e69b6: 004104 ssl a4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:612 8e69b9: 00331a sll a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:613 8e69bc: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:617 8e69be: 6d490d loopnez a4, 8e69cf <__divsi3+0x37> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:620 8e69c1: 736304 bltu a6, a3, 8e69c9 <__divsi3+0x31> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:621 8e69c4: 03660c sub a6, a6, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:622 8e69c7: b122 addi.n a2, a2, 1 008e69c9 <__divsi3+0x31>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:624 8e69c9: 0f2211 slli a2, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:625 8e69cc: 031314 srli a3, a3, 1 008e69cf <__divsi3+0x37>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:632 8e69cf: 736301 bltu a6, a3, 8e69d4 <__divsi3+0x3c> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:633 8e69d2: b122 addi.n a2, a2, 1 008e69d4 <__divsi3+0x3c>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:635 8e69d4: 020506 neg a5, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:636 8e69d7: 07523a movltz a2, a5, a7 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:637 8e69da: d10f retw.n 008e69dc <__divsi3+0x44>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:640 8e69dc: c935 beqz.n a3, 8e69f5 <__divsi3+0x5d> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:641 8e69de: 060206 neg a2, a6 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:642 8e69e1: 07623b movgez a2, a6, a7 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:643 8e69e4: d10f retw.n 008e69e6 <__divsi3+0x4e>: ... 008e69e8 <__divsi3+0x50>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:646 8e69e8: 736310 bltu a6, a3, 8e69fc <__divsi3+0x64> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:647 8e69eb: c021 movi.n a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:648 8e69ed: c74f movi.n a4, -1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:649 8e69ef: 07423a movltz a2, a4, a7 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:650 8e69f2: d10f retw.n 008e69f4 <__divsi3+0x5c>: ... 008e69f5 <__divsi3+0x5d>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:656 8e69f5: 000000 ill 008e69f8 <__divsi3+0x60>: 8e69f8: 44495630 DIV0 008e69fc <__divsi3+0x64>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:660 8e69fc: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:662 8e69fe: d10f retw.n 008e6a00 <__modsi3>: __modsi3(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:731 8e6a00: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:735 8e6a03: 022702 or a7, a2, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:736 8e6a06: 021206 abs a2, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:737 8e6a09: 031306 abs a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:738 8e6a0c: 6e322c bltui a3, 2, 8e6a3c <__modsi3+0x3c> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:739 8e6a0f: 052f04 nsau a5, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:740 8e6a12: 043f04 nsau a4, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:741 8e6a15: 745b14 bgeu a5, a4, 8e6a2d <__modsi3+0x2d> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:743 8e6a18: 05440c sub a4, a4, a5 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:744 8e6a1b: 004104 ssl a4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:745 8e6a1e: 00331a sll a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:749 8e6a21: 6d4908 loopnez a4, 8e6a2d <__modsi3+0x2d> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:752 8e6a24: 732302 bltu a2, a3, 8e6a2a <__modsi3+0x2a> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:753 8e6a27: 03220c sub a2, a2, a3 008e6a2a <__modsi3+0x2a>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:755 8e6a2a: 031314 srli a3, a3, 1 008e6a2d <__modsi3+0x2d>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:763 8e6a2d: 732302 bltu a2, a3, 8e6a33 <__modsi3+0x33> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:764 8e6a30: 03220c sub a2, a2, a3 008e6a33 <__modsi3+0x33>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:766 8e6a33: 677002 bgez a7, 8e6a39 <__modsi3+0x39> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:767 8e6a36: 020206 neg a2, a2 008e6a39 <__modsi3+0x39>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:769 8e6a39: d10f retw.n 008e6a3b <__modsi3+0x3b>: ... 008e6a3c <__modsi3+0x3c>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:772 8e6a3c: cc35 bnez.n a3, 8e6a45 <__modsi3+0x45> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:777 8e6a3e: 000000 ill 008e6a41 <__modsi3+0x41>: 8e6a41: 44495630 DIV0 008e6a45 <__modsi3+0x45>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:781 8e6a45: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:783 8e6a47: d10f retw.n 008e6a49 <__modsi3+0x49>: 8e6a49: 000000 ... 008e6a4c <__udivsi3>: __udivsi3(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:518 8e6a4c: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:522 8e6a4f: 6e322f bltui a3, 2, 8e6a82 <__udivsi3+0x36> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:524 8e6a52: d620 mov.n a6, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:525 8e6a54: 056f04 nsau a5, a6 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:526 8e6a57: 043f04 nsau a4, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:527 8e6a5a: 745b2a bgeu a5, a4, 8e6a88 <__udivsi3+0x3c> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:529 8e6a5d: 05440c sub a4, a4, a5 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:530 8e6a60: 004104 ssl a4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:531 8e6a63: 00331a sll a3, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:532 8e6a66: 220a00 movi a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:536 8e6a69: 6d490d loopnez a4, 8e6a7a <__udivsi3+0x2e> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:539 8e6a6c: 736304 bltu a6, a3, 8e6a74 <__udivsi3+0x28> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:540 8e6a6f: 03660c sub a6, a6, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:541 8e6a72: b122 addi.n a2, a2, 1 008e6a74 <__udivsi3+0x28>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:543 8e6a74: 0f2211 slli a2, a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:544 8e6a77: 031314 srli a3, a3, 1 008e6a7a <__udivsi3+0x2e>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:551 8e6a7a: 736302 bltu a6, a3, 8e6a80 <__udivsi3+0x34> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:552 8e6a7d: 222c01 addi a2, a2, 1 008e6a80 <__udivsi3+0x34>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:554 8e6a80: d10f retw.n 008e6a82 <__udivsi3+0x36>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:557 8e6a82: c83b beqz.n a3, 8e6a91 <__udivsi3+0x45> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:558 8e6a84: d10f retw.n 008e6a86 <__udivsi3+0x3a>: ... 008e6a88 <__udivsi3+0x3c>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:562 8e6a88: 73630c bltu a6, a3, 8e6a98 <__udivsi3+0x4c> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:563 8e6a8b: c021 movi.n a2, 1 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:564 8e6a8d: d10f retw.n 008e6a8f <__udivsi3+0x43>: ... 008e6a91 <__udivsi3+0x45>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:570 8e6a91: 000000 ill 008e6a94 <__udivsi3+0x48>: 8e6a94: 44495630 DIV0 008e6a98 <__udivsi3+0x4c>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:574 8e6a98: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:576 8e6a9a: d10f retw.n 008e6a9c <__umodsi3>: __umodsi3(): /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:673 8e6a9c: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:677 8e6a9f: 6e3229 bltui a3, 2, 8e6acc <__umodsi3+0x30> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:679 8e6aa2: 052f04 nsau a5, a2 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:680 8e6aa5: 043f04 nsau a4, a3 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:681 8e6aa8: 745b16 bgeu a5, a4, 8e6ac2 <__umodsi3+0x26> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:683 8e6aab: 05440c sub a4, a4, a5 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:684 8e6aae: 004104 ssl a4 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:685 8e6ab1: 00331a sll a3, a3 8e6ab4: d30f nop.n /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:689 8e6ab6: 6d4908 loopnez a4, 8e6ac2 <__umodsi3+0x26> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:692 8e6ab9: 732302 bltu a2, a3, 8e6abf <__umodsi3+0x23> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:693 8e6abc: 03220c sub a2, a2, a3 008e6abf <__umodsi3+0x23>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:695 8e6abf: 031314 srli a3, a3, 1 008e6ac2 <__umodsi3+0x26>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:703 8e6ac2: 732302 bltu a2, a3, 8e6ac8 <__umodsi3+0x2c> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:704 8e6ac5: 03220c sub a2, a2, a3 008e6ac8 <__umodsi3+0x2c>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:706 8e6ac8: d10f retw.n 008e6aca <__umodsi3+0x2e>: ... 008e6acc <__umodsi3+0x30>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:709 8e6acc: cc35 bnez.n a3, 8e6ad5 <__umodsi3+0x39> /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:714 8e6ace: 000000 ill 008e6ad1 <__umodsi3+0x35>: 8e6ad1: 44495630 DIV0 008e6ad5 <__umodsi3+0x39>: /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:718 8e6ad5: c020 movi.n a2, 0 /home/customer/tree/RB-2007.2/tools/swtools-x86-linux/xtensa-elf/src/libgcc-xcc/config/xtensa/lib1funcs.asm:720 8e6ad7: d10f retw.n 008e6ad9 <__umodsi3+0x3d>: 8e6ad9: 000000 ... 008e6adc : memcmp(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:57 8e6adc: 6c1004 entry a1, 32 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:81 8e6adf: 6e441b bltui a4, 4, 8e6afe 8e6ae2: 023502 or a5, a3, a2 8e6ae5: 050541 extui a5, a5, 0, 2 8e6ae8: cd52 bnez.n a5, 8e6afe /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:86 8e6aea: 042814 srli a8, a4, 2 8e6aed: 6d890d loopnez a8, 8e6afe /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:89 8e6af0: 8a30 l32i.n a10, a3, 0 8e6af2: 8920 l32i.n a9, a2, 0 8e6af4: 7a9906 bne a9, a10, 8e6afe /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:93 8e6af7: b422 addi.n a2, a2, 4 8e6af9: b433 addi.n a3, a3, 4 8e6afb: 244cfc addi a4, a4, -4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:102 8e6afe: d640 mov.n a6, a4 8e6b00: b044 addi.n a4, a4, -1 8e6b02: 684011 beqi a4, -1, 8e6b17 8e6b05: 6d690e loopnez a6, 8e6b17 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:104 8e6b08: 273000 l8ui a7, a3, 0 8e6b0b: 282000 l8ui a8, a2, 0 8e6b0e: b122 addi.n a2, a2, 1 8e6b10: b133 addi.n a3, a3, 1 8e6b12: 778906 bne a8, a7, 8e6b1c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:102 8e6b15: b044 addi.n a4, a4, -1 008e6b17 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memcmp.c:110 8e6b17: c020 movi.n a2, 0 8e6b19: d10f retw.n 008e6b1b : ... 008e6b1c : 8e6b1c: 07820c sub a2, a8, a7 8e6b1f: d10f retw.n 008e6b21 : 8e6b21: 000000 ... 008e6b24 <__memcpy_aux>: ... 008e6b25 <__memcpy_aux+0x1>: __memcpy_aux(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:71 8e6b25: 6d4909 loopnez a4, 8e6b32 <__memcpy_aux+0xe> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:76 8e6b28: 263000 l8ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:77 8e6b2b: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:78 8e6b2d: 265400 s8i a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:79 8e6b30: b155 addi.n a5, a5, 1 008e6b32 <__memcpy_aux+0xe>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:83 8e6b32: d10f retw.n 008e6b34 <__memcpy_aux+0x10>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:92 8e6b34: 6e47ed bltui a4, 7, 8e6b25 <__memcpy_aux+0x1> 008e6b37 <__memcpy_aux+0x13>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:95 8e6b37: 263000 l8ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:96 8e6b3a: b133 addi.n a3, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:97 8e6b3c: 244cff addi a4, a4, -1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:98 8e6b3f: 265400 s8i a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:99 8e6b42: 255c01 addi a5, a5, 1 008e6b45 <__memcpy_aux+0x21>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:102 8e6b45: 7e5727 bbci a5, 30, 8e6b70 008e6b48 <__memcpy_aux+0x24>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:107 8e6b48: 6e46d9 bltui a4, 6, 8e6b25 <__memcpy_aux+0x1> 008e6b4b <__memcpy_aux+0x27>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:110 8e6b4b: 263000 l8ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:111 8e6b4e: 273001 l8ui a7, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:112 8e6b51: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:113 8e6b53: 244cfe addi a4, a4, -2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:114 8e6b56: 265400 s8i a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:115 8e6b59: 275401 s8i a7, a5, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:116 8e6b5c: b255 addi.n a5, a5, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:119 8e6b5e: 60000e j 8e6b70 008e6b61 <__memcpy_aux+0x3d>: 8e6b61: 000000 ... 008e6b64 : memcpy(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:126 8e6b64: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:129 8e6b67: 022502 or a5, a2, a2 008e6b6a : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:130 8e6b6a: 7f2fc6 bbsi a2, 31, 8e6b34 <__memcpy_aux+0x10> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:131 8e6b6d: 7e2fd7 bbsi a2, 30, 8e6b48 <__memcpy_aux+0x24> 008e6b70 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:135 8e6b70: 044714 srli a7, a4, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:138 8e6b73: 280a03 movi a8, 3 008e6b76 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:145 8e6b76: 78385a bany a3, a8, 8e6bd4 008e6b79 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:150 8e6b79: 6d7915 loopnez a7, 8e6b92 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:156 8e6b7c: 8630 l32i.n a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:157 8e6b7e: 8731 l32i.n a7, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:158 8e6b80: 9650 s32i.n a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:159 8e6b82: 8632 l32i.n a6, a3, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:160 8e6b84: 9751 s32i.n a7, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:161 8e6b86: 8733 l32i.n a7, a3, 12 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:162 8e6b88: 9652 s32i.n a6, a5, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:163 8e6b8a: 233c10 addi a3, a3, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:164 8e6b8d: 9753 s32i.n a7, a5, 12 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:165 8e6b8f: 255c10 addi a5, a5, 16 008e6b92 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:171 8e6b92: 7c470b bbci a4, 28, 8e6ba1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:174 8e6b95: 8630 l32i.n a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:175 8e6b97: 8731 l32i.n a7, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:176 8e6b99: b833 addi.n a3, a3, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:177 8e6b9b: 9650 s32i.n a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:178 8e6b9d: 9751 s32i.n a7, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:179 8e6b9f: b855 addi.n a5, a5, 8 008e6ba1 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:181 8e6ba1: 7d4f07 bbsi a4, 29, 8e6bac /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:182 8e6ba4: 7e4f14 bbsi a4, 30, 8e6bbc /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:183 8e6ba7: 7f4f21 bbsi a4, 31, 8e6bcc /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:184 8e6baa: d10f retw.n 008e6bac : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:195 8e6bac: 8630 l32i.n a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:196 8e6bae: b433 addi.n a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:197 8e6bb0: 9650 s32i.n a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:198 8e6bb2: b455 addi.n a5, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:199 8e6bb4: 7e4f04 bbsi a4, 30, 8e6bbc /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:200 8e6bb7: 7f4f11 bbsi a4, 31, 8e6bcc /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:201 8e6bba: d10f retw.n 008e6bbc : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:204 8e6bbc: 263100 l16ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:205 8e6bbf: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:206 8e6bc1: 265500 s16i a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:207 8e6bc4: b255 addi.n a5, a5, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:208 8e6bc6: 7f4f02 bbsi a4, 31, 8e6bcc /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:209 8e6bc9: d10f retw.n 008e6bcb : ... 008e6bcc : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:212 8e6bcc: 263000 l8ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:213 8e6bcf: 265400 s8i a6, a5, 0 008e6bd2 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:216 8e6bd2: d10f retw.n 008e6bd4 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:224 8e6bd4: 644ffa beqz a4, 8e6bd2 008e6bd7 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:228 8e6bd7: 003304 ssa8b a3 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:230 8e6bda: 083b01 and a11, a3, a8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:231 8e6bdd: 0b330c sub a3, a3, a11 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:233 8e6be0: 8630 l32i.n a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:235 8e6be2: 6d7921 loopnez a7, 8e6c07 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:241 8e6be5: 8731 l32i.n a7, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:242 8e6be7: 8832 l32i.n a8, a3, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:243 8e6be9: 076618 src a6, a6, a7 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:244 8e6bec: 9650 s32i.n a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:245 8e6bee: 8933 l32i.n a9, a3, 12 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:246 8e6bf0: 087718 src a7, a7, a8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:247 8e6bf3: 9751 s32i.n a7, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:248 8e6bf5: 8634 l32i.n a6, a3, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:249 8e6bf7: 098818 src a8, a8, a9 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:250 8e6bfa: 9852 s32i.n a8, a5, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:251 8e6bfc: 233c10 addi a3, a3, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:252 8e6bff: 069918 src a9, a9, a6 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:253 8e6c02: 9953 s32i.n a9, a5, 12 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:254 8e6c04: 255c10 addi a5, a5, 16 008e6c07 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:259 8e6c07: 7c4715 bbci a4, 28, 8e6c20 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:262 8e6c0a: 8731 l32i.n a7, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:263 8e6c0c: 8832 l32i.n a8, a3, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:264 8e6c0e: 076618 src a6, a6, a7 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:265 8e6c11: 9650 s32i.n a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:266 8e6c13: b833 addi.n a3, a3, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:267 8e6c15: 087718 src a7, a7, a8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:268 8e6c18: 9751 s32i.n a7, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:269 8e6c1a: 255c08 addi a5, a5, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:270 8e6c1d: 088602 or a6, a8, a8 008e6c20 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:272 8e6c20: 7d470c bbci a4, 29, 8e6c30 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:275 8e6c23: 8731 l32i.n a7, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:276 8e6c25: b433 addi.n a3, a3, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:277 8e6c27: 076618 src a6, a6, a7 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:278 8e6c2a: 9650 s32i.n a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:279 8e6c2c: b455 addi.n a5, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:280 8e6c2e: d670 mov.n a6, a7 008e6c30 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:283 8e6c30: ab33 add.n a3, a3, a11 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:285 8e6c32: 7e4f06 bbsi a4, 30, 8e6c3c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:286 8e6c35: 7f4f18 bbsi a4, 31, 8e6c51 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:287 8e6c38: d10f retw.n 008e6c3a : ... 008e6c3c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:290 8e6c3c: 263000 l8ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:291 8e6c3f: 273001 l8ui a7, a3, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:292 8e6c42: b233 addi.n a3, a3, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:293 8e6c44: 265400 s8i a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:294 8e6c47: 275401 s8i a7, a5, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:295 8e6c4a: b255 addi.n a5, a5, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:296 8e6c4c: 7f4f01 bbsi a4, 31, 8e6c51 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:297 8e6c4f: d10f retw.n 008e6c51 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:300 8e6c51: 263000 l8ui a6, a3, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:301 8e6c54: 265400 s8i a6, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memcpy.S:302 8e6c57: d10f retw.n 008e6c59 : 8e6c59: 000000 ... 008e6c5c : memmove(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:62 8e6c5c: 6c1004 entry a1, 32 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:93 8e6c5f: d820 mov.n a8, a2 8e6c61: d940 mov.n a9, a4 8e6c63: da40 mov.n a10, a4 8e6c65: d730 mov.n a7, a3 8e6c67: d320 mov.n a3, a2 8e6c69: 727b1b bgeu a7, a2, 8e6c88 8e6c6c: a742 add.n a2, a4, a7 8e6c6e: 723b16 bgeu a3, a2, 8e6c88 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:97 8e6c71: a843 add.n a3, a4, a8 8e6c73: 6440a3 beqz a4, 8e6d1a 8e6c76: 6d4909 loopnez a4, 8e6c83 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:100 8e6c79: b022 addi.n a2, a2, -1 8e6c7b: b033 addi.n a3, a3, -1 8e6c7d: 242000 l8ui a4, a2, 0 8e6c80: 243400 s8i a4, a3, 0 008e6c83 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:141 8e6c83: d280 mov.n a2, a8 8e6c85: d10f retw.n 008e6c87 : ... 008e6c88 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:108 8e6c88: 6e9b08 bltui a9, 16, 8e6c94 8e6c8b: 087b02 or a11, a7, a8 8e6c8e: 0b0b41 extui a11, a11, 0, 2 8e6c91: 64b089 beqz a11, 8e6d1e 008e6c94 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:135 8e6c94: b0ac addi.n a12, a10, -1 8e6c96: 69c002 bnei a12, -1, 8e6c9c 8e6c99: 60007d j 8e6d1a 8e6c9c: 0a4212 srai a2, a10, 4 8e6c9f: 0a0d43 extui a13, a10, 0, 4 8e6ca2: 6dda09 loopgtz a13, 8e6caf /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:137 8e6ca5: 2e7000 l8ui a14, a7, 0 8e6ca8: 2e3400 s8i a14, a3, 0 8e6cab: b177 addi.n a7, a7, 1 8e6cad: b133 addi.n a3, a3, 1 008e6caf : 8e6caf: d430 mov.n a4, a3 8e6cb1: 6d2a65 loopgtz a2, 8e6d1a 8e6cb4: 227000 l8ui a2, a7, 0 8e6cb7: 224400 s8i a2, a4, 0 8e6cba: 227001 l8ui a2, a7, 1 8e6cbd: 224401 s8i a2, a4, 1 8e6cc0: 227002 l8ui a2, a7, 2 8e6cc3: 224402 s8i a2, a4, 2 8e6cc6: 227003 l8ui a2, a7, 3 8e6cc9: 224403 s8i a2, a4, 3 8e6ccc: 227004 l8ui a2, a7, 4 8e6ccf: 224404 s8i a2, a4, 4 8e6cd2: 227005 l8ui a2, a7, 5 8e6cd5: 224405 s8i a2, a4, 5 8e6cd8: 227006 l8ui a2, a7, 6 8e6cdb: 224406 s8i a2, a4, 6 8e6cde: 227007 l8ui a2, a7, 7 8e6ce1: 224407 s8i a2, a4, 7 8e6ce4: 227008 l8ui a2, a7, 8 8e6ce7: 224408 s8i a2, a4, 8 8e6cea: 227009 l8ui a2, a7, 9 8e6ced: 224409 s8i a2, a4, 9 8e6cf0: 22700a l8ui a2, a7, 10 8e6cf3: 22440a s8i a2, a4, 10 8e6cf6: 22700b l8ui a2, a7, 11 8e6cf9: 22440b s8i a2, a4, 11 8e6cfc: 22700c l8ui a2, a7, 12 8e6cff: 22440c s8i a2, a4, 12 8e6d02: 22700d l8ui a2, a7, 13 8e6d05: 22440d s8i a2, a4, 13 8e6d08: 22700e l8ui a2, a7, 14 8e6d0b: 22440e s8i a2, a4, 14 8e6d0e: 22700f l8ui a2, a7, 15 8e6d11: 22440f s8i a2, a4, 15 8e6d14: 277c10 addi a7, a7, 16 8e6d17: 244c10 addi a4, a4, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:141 8e6d1a: d280 mov.n a2, a8 8e6d1c: d10f retw.n 008e6d1e : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:114 8e6d1e: d380 mov.n a3, a8 8e6d20: 6f9b02 bgeui a9, 16, 8e6d26 8e6d23: 600082 j 8e6da9 8e6d26: c0a1 movi.n a10, 1 8e6d28: 269c0f addi a6, a9, 15 8e6d2b: 09963b movgez a6, a9, a9 8e6d2e: 064612 srai a6, a6, 4 8e6d31: 0a6635 max a6, a6, a10 8e6d34: 060f41 extui a15, a6, 0, 2 8e6d37: 066402 or a4, a6, a6 8e6d3a: 042212 srai a2, a4, 2 8e6d3d: 6dfa15 loopgtz a15, 8e6d56 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:119 8e6d40: 8d70 l32i.n a13, a7, 0 8e6d42: 9d30 s32i.n a13, a3, 0 8e6d44: 8c71 l32i.n a12, a7, 4 8e6d46: 9c31 s32i.n a12, a3, 4 8e6d48: 8b72 l32i.n a11, a7, 8 8e6d4a: 9b32 s32i.n a11, a3, 8 8e6d4c: 8a73 l32i.n a10, a7, 12 8e6d4e: 9a33 s32i.n a10, a3, 12 8e6d50: 277c10 addi a7, a7, 16 8e6d53: 233c10 addi a3, a3, 16 008e6d56 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:114 8e6d56: d430 mov.n a4, a3 8e6d58: 0c6a11 slli a10, a6, 4 8e6d5b: 0a9a0c sub a10, a9, a10 8e6d5e: 6d2a45 loopgtz a2, 8e6da7 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:119 8e6d61: 8270 l32i.n a2, a7, 0 8e6d63: 9240 s32i.n a2, a4, 0 8e6d65: 8271 l32i.n a2, a7, 4 8e6d67: 9241 s32i.n a2, a4, 4 8e6d69: 8272 l32i.n a2, a7, 8 8e6d6b: 9242 s32i.n a2, a4, 8 8e6d6d: 8273 l32i.n a2, a7, 12 8e6d6f: 9243 s32i.n a2, a4, 12 8e6d71: 8274 l32i.n a2, a7, 16 8e6d73: 9244 s32i.n a2, a4, 16 8e6d75: 8275 l32i.n a2, a7, 20 8e6d77: 9245 s32i.n a2, a4, 20 8e6d79: 8276 l32i.n a2, a7, 24 8e6d7b: 9246 s32i.n a2, a4, 24 8e6d7d: 8277 l32i.n a2, a7, 28 8e6d7f: 9247 s32i.n a2, a4, 28 8e6d81: 8278 l32i.n a2, a7, 32 8e6d83: 9248 s32i.n a2, a4, 32 8e6d85: 8279 l32i.n a2, a7, 36 8e6d87: 9249 s32i.n a2, a4, 36 8e6d89: 827a l32i.n a2, a7, 40 8e6d8b: 924a s32i.n a2, a4, 40 8e6d8d: 827b l32i.n a2, a7, 44 8e6d8f: 924b s32i.n a2, a4, 44 8e6d91: 827c l32i.n a2, a7, 48 8e6d93: 924c s32i.n a2, a4, 48 8e6d95: 827d l32i.n a2, a7, 52 8e6d97: 924d s32i.n a2, a4, 52 8e6d99: 827e l32i.n a2, a7, 56 8e6d9b: 924e s32i.n a2, a4, 56 8e6d9d: 827f l32i.n a2, a7, 60 8e6d9f: 924f s32i.n a2, a4, 60 8e6da1: 277c40 addi a7, a7, 64 8e6da4: 244c40 addi a4, a4, 64 008e6da7 : 8e6da7: d340 mov.n a3, a4 008e6da9 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 8e6da9: d6a0 mov.n a6, a10 8e6dab: 6fa402 bgeui a10, 4, 8e6db1 8e6dae: 63fee2 j 8e6c94 8e6db1: 0a2b43 extui a11, a10, 2, 4 8e6db4: 0a2914 srli a9, a10, 2 8e6db7: d490 mov.n a4, a9 8e6db9: 6db907 loopnez a11, 8e6dc4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:126 8e6dbc: 8c70 l32i.n a12, a7, 0 8e6dbe: 9c30 s32i.n a12, a3, 0 8e6dc0: b477 addi.n a7, a7, 4 8e6dc2: b433 addi.n a3, a3, 4 008e6dc4 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 8e6dc4: 0e9a11 slli a10, a9, 2 8e6dc7: 044214 srli a2, a4, 4 8e6dca: 033402 or a4, a3, a3 8e6dcd: 6d2945 loopnez a2, 8e6e16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:126 8e6dd0: 8270 l32i.n a2, a7, 0 8e6dd2: 9240 s32i.n a2, a4, 0 8e6dd4: 8271 l32i.n a2, a7, 4 8e6dd6: 9241 s32i.n a2, a4, 4 8e6dd8: 8272 l32i.n a2, a7, 8 8e6dda: 9242 s32i.n a2, a4, 8 8e6ddc: 8273 l32i.n a2, a7, 12 8e6dde: 9243 s32i.n a2, a4, 12 8e6de0: 8274 l32i.n a2, a7, 16 8e6de2: 9244 s32i.n a2, a4, 16 8e6de4: 8275 l32i.n a2, a7, 20 8e6de6: 9245 s32i.n a2, a4, 20 8e6de8: 8276 l32i.n a2, a7, 24 8e6dea: 9246 s32i.n a2, a4, 24 8e6dec: 8277 l32i.n a2, a7, 28 8e6dee: 9247 s32i.n a2, a4, 28 8e6df0: 8278 l32i.n a2, a7, 32 8e6df2: 9248 s32i.n a2, a4, 32 8e6df4: 8279 l32i.n a2, a7, 36 8e6df6: 9249 s32i.n a2, a4, 36 8e6df8: 827a l32i.n a2, a7, 40 8e6dfa: 924a s32i.n a2, a4, 40 8e6dfc: 827b l32i.n a2, a7, 44 8e6dfe: 924b s32i.n a2, a4, 44 8e6e00: 827c l32i.n a2, a7, 48 8e6e02: 924c s32i.n a2, a4, 48 8e6e04: 827d l32i.n a2, a7, 52 8e6e06: 924d s32i.n a2, a4, 52 8e6e08: 827e l32i.n a2, a7, 56 8e6e0a: 924e s32i.n a2, a4, 56 8e6e0c: 827f l32i.n a2, a7, 60 8e6e0e: 924f s32i.n a2, a4, 60 8e6e10: 277c40 addi a7, a7, 64 8e6e13: 244c40 addi a4, a4, 64 008e6e16 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/string/memmove.c:124 8e6e16: 0a6a0c sub a10, a6, a10 8e6e19: d340 mov.n a3, a4 8e6e1b: 63fe75 j 8e6c94 008e6e1e : ... 008e6e20 <__memset_aux>: ... 008e6e21 <__memset_aux+0x1>: __memset_aux(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:59 8e6e21: 6d4904 loopnez a4, 8e6e29 <__memset_aux+0x9> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:64 8e6e24: 235400 s8i a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:65 8e6e27: b155 addi.n a5, a5, 1 008e6e29 <__memset_aux+0x9>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:69 8e6e29: d10f retw.n 008e6e2b <__memset_aux+0xb>: ... 008e6e2c <__memset_aux+0xc>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:79 8e6e2c: 6e48f1 bltui a4, 8, 8e6e21 <__memset_aux+0x1> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:82 8e6e2f: 235400 s8i a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:83 8e6e32: b155 addi.n a5, a5, 1 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:84 8e6e34: b044 addi.n a4, a4, -1 008e6e36 <__memset_aux+0x16>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:87 8e6e36: 7e5728 bbci a5, 30, 8e6e62 008e6e39 <__memset_aux+0x19>: /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:92 8e6e39: 6e48e4 bltui a4, 8, 8e6e21 <__memset_aux+0x1> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:95 8e6e3c: 235500 s16i a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:96 8e6e3f: b255 addi.n a5, a5, 2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:97 8e6e41: 244cfe addi a4, a4, -2 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:100 8e6e44: 60001a j 8e6e62 008e6e47 <__memset_aux+0x27>: ... 008e6e48 : memset(): /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:107 8e6e48: 6c1002 entry a1, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:111 8e6e4b: 030347 extui a3, a3, 0, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:112 8e6e4e: 083711 slli a7, a3, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:113 8e6e51: 073302 or a3, a3, a7 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:114 8e6e54: 003711 slli a7, a3, 16 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:115 8e6e57: 073302 or a3, a3, a7 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:117 8e6e5a: d520 mov.n a5, a2 008e6e5c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:120 8e6e5c: 7f2fcc bbsi a2, 31, 8e6e2c <__memset_aux+0xc> /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:121 8e6e5f: 7e2fd6 bbsi a2, 30, 8e6e39 <__memset_aux+0x19> 008e6e62 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:125 8e6e62: 044714 srli a7, a4, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:129 8e6e65: 6d790a loopnez a7, 8e6e73 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:136 8e6e68: 9350 s32i.n a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:137 8e6e6a: 9351 s32i.n a3, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:138 8e6e6c: 9352 s32i.n a3, a5, 8 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:139 8e6e6e: 9353 s32i.n a3, a5, 12 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:140 8e6e70: 255c10 addi a5, a5, 16 008e6e73 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:146 8e6e73: 7c4706 bbci a4, 28, 8e6e7d /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:149 8e6e76: 9350 s32i.n a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:150 8e6e78: 9351 s32i.n a3, a5, 4 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:151 8e6e7a: 255c08 addi a5, a5, 8 008e6e7d : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:153 8e6e7d: 7d4703 bbci a4, 29, 8e6e84 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:156 8e6e80: 9350 s32i.n a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:157 8e6e82: b455 addi.n a5, a5, 4 008e6e84 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:159 8e6e84: 7e4704 bbci a4, 30, 8e6e8c /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:162 8e6e87: 235500 s16i a3, a5, 0 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:163 8e6e8a: b255 addi.n a5, a5, 2 008e6e8c : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:165 8e6e8c: 7f4702 bbci a4, 31, 8e6e92 /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:168 8e6e8f: 235400 s8i a3, a5, 0 008e6e92 : /home/customer/tree/RB-2007.2/p4root/Xtensa/Target-libs/newlib/newlib/libc/machine/xtensa/memset.S:169 8e6e92: d10f retw.n Disassembly of section .debug_aranges: 00000000 <.debug_aranges>: 0: 0000001c 00020000 00000400 00000000 ................ 10: 008e1000 0000002a 00000000 00000000 .......*........ 20: 0000001c 00020000 00790400 00000000 .........y...... 30: 008e0c20 00000006 00000000 00000000 ... ............ 40: 0000001c 00020000 00f50400 00000000 ................ 50: 008e0f20 00000006 00000000 00000000 ... ............ 60: 0000001c 00020000 01720400 00000000 .........r...... 70: 008e0d40 00000006 00000000 00000000 ...@............ 80: 0000001c 00020000 01ef0400 00000000 ................ 90: 008e0000 000000de 00000000 00000000 ................ a0: 0000001c 00020000 026b0400 00000000 .........k...... b0: 008e0e20 00000017 00000000 00000000 ... ............ c0: 0000001c 00020000 02e60400 00000000 ................ d0: 008e0ce4 00000003 00000000 00000000 ................ e0: 0000001c 00020000 03600400 00000000 .........`...... f0: 008e0800 0000016a 00000000 00000000 .......j........ 100: 0000001c 00020000 03de0400 00000000 ................ 110: 008e0a20 00000006 00000000 00000000 ... ............ 120: 0000001c 00020000 04580400 00000000 .........X...... 130: 008e0b20 00000006 00000000 00000000 ... ............ 140: 0000001c 00020000 04d20400 00000000 ................ 150: 008e102c 000000d7 00000000 00000000 ...,............ 160: 0000001c 00020000 05540400 00000000 .........T...... 170: 008e1104 0000006f 00000000 00000000 .......o........ 180: 0000001c 00020000 05d70400 00000000 ................ 190: 008e1174 0000006e 00000000 00000000 ...t...n........ 1a0: 0000001c 00020000 065c0400 00000000 .........\...... 1b0: 008e11e4 0000005c 00000000 00000000 .......\........ 1c0: 0000001c 00020000 0a0e0400 00000000 ................ 1d0: 008e1240 000000b1 00000000 00000000 ...@............ 1e0: 0000001c 00020000 0a930400 00000000 ................ 1f0: 008e12f4 000000ae 00000000 00000000 ................ 200: 0000001c 00020000 0b180400 00000000 ................ 210: 008e6920 00000008 00000000 00000000 ..i ............ 220: 0000001c 00020000 0b970400 00000000 ................ 230: 008e6928 0000000d 00000000 00000000 ..i(............ 240: 0000001c 00020000 0c140400 00000000 ................ 250: 008e6938 00000018 00000000 00000000 ..i8............ 260: 0000001c 00020000 0c8e0400 00000000 ................ 270: 008e13a4 0000088e 00000000 00000000 ................ 280: 0000001c 00020000 600a0400 00000000 ........`....... 290: 008e1c34 00000078 00000000 00000000 ...4...x........ 2a0: 0000001c 00020000 a8820400 00000000 ................ 2b0: 008e1cac 000000f2 00000000 00000000 ................ 2c0: 0000001c 00020000 f3df0400 00000000 ................ 2d0: 008e1da0 000003e5 00000000 00000000 ................ 2e0: 0000001c 00020001 3de70400 00000000 ........=....... 2f0: 008e2188 0000018e 00000000 00000000 ..!............. 300: 0000001c 00020001 88d00400 00000000 ................ 310: 008e2318 00000026 00000000 00000000 ..#....&........ 320: 0000001c 00020001 d01d0400 00000000 ................ 330: 008e2340 00000175 00000000 00000000 ..#@...u........ 340: 0000001c 00020002 1bba0400 00000000 ................ 350: 008e24b8 00000513 00000000 00000000 ..$............. 360: 0000001c 00020002 66210400 00000000 ........f!...... 370: 008e29cc 00000155 00000000 00000000 ..)....U........ 380: 0000001c 00020002 afb60400 00000000 ................ 390: 008e2b24 0000002b 00000000 00000000 ..+$...+........ 3a0: 0000001c 00020002 f6e20400 00000000 ................ 3b0: 008e2b50 000000b2 00000000 00000000 ..+P............ 3c0: 0000001c 00020003 3f930400 00000000 ........?....... 3d0: 008e2c04 000000de 00000000 00000000 ..,............. 3e0: 0000001c 00020003 89060400 00000000 ................ 3f0: 008e2ce4 00000114 00000000 00000000 ..,............. 400: 0000001c 00020003 d2490400 00000000 .........I...... 410: 008e2df8 0000019b 00000000 00000000 ..-............. 420: 0000001c 00020004 1bf60400 00000000 ................ 430: 008e2f94 0000041a 00000000 00000000 ../............. 440: 0000001c 00020004 69e20400 00000000 ........i....... 450: 008e33b0 000004d4 00000000 00000000 ..3............. 460: 0000001c 00020004 b9550400 00000000 .........U...... 470: 008e3884 00001071 00000000 00000000 ..8....q........ 480: 0000001c 00020005 10430400 00000000 .........C...... 490: 008e48f8 000003b3 00000000 00000000 ..H............. 4a0: 0000001c 00020005 59d90400 00000000 ........Y....... 4b0: 008e4cac 00000243 00000000 00000000 ..L....C........ 4c0: 0000001c 00020005 a7030400 00000000 ................ 4d0: 008e4ef0 00000084 00000000 00000000 ..N............. 4e0: 0000001c 00020005 eee00400 00000000 ................ 4f0: 008e4f74 000000b5 00000000 00000000 ..Ot............ 500: 0000001c 00020006 37230400 00000000 ........7#...... 510: 008e502c 000008ef 00000000 00000000 ..P,............ 520: 0000001c 00020006 8fc30400 00000000 ................ 530: 008e591c 000003d3 00000000 00000000 ..Y............. 540: 0000001c 00020006 dbf20400 00000000 ................ 550: 008e5cf0 0000016f 00000000 00000000 ..\....o........ 560: 0000001c 00020007 2c9a0400 00000000 ........,....... 570: 008e5e60 00000404 00000000 00000000 ..^`............ 580: 0000001c 00020007 7ac00400 00000000 ........z....... 590: 008e6264 000000e3 00000000 00000000 ..bd............ 5a0: 0000001c 00020007 c4af0400 00000000 ................ 5b0: 008e6348 00000061 00000000 00000000 ..cH...a........ 5c0: 0000001c 00020007 c79e0400 00000000 ................ 5d0: 008e6950 00000005 00000000 00000000 ..iP............ 5e0: 0000001c 00020007 c8170400 00000000 ................ 5f0: 008e63ac 00000079 00000000 00000000 ..c....y........ 600: 0000001c 00020007 c89c0400 00000000 ................ 610: 008e6958 00000026 00000000 00000000 ..iX...&........ 620: 0000001c 00020007 d5850400 00000000 ................ 630: 008e6428 00000137 00000000 00000000 ..d(...7........ 640: 0000001c 00020007 d6580400 00000000 .........X...... 650: 008e6980 00000008 00000000 00000000 ..i............. 660: 0000001c 00020007 d7230400 00000000 .........#...... 670: 008e6988 00000008 00000000 00000000 ..i............. 680: 0000001c 00020007 d7ee0400 00000000 ................ 690: 008e6990 00000008 00000000 00000000 ..i............. 6a0: 0000001c 00020007 dae20400 00000000 ................ 6b0: 008e6998 00000068 00000000 00000000 ..i....h........ 6c0: 0000001c 00020007 dbd60400 00000000 ................ 6d0: 008e6a00 00000049 00000000 00000000 ..j....I........ 6e0: 0000001c 00020007 dcca0400 00000000 ................ 6f0: 008e6a4c 00000050 00000000 00000000 ..jL...P........ 700: 0000001c 00020007 ddbe0400 00000000 ................ 710: 008e6a9c 0000003d 00000000 00000000 ..j....=........ 720: 0000001c 00020007 deb20400 00000000 ................ 730: 008e6adc 00000045 00000000 00000000 ..j....E........ 740: 0000001c 00020007 e9f20400 00000000 ................ 750: 008e6b24 00000135 00000000 00000000 ..k$...5........ 760: 0000001c 00020007 eaff0400 00000000 ................ 770: 008e6c5c 000001c2 00000000 00000000 ..l\............ 780: 0000001c 00020007 f6800400 00000000 ................ 790: 008e6e20 00000074 00000000 00000000 ..n ...t........ 7a0: 0000001c 00020007 f78d0400 00000000 ................ 7b0: 008e6560 00000118 00000000 00000000 ..e`............ 7c0: 0000001c 00020007 f89a0400 00000000 ................ 7d0: 008e6678 00000098 00000000 00000000 ..fx............ 7e0: 0000001c 00020007 f9a70400 00000000 ................ 7f0: 008e6710 00000063 00000000 00000000 ..g....c........ 800: 0000001c 00020007 fab40400 00000000 ................ 810: 008e6774 0000008e 00000000 00000000 ..gt............ 820: 0000001c 00020008 05dd0400 00000000 ................ 830: 008e6804 0000011b 00000000 00000000 ..h............. Disassembly of section .debug_pubnames: 00000000 <.debug_pubnames>: 0: 00000052 00020000 065c0000 00000000 ...R.....\...... 10: 02f15f78 746f735f 7365745f 696e7465 .._xtos_set_inte 20: 72727570 745f6861 6e646c65 725f6172 rrupt_handler_ar 30: 67000000 03655f78 746f735f 7365745f g....e_xtos_set_ 40: 696e7465 72727570 745f6861 6e646c65 interrupt_handle 50: 72000000 00000000 01c50002 00000c8e r............... 60: 00000000 000049bc 5f726f6d 5f73746f ......I._rom_sto 70: 72655f74 61626c65 0000004a ca626173 re_table...J.bas 80: 69635f52 4f4d5f6d 6f64756c 655f7461 ic_ROM_module_ta 90: 626c6500 00004d32 706f7374 0000004d ble...M2post...M a0: 704d6167 7069655f 66617461 6c5f6578 pMagpie_fatal_ex b0: 63657074 696f6e5f 68616e64 6c657200 ception_handler. c0: 00004e1a 6174686f 735f626c 6f636b5f ..N.athos_block_ d0: 616c6c5f 696e7472 6c766c00 00004e58 all_intrlvl...NX e0: 6174686f 735f756e 626c6f63 6b5f616c athos_unblock_al f0: 6c5f696e 74726c76 6c000000 4e946174 l_intrlvl...N.at 100: 686f735f 72657374 6f72655f 696e7472 hos_restore_intr 110: 6c766c00 00004fd8 67656e65 7269635f lvl...O.generic_ 120: 6869665f 6d6f6475 6c655f69 6e737461 hif_module_insta 130: 6c6c0000 00502861 74686f73 5f696e64 ll...P(athos_ind 140: 69726563 74696f6e 5f746162 6c655f69 irection_table_i 150: 6e737461 6c6c0000 00507261 74686f73 nstall...Prathos 160: 5f696e74 65727275 70745f68 616e646c _interrupt_handl 170: 65720000 0050cd61 74686f73 5f696e74 er...P.athos_int 180: 65727275 70745f69 6e697400 00005103 errupt_init...Q. 190: 6174686f 735f696e 69740000 0051365f athos_init...Q6_ 1a0: 72656164 5f757362 5f646573 63000000 read_usb_desc... 1b0: 51ab7265 61645f75 73625f63 6f6e6600 Q.read_usb_conf. 1c0: 000051e5 7365745f 7063695f 636f6e66 ..Q.set_pci_conf 1d0: 00000052 4e626f6f 746c6f61 64000000 ...RNbootload... 1e0: 526b7063 695f676d 61635f62 6f6f746c Rkpci_gmac_bootl 1f0: 6f616400 00005291 7475726e 5f6f6666 oad...R.turn_off 200: 5f726300 000052b1 626f6f74 656e7472 _rc...R.bootentr 210: 79000000 535b6d61 696e0000 00000000 y...S[main...... 220: 0000ab00 02000060 0a000000 00000046 .......`.......F 230: c3616c6c 6f637261 6d5f6375 7272656e .allocram_curren 240: 745f6164 64720000 0046e561 6c6c6f63 t_addr...F.alloc 250: 72616d5f 72656d61 696e696e 675f6279 ram_remaining_by 260: 74657300 0000474f 636d6e6f 735f616c tes...GOcmnos_al 270: 6c6f6372 616d5f69 6e697400 000047b3 locram_init...G. 280: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 290: 00480d63 6d6e6f73 5f616c6c 6f637261 .H.cmnos_allocra 2a0: 6d5f6465 62756700 00004836 636d6e6f m_debug...H6cmno 2b0: 735f616c 6c6f6372 616d5f6d 6f64756c s_allocram_modul 2c0: 655f696e 7374616c 6c000000 00000000 e_install....... 2d0: 01990002 0000a882 00000000 000046ba ..............F. 2e0: 63746963 6b730000 0046cd63 7572725f cticks...F.curr_ 2f0: 62616e64 00000048 17636d6e 6f735f63 band...H.cmnos_c 300: 6c6f636b 696e675f 7461626c 65000000 locking_table... 310: 483f636c 6f636b5f 696e666f 00000048 H?clock_info...H 320: 56636d6e 6f735f73 6b69705f 706c6c5f Vcmnos_skip_pll_ 330: 696e6974 00000048 76706c6c 5f637472 init...Hvpll_ctr 340: 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. 350: 00004899 706c6c5f 6374726c 5f736574 ..H.pll_ctrl_set 360: 74696e67 5f356768 7a000000 48d0636d ting_5ghz...H.cm 370: 6e6f735f 64656c61 795f7573 00000049 nos_delay_us...I 380: 30636d6e 6f735f6d 696c6c69 7365636f 0cmnos_milliseco 390: 6e647300 0000495b 636d6e6f 735f7265 nds...I[cmnos_re 3a0: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. 3b0: 00498a63 6d6e6f73 5f756172 745f6672 .I.cmnos_uart_fr 3c0: 65717565 6e637900 000049b7 636d6e6f equency...I.cmno 3d0: 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. 3e0: 000049df 636d6e6f 735f636c 6f636b72 ..I.cmnos_clockr 3f0: 6567735f 696e6974 0000004a 08636d6e egs_init...J.cmn 400: 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set 410: 0000004a 49636d6e 6f735f70 6c6c5f69 ...JIcmnos_pll_i 420: 6e697400 00004a6c 636d6e6f 735f636c nit...Jlcmnos_cl 430: 6f636b5f 696e6974 0000004a c1636d6e ock_init...J.cmn 440: 6f735f74 69636b00 00004b1c 636d6e6f os_tick...K.cmno 450: 735f636c 6f636b5f 6d6f6475 6c655f69 s_clock_module_i 460: 6e737461 6c6c0000 00000000 0000d100 nstall.......... 470: 020000f3 df000000 00000046 d0656570 ...........F.eep 480: 5f737461 74650000 0046e665 65705f65 _state...F.eep_e 490: 78697374 00000047 48636d6e 6f735f65 xist...GHcmnos_e 4a0: 6570726f 6d5f7772 6974655f 68776f72 eprom_write_hwor 4b0: 64000000 479c636d 6e6f735f 65657072 d...G.cmnos_eepr 4c0: 6f6d5f72 6561645f 68776f72 64000000 om_read_hword... 4d0: 47fe636d 6e6f735f 6565705f 69735f65 G.cmnos_eep_is_e 4e0: 78697374 00000048 39636d6e 6f735f65 xist...H9cmnos_e 4f0: 65705f77 72697465 00000048 dc636d6e ep_write...H.cmn 500: 6f735f65 65705f72 65616400 00004977 os_eep_read...Iw 510: 636d6e6f 735f6565 705f696e 69740000 cmnos_eep_init.. 520: 0049c963 6d6e6f73 5f656570 5f6d6f64 .I.cmnos_eep_mod 530: 756c655f 696e7374 616c6c00 00000000 ule_install..... 540: 000000ed 00020001 3de70000 00000000 ........=....... 550: 487a636d 6e6f735f 6973725f 696e666f Hzcmnos_isr_info 560: 00000048 95636d6e 6f735f65 6e61626c ...H.cmnos_enabl 570: 65645f69 6e746572 72757074 73000000 ed_interrupts... 580: 48d4636d 6e6f735f 696e7472 5f64756d H.cmnos_intr_dum 590: 6d790000 00491163 6d6e6f73 5f696e74 my...I.cmnos_int 5a0: 725f696e 69740000 00494163 6d6e6f73 r_init...IAcmnos 5b0: 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. 5c0: 000049a1 636d6e6f 735f696e 74725f75 ..I.cmnos_intr_u 5d0: 6e6d6173 6b5f696e 756d0000 004a0563 nmask_inum...J.c 5e0: 6d6e6f73 5f696e74 725f6174 74616368 mnos_intr_attach 5f0: 5f697372 0000004a 6a636d6e 6f735f69 _isr...Jjcmnos_i 600: 6e74725f 696e766f 6b655f69 73720000 ntr_invoke_isr.. 610: 004aab63 6d6e6f73 5f696e74 725f6d6f .J.cmnos_intr_mo 620: 64756c65 5f696e73 74616c6c 00000000 dule_install.... 630: 00000000 3e000200 0188d000 00000000 ....>........... 640: 0046ed63 6d6e6f73 5f6d656d 5f696e69 .F.cmnos_mem_ini 650: 74000000 4710636d 6e6f735f 6d656d5f t...G.cmnos_mem_ 660: 6d6f6475 6c655f69 6e737461 6c6c0000 module_install.. 670: 00000000 00013600 020001d0 1d000000 ......6......... 680: 00000048 56617373 6c6f6f70 00000048 ...HVassloop...H 690: 6a617373 7072696e 74000000 48936375 jassprint...H.cu 6a0: 7272656e 745f6475 6d700000 00493663 rrent_dump...I6c 6b0: 6d6e6f73 5f737973 74656d5f 72657365 mnos_system_rese 6c0: 74000000 495d636d 6e6f735f 6d61635f t...I]cmnos_mac_ 6d0: 72657365 74000000 4981636d 6e6f735f reset...I.cmnos_ 6e0: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 6f0: 68616e64 6c657200 000049c6 636d6e6f handler...I.cmno 700: 735f6173 73666169 6c000000 4a11636d s_assfail...J.cm 710: 6e6f735f 7265706f 72745f66 61696c75 nos_report_failu 720: 72655f74 6f5f686f 73740000 004a6263 re_to_host...Jbc 730: 6d6e6f73 5f746172 6765745f 69645f67 mnos_target_id_g 740: 65740000 004a8e63 6d6e6f73 5f676574 et...J.cmnos_get 750: 5f6b6268 69740000 004ae963 6d6e6f73 _kbhit...J.cmnos 760: 5f69735f 686f7374 5f707265 73656e74 _is_host_present 770: 0000004b 31636d6e 6f735f72 6f6d5f76 ...K1cmnos_rom_v 780: 65727369 6f6e5f67 65740000 004b5f63 ersion_get...K_c 790: 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module 7a0: 5f696e73 74616c6c 00000000 00000000 _install........ 7b0: 74000200 021bba00 00000000 0046c65f t............F._ 7c0: 70757463 00000047 78636d6e 6f735f77 putc...Gxcmnos_w 7d0: 72697465 5f636861 72000000 49b3636d rite_char...I.cm 7e0: 6e6f735f 7072696e 74660000 0049fe63 nos_printf...I.c 7f0: 6d6e6f73 5f707269 6e74665f 696e6974 mnos_printf_init 800: 0000004a 25636d6e 6f735f70 72696e74 ...J%cmnos_print 810: 665f6d6f 64756c65 5f696e73 74616c6c f_module_install ... 828: c8000200 02662100 00000000 0046c370 .....f!......F.p 838: 61746368 5f737461 72740000 0046db70 atch_start...F.p 848: 61746368 5f616464 72000000 476c5f70 atch_addr...Gl_p 858: 61746368 5f64756d 70000000 47a45f72 atch_dump...G._r 868: 6561645f 726f6d5f 70617463 68000000 ead_rom_patch... 878: 4806636d 6e6f735f 726f6d70 5f646563 H.cmnos_romp_dec 888: 6f646500 00004889 636d6e6f 735f726f ode...H.cmnos_ro 898: 6d705f69 6e737461 6c6c0000 0048b463 mp_install...H.c 8a8: 6d6e6f73 5f726f6d 705f646f 776e6c6f mnos_romp_downlo 8b8: 61640000 00493363 6d6e6f73 5f726f6d ad...I3cmnos_rom 8c8: 705f696e 69740000 00495763 6d6e6f73 p_init...IWcmnos 8d8: 5f726f6d 705f6d6f 64756c65 5f696e73 _romp_module_ins 8e8: 74616c6c 00000000 00000000 44000200 tall........D... 8f8: 02afb600 00000000 0046c663 6d6e6f73 .........F.cmnos 908: 5f737472 696e675f 696e6974 00000046 _string_init...F 918: ec636d6e 6f735f73 7472696e 675f6d6f .cmnos_string_mo 928: 64756c65 5f696e73 74616c6c 00000000 dule_install.... 938: 00000000 ad000200 02f6e200 00000000 ................ 948: 00472663 6d6e6f73 5f746173 6b6c6574 .G&cmnos_tasklet 958: 5f696e69 74000000 474d636d 6e6f735f _init...GMcmnos_ 968: 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas 978: 6b000000 47a8636d 6e6f735f 7461736b k...G.cmnos_task 988: 6c65745f 73636865 64756c65 00000047 let_schedule...G 998: e9636d6e 6f735f74 61736b6c 65745f64 .cmnos_tasklet_d 9a8: 69736162 6c650000 00483c63 6d6e6f73 isable...H_ cc8: 5f706369 5f676574 5f72785f 656e6700 _pci_get_rx_eng. cd8: 0000487a 5f5f7063 695f656e 61626c65 ..Hz__pci_enable ce8: 00000048 ac5f5f70 63695f72 65736574 ...H.__pci_reset cf8: 00000048 e05f5f70 63695f62 6f6f745f ...H.__pci_boot_ d08: 696e6974 00000049 045f5f70 63695f69 init...I.__pci_i d18: 6e697400 0000493d 5f5f7063 695f6366 nit...I=__pci_cf d28: 675f7069 70650000 0049a95f 5f706369 g_pipe...I.__pci d38: 5f737461 72740000 0049dd5f 5f706369 _start...I.__pci d48: 5f726567 5f63616c 6c626163 6b000000 _reg_callback... d58: 4a2d5f5f 7063695f 72656170 5f786d69 J-__pci_reap_xmi d68: 74746564 0000004a 8c5f5f70 63695f72 tted...J.__pci_r d78: 6561705f 72656376 0000004a de5f5f70 eap_recv...J.__p d88: 63695f69 73725f68 616e646c 65720000 ci_isr_handler.. d98: 004b2a5f 5f706369 5f786d69 745f6275 .K*__pci_xmit_bu da8: 66000000 4b8c5f5f 7063695f 72657475 f...K.__pci_retu db8: 726e5f72 65637600 00004bec 5f5f7063 rn_recv...K.__pc dc8: 695f6973 5f706970 655f7375 70706f72 i_is_pipe_suppor dd8: 74656400 00004c3f 5f5f7063 695f6765 ted...L?__pci_ge de8: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... df8: 4c905f5f 7063695f 6765745f 72657365 L.__pci_get_rese e08: 72766564 5f686561 64726f6f 6d000000 rved_headroom... e18: 4cd85f5f 7063695f 73687574 646f776e L.__pci_shutdown e28: 0000004d 0f5f5f70 63695f67 65745f64 ...M.__pci_get_d e38: 65665f70 69706500 00004d71 6869665f ef_pipe...Mqhif_ e48: 7063695f 6d6f6475 6c655f69 6e737461 pci_module_insta e58: 6c6c0000 004db168 69665f70 63695f61 ll...M.hif_pci_a e68: 70695f69 6e737461 6c6c0000 00000000 pi_install...... e78: 0001ad00 02000469 e2000000 00000047 .......i.......G e88: 6e675f68 69665553 42437478 00000049 ng_hifUSBCtx...I e98: 7d5f4849 46757362 5f696e69 74000000 }_HIFusb_init... ea8: 49c85f48 49467573 625f7368 7574646f I._HIFusb_shutdo eb8: 776e0000 004a025f 48494675 73625f72 wn...J._HIFusb_r ec8: 65676973 7465725f 63616c6c 6261636b egister_callback ed8: 0000004a 565f4849 46757362 5f737461 ...JV_HIFusb_sta ee8: 72740000 004b4c5f 48494675 73625f63 rt...KL_HIFusb_c ef8: 6f6e6669 675f7069 70650000 004bd35f onfig_pipe...K._ f08: 48494675 73625f73 656e645f 62756666 HIFusb_send_buff f18: 65720000 004c345f 48494675 73625f72 er...L4_HIFusb_r f28: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. f38: 004c9b5f 48494675 73625f73 65745f72 .L._HIFusb_set_r f48: 6563765f 62756673 7a000000 4cfc5f48 ecv_bufsz...L._H f58: 49467573 625f7061 7573655f 72656376 IFusb_pause_recv f68: 0000004d 495f4849 46757362 5f726573 ...MI_HIFusb_res f78: 756d655f 72656376 0000004d 975f4849 ume_recv...M._HI f88: 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup f98: 706f7274 65640000 004def5f 48494675 ported...M._HIFu fa8: 73625f67 65745f6d 61785f6d 73675f6c sb_get_max_msg_l fb8: 656e0000 004e455f 48494675 73625f69 en...NE_HIFusb_i fc8: 73725f68 616e646c 65720000 004e955f sr_handler...N._ fd8: 48494675 73625f67 65745f64 65666175 HIFusb_get_defau fe8: 6c745f70 69706500 00004f07 6869665f lt_pipe...O.hif_ ff8: 7573625f 6d6f6475 6c655f69 6e737461 usb_module_insta 1008: 6c6c0000 004f4748 49467573 625f4465 ll...OGHIFusb_De 1018: 73635472 61636544 756d7000 00000000 scTraceDump..... 1028: 0000020e 00020004 b9550000 00000000 .........U...... 1038: 473c436f 6e74726f 6c436d64 00000047 G: 0: 00000075 00020000 00000401 00000000 ...u............ 10: 008e1000 008e102a 63727431 2d74696e .......*crt1-tin 20: 792e5300 2f666f6c 6b732f72 6873752f y.S./folks/rhsu/ 30: 70726f6a 6563742f 7034762f 70657266 project/p4v/perf 40: 6f726365 2f70305f 636f7265 2f70726f orce/p0_core/pro 50: 6a656374 2f737263 2f726f6d 2f6f732f ject/src/rom/os/ 60: 7372632f 78746f73 00474e55 20415320 src/xtos.GNU AS 70: 322e3136 2e310080 01000000 78000200 2.16.1......x... 80: 00001404 01000000 87008e0c 20008e0c ............ ... 90: 26646562 75672d76 6563746f 722e5300 &debug-vector.S. a0: 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj b0: 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce c0: 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project d0: 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ e0: 78746f73 00474e55 20415320 322e3136 xtos.GNU AS 2.16 f0: 2e310080 01000000 79000200 00002804 .1......y.....(. 100: 01000000 c9008e0f 20008e0f 26646f75 ........ ...&dou 110: 626c652d 76656374 6f722e53 002f666f ble-vector.S./fo 120: 6c6b732f 72687375 2f70726f 6a656374 lks/rhsu/project 130: 2f703476 2f706572 666f7263 652f7030 /p4v/perforce/p0 140: 5f636f72 652f7072 6f6a6563 742f7372 _core/project/sr 150: 632f726f 6d2f6f73 2f737263 2f78746f c/rom/os/src/xto 160: 7300474e 55204153 20322e31 362e3100 s.GNU AS 2.16.1. 170: 80010000 00790002 0000003c 04010000 .....y.....<.... 180: 010c008e 0d40008e 0d466b65 726e656c .....@...Fkernel 190: 2d766563 746f722e 53002f66 6f6c6b73 -vector.S./folks 1a0: 2f726873 752f7072 6f6a6563 742f7034 /rhsu/project/p4 1b0: 762f7065 72666f72 63652f70 305f636f v/perforce/p0_co 1c0: 72652f70 726f6a65 63742f73 72632f72 re/project/src/r 1d0: 6f6d2f6f 732f7372 632f7874 6f730047 om/os/src/xtos.G 1e0: 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... 1f0: 00007800 02000000 50040100 00014f00 ..x.....P.....O. 200: 8e000000 8e00de72 65736574 2d766563 .......reset-vec 210: 746f722e 53002f66 6f6c6b73 2f726873 tor.S./folks/rhs 220: 752f7072 6f6a6563 742f7034 762f7065 u/project/p4v/pe 230: 72666f72 63652f70 305f636f 72652f70 rforce/p0_core/p 240: 726f6a65 63742f73 72632f72 6f6d2f6f roject/src/rom/o 250: 732f7372 632f7874 6f730047 4e552041 s/src/xtos.GNU A 260: 5320322e 31362e31 00800100 00007700 S 2.16.1......w. 270: 02000000 64040100 0002f900 8e0e2000 ....d......... . 280: 8e0e3775 7365722d 76656374 6f722e53 ..7user-vector.S 290: 002f666f 6c6b732f 72687375 2f70726f ./folks/rhsu/pro 2a0: 6a656374 2f703476 2f706572 666f7263 ject/p4v/perforc 2b0: 652f7030 5f636f72 652f7072 6f6a6563 e/p0_core/projec 2c0: 742f7372 632f726f 6d2f6f73 2f737263 t/src/rom/os/src 2d0: 2f78746f 7300474e 55204153 20322e31 /xtos.GNU AS 2.1 2e0: 362e3100 80010000 00760002 00000078 6.1......v.....x 2f0: 04010000 0364008e 0ce4008e 0ce76e6d .....d........nm 300: 692d7665 63746f72 2e53002f 666f6c6b i-vector.S./folk 310: 732f7268 73752f70 726f6a65 63742f70 s/rhsu/project/p 320: 34762f70 6572666f 7263652f 70305f63 4v/perforce/p0_c 330: 6f72652f 70726f6a 6563742f 7372632f ore/project/src/ 340: 726f6d2f 6f732f73 72632f78 746f7300 rom/os/src/xtos. 350: 474e5520 41532032 2e31362e 31008001 GNU AS 2.16.1... 360: 0000007a 00020000 008c0401 0000039e ...z............ 370: 008e0800 008e096a 77696e64 6f772d76 .......jwindow-v 380: 6563746f 72732e53 002f666f 6c6b732f ectors.S./folks/ 390: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v 3a0: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor 3b0: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro 3c0: 6d2f6f73 2f737263 2f78746f 7300474e m/os/src/xtos.GN 3d0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... 3e0: 00760002 000000a0 04010000 0533008e .v...........3.. 3f0: 0a20008e 0a26696e 742d7665 63746f72 . ...&int-vector 400: 2e53002f 666f6c6b 732f7268 73752f70 .S./folks/rhsu/p 410: 726f6a65 63742f70 34762f70 6572666f roject/p4v/perfo 420: 7263652f 70305f63 6f72652f 70726f6a rce/p0_core/proj 430: 6563742f 7372632f 726f6d2f 6f732f73 ect/src/rom/os/s 440: 72632f78 746f7300 474e5520 41532032 rc/xtos.GNU AS 2 450: 2e31362e 31008001 00000076 00020000 .16.1......v.... 460: 00b40401 00000573 008e0b20 008e0b26 .......s... ...& 470: 696e742d 76656374 6f722e53 002f666f int-vector.S./fo 480: 6c6b732f 72687375 2f70726f 6a656374 lks/rhsu/project 490: 2f703476 2f706572 666f7263 652f7030 /p4v/perforce/p0 4a0: 5f636f72 652f7072 6f6a6563 742f7372 _core/project/sr 4b0: 632f726f 6d2f6f73 2f737263 2f78746f c/rom/os/src/xto 4c0: 7300474e 55204153 20322e31 362e3100 s.GNU AS 2.16.1. 4d0: 80010000 007e0002 000000c8 04010000 .....~.......... 4e0: 05b3008e 102c008e 11036578 632d616c .....,....exc-al 4f0: 6c6f6361 2d68616e 646c6572 2e53002f loca-handler.S./ 500: 666f6c6b 732f7268 73752f70 726f6a65 folks/rhsu/proje 510: 63742f70 34762f70 6572666f 7263652f ct/p4v/perforce/ 520: 70305f63 6f72652f 70726f6a 6563742f p0_core/project/ 530: 7372632f 726f6d2f 6f732f73 72632f78 src/rom/os/src/x 540: 746f7300 474e5520 41532032 2e31362e tos.GNU AS 2.16. 550: 31008001 0000007f 00020000 00dc0401 1............... 560: 0000079a 008e1104 008e1173 6578632d ...........sexc- 570: 73797363 616c6c2d 68616e64 6c65722e syscall-handler. 580: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr 590: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor 5a0: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje 5b0: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr 5c0: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. 5d0: 31362e31 00800100 00008100 02000000 16.1............ 5e0: f0040100 0008d400 8e117400 8e11e269 ..........t....i 5f0: 6e742d6c 6f777072 692d6469 73706174 nt-lowpri-dispat 600: 63686572 2e53002f 666f6c6b 732f7268 cher.S./folks/rh 610: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p 620: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ 630: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ 640: 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU 650: 41532032 2e31362e 31008001 000003ae AS 2.16.1....... 660: 00020000 01040401 696e742d 73657468 ........int-seth 670: 616e646c 65722e63 002f666f 6c6b732f andler.c./folks/ 680: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v 690: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor 6a0: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro 6b0: 6d2f6f73 2f737263 2f78746f 73007874 m/os/src/xtos.xt 6c0: 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - 6d0: 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru 6e0: 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g 6f0: 33000100 000009d8 026c6f6e 6720696e 3........long in 700: 74000504 03000000 9c100000 00b50403 t............... 710: 00052800 00011406 70630000 00009c02 ..(.....pc...... 720: 23000670 73000000 009c0223 04066172 #..ps......#..ar 730: 65670000 0000a802 23080673 61720000 eg......#..sar.. 740: 00009c02 2318066c 636f756e 74000000 ....#..lcount... 750: 009c0223 1c066c62 65670000 00009c02 ...#..lbeg...... 760: 2320066c 656e6400 0000009c 02232400 # .lend......#$. 770: 05300000 01b00670 63000000 009c0223 .0.....pc......# 780: 00067073 00000000 9c022304 06736172 ..ps......#..sar 790: 00000000 9c022308 06767072 69000000 ......#..vpri... 7a0: 009c0223 0c066132 00000000 9c022310 ...#..a2......#. 7b0: 06613300 0000009c 02231406 61340000 .a3......#..a4.. 7c0: 00009c02 23180661 35000000 009c0223 ....#..a5......# 7d0: 1c066578 63636175 73650000 00009c02 ..exccause...... 7e0: 2320066c 636f756e 74000000 009c0223 # .lcount......# 7f0: 24066c62 65670000 00009c02 2328066c $.lbeg......#(.l 800: 656e6400 0000009c 02232c00 0701085f end......#,...._ 810: 78746f73 5f68616e 646c6572 5f66756e xtos_handler_fun 820: 63000000 01b00900 0001b204 00085f78 c............._x 830: 746f735f 68616e64 6c657200 000001ca tos_handler..... 840: 0a04000b 58746f73 496e7448 616e646c ....XtosIntHandl 850: 6572456e 74727900 08000002 1e066861 erEntry.......ha 860: 6e646c65 72000000 01d10223 00066172 ndler......#..ar 870: 67000000 01e40223 04000275 6e736967 g......#...unsig 880: 6e656420 696e7400 07040b58 746f7349 ned int....XtosI 890: 6e744d61 736b456e 74727900 08000002 ntMaskEntry..... 8a0: 6b067670 72695f6d 61736b00 0000021e k.vpri_mask..... 8b0: 02230006 6c657665 6c5f6d61 736b0000 .#..level_mask.. 8c0: 00021e02 23040002 696e7400 05040c00 ....#...int..... 8d0: 0001d101 02756e73 69676e65 64206368 .....unsigned ch 8e0: 61720007 010d0000 02780d00 00027803 ar.......x....x. 8f0: 0000028e 20000002 a0041f00 0d000002 .... ........... 900: 930d0000 02780300 0002a520 000002b7 .....x..... .... 910: 041f0008 58746f73 496e7448 616e646c ....XtosIntHandl 920: 6572456e 74727900 000001e7 09000002 erEntry......... 930: b7040003 000002b7 98000002 e4041200 ................ 940: 09000001 b004000c 000001d1 010e011f ................ 950: 5f78746f 735f7365 745f696e 74657272 _xtos_set_interr 960: 7570745f 68616e64 6c65725f 61726700 upt_handler_arg. 970: 000001d1 01010392 01200290 00008e11 ......... ...... 980: e4008e12 30000003 650f011f 6e000000 ....0...e...n... 990: 026b0152 0f011f66 00000001 d101530f .k.R...f......S. 9a0: 011f6172 67000000 01e40154 10656e74 ..arg......T.ent 9b0: 72790000 0002d010 6f6c6400 000001d1 ry......old..... 9c0: 00110139 5f78746f 735f7365 745f696e ...9_xtos_set_in 9d0: 74657272 7570745f 68616e64 6c657200 terrupt_handler. 9e0: 000001d1 01010392 01200290 00008e12 ......... ...... 9f0: 30008e12 400f0139 6e000000 026b0152 0...@..9n....k.R a00: 0f013966 00000001 d1015300 00000000 ..9f......S..... a10: 00810002 000001d2 04010000 0cb0008e ................ a20: 1240008e 12f1696e 742d6d65 64707269 .@....int-medpri a30: 2d646973 70617463 6865722e 53002f66 -dispatcher.S./f a40: 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec a50: 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p a60: 305f636f 72652f70 726f6a65 63742f73 0_core/project/s a70: 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt a80: 6f730047 4e552041 5320322e 31362e31 os.GNU AS 2.16.1 a90: 00800100 00008100 02000001 e6040100 ................ aa0: 000e2100 8e12f400 8e13a269 6e742d6d ..!........int-m ab0: 65647072 692d6469 73706174 63686572 edpri-dispatcher ac0: 2e53002f 666f6c6b 732f7268 73752f70 .S./folks/rhsu/p ad0: 726f6a65 63742f70 34762f70 6572666f roject/p4v/perfo ae0: 7263652f 70305f63 6f72652f 70726f6a rce/p0_core/proj af0: 6563742f 7372632f 726f6d2f 6f732f73 ect/src/rom/os/s b00: 72632f78 746f7300 474e5520 41532032 rc/xtos.GNU AS 2 b10: 2e31362e 31008001 0000007b 00020000 .16.1......{.... b20: 01fa0401 00000f8c 008e6920 008e6928 ..........i ..i( b30: 696e7465 72727570 742d7461 626c652e interrupt-table. b40: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr b50: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor b60: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje b70: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr b80: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. b90: 31362e31 00800100 00007900 02000002 16.1......y..... ba0: 0e040100 000fd800 8e692800 8e693565 .........i(..i5e bb0: 78632d75 6e68616e 646c6564 2e53002f xc-unhandled.S./ bc0: 666f6c6b 732f7268 73752f70 726f6a65 folks/rhsu/proje bd0: 63742f70 34762f70 6572666f 7263652f ct/p4v/perforce/ be0: 70305f63 6f72652f 70726f6a 6563742f p0_core/project/ bf0: 7372632f 726f6d2f 6f732f73 72632f78 src/rom/os/src/x c00: 746f7300 474e5520 41532032 2e31362e tos.GNU AS 2.16. c10: 31008001 00000076 00020000 02220401 1......v.....".. c20: 0000102d 008e6938 008e6950 6578632d ...-..i8..iPexc- c30: 72657475 726e2e53 002f666f 6c6b732f return.S./folks/ c40: 72687375 2f70726f 6a656374 2f703476 rhsu/project/p4v c50: 2f706572 666f7263 652f7030 5f636f72 /perforce/p0_cor c60: 652f7072 6f6a6563 742f7372 632f726f e/project/src/ro c70: 6d2f6f73 2f737263 2f78746f 7300474e m/os/src/xtos.GN c80: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... c90: 53780002 00000236 04012f72 6f6f742f Sx.....6../root/ ca0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v cb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma cc0: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m cd0: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. ce0: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ cf0: 315f312f 726f6d2f 6174686f 732f7372 1_1/rom/athos/sr d00: 632f6174 686f735f 6d61696e 2e63002f c/athos_main.c./ d10: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 d20: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui d30: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro d40: 6d2f6174 686f7300 78742d78 63632066 m/athos.xt-xcc f d50: 6f722037 2e312e30 202d4f50 543a616c or 7.1.0 -OPT:al d60: 69676e5f 696e7374 72756374 696f6e73 ign_instructions d70: 3d333220 2d4f3220 2d673320 2d4f5054 =32 -O2 -g3 -OPT d80: 3a737061 63650001 00000010 9d020103 :space.......... d90: 000000ff 04000469 6e740005 04046368 .......int....ch da0: 61720007 01050000 010f0500 00010f03 ar.............. db0: 0000011c 04000600 00010801 03000001 ................ dc0: 28040007 7072696e 74665f61 70690008 (...printf_api.. dd0: 0000016c 085f7072 696e7466 5f696e69 ...l._printf_ini de0: 74000000 01010223 00085f70 72696e74 t......#.._print df0: 66000000 012e0223 04000473 686f7274 f......#...short e00: 20756e73 69676e65 6420696e 74000702 unsigned int... e10: 0975696e 7431365f 74000000 016c046c .uint16_t....l.l e20: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int e30: 00070409 75696e74 33325f74 00000001 ....uint32_t.... e40: 90077561 72745f66 69666f00 08000001 ..uart_fifo..... e50: fe087374 6172745f 696e6465 78000000 ..start_index... e60: 01820223 0008656e 645f696e 64657800 ...#..end_index. e70: 00000182 02230208 6f766572 72756e5f .....#..overrun_ e80: 65727200 000001a5 02230400 07756172 err......#...uar e90: 745f6170 69002000 0002b708 5f756172 t_api. ....._uar ea0: 745f696e 69740000 00030e02 2300085f t_init......#.._ eb0: 75617274 5f636861 725f7075 74000000 uart_char_put... ec0: 03350223 04085f75 6172745f 63686172 .5.#.._uart_char ed0: 5f676574 00000003 49022308 085f7561 _get....I.#.._ua ee0: 72745f73 74725f6f 75740000 00035202 rt_str_out....R. ef0: 230c085f 75617274 5f746173 6b000000 #.._uart_task... f00: 01010223 10085f75 6172745f 73746174 ...#.._uart_stat f10: 75730000 00030e02 2314085f 75617274 us......#.._uart f20: 5f636f6e 66696700 0000035b 02231808 _config....[.#.. f30: 5f756172 745f6877 696e6974 00000003 _uart_hwinit.... f40: 6402231c 00030000 01fe0400 07756172 d.#..........uar f50: 745f626c 6b001000 00030808 64656275 t_blk.......debu f60: 675f6d6f 64650000 00018202 23000862 g_mode......#..b f70: 61756400 00000182 02230208 5f756172 aud......#.._uar f80: 74000000 02b70223 04085f74 78000000 t......#.._tx... f90: 01b30223 08000600 0001a501 03000003 ...#............ fa0: 08040004 756e7369 676e6564 20636861 ....unsigned cha fb0: 72000701 0975696e 74385f74 00000003 r....uint8_t.... fc0: 15020103 00000333 04000300 00032604 .......3......&. fd0: 00060000 01820103 00000343 04000201 ...........C.... fe0: 03000003 50040002 01030000 03590400 ....P........Y.. ff0: 02010300 00036204 00030000 010f0400 ......b......... 1000: 06000001 08010300 00037204 00074442 ..........r...DB 1010: 5f434f4d 4d414e44 5f535452 55435400 _COMMAND_STRUCT. 1020: 0c000003 ca08636d 645f7374 72000000 ......cmd_str... 1030: 036b0223 00086865 6c705f73 74720000 .k.#..help_str.. 1040: 00036b02 23040863 6d645f66 756e6300 ..k.#..cmd_func. 1050: 00000378 02230800 07646267 5f617069 ...x.#...dbg_api 1060: 00080000 03fd085f 6462675f 696e6974 ......._dbg_init 1070: 00000001 01022300 085f6462 675f7461 ......#.._dbg_ta 1080: 736b0000 00010102 2304000a 04000475 sk......#......u 1090: 6e736967 6e656420 696e7400 07040600 nsigned int..... 10a0: 0003fd01 03000004 1004000b 0b030000 ................ 10b0: 041e0400 06000003 fd010300 00042604 ..............&. 10c0: 00060000 01080103 00000433 0400076d ...........3...m 10d0: 656d5f61 70690014 000004a2 085f6d65 em_api......._me 10e0: 6d5f696e 69740000 00010102 2300085f m_init......#.._ 10f0: 6d656d73 65740000 00041602 2304085f memset......#.._ 1100: 6d656d63 70790000 00042c02 2308085f memcpy....,.#.._ 1110: 6d656d6d 6f766500 0000042c 02230c08 memmove....,.#.. 1120: 5f6d656d 636d7000 00000439 02231000 _memcmp....9.#.. 1130: 07726567 69737465 725f6475 6d705f73 .register_dump_s 1140: 00900000 05090874 61726765 745f6964 .......target_id 1150: 00000001 a5022300 08617373 6c696e65 ......#..assline 1160: 00000001 a5022304 08706300 000001a5 ......#..pc..... 1170: 02230808 62616476 61646472 00000001 .#..badvaddr.... 1180: a502230c 08657863 5f667261 6d650000 ..#..exc_frame.. 1190: 00482502 23100003 000004a2 04000201 .H%.#........... 11a0: 03000005 10040002 01030000 05190400 ................ 11b0: 06000001 08010300 00052204 000c686f .........."...ho 11c0: 73746966 5f730004 0000057e 0d484946 stif_s.....~.HIF 11d0: 5f555342 00000d48 49465f50 43494500 _USB...HIF_PCIE. 11e0: 010d4849 465f474d 41430002 0d484946 ..HIF_GMAC...HIF 11f0: 5f504349 00030d48 49465f4e 554d0004 _PCI...HIF_NUM.. 1200: 0d484946 5f4e4f4e 45000500 09415f48 .HIF_NONE....A_H 1210: 4f535449 46000000 052f0600 00057e01 OSTIF..../....~. 1220: 03000005 8c040006 00000326 01030000 ...........&.... 1230: 05990400 06000001 82010300 0005a604 ................ 1240: 00076d69 73635f61 70690024 00000696 ..misc_api.$.... 1250: 085f7379 7374656d 5f726573 65740000 ._system_reset.. 1260: 00010102 2300085f 6d61635f 72657365 ....#.._mac_rese 1270: 74000000 01010223 04085f61 73736661 t......#.._assfa 1280: 696c0000 00051202 2308085f 6d697361 il......#.._misa 1290: 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand 12a0: 6c657200 00000512 02230c08 5f726570 ler......#.._rep 12b0: 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h 12c0: 6f737400 0000051b 02231008 5f746172 ost......#.._tar 12d0: 6765745f 69645f67 65740000 00052802 get_id_get....(. 12e0: 2314085f 69735f68 6f73745f 70726573 #.._is_host_pres 12f0: 656e7400 00000592 02231808 5f6b6268 ent......#.._kbh 1300: 69740000 00059f02 231c085f 726f6d5f it......#.._rom_ 1310: 76657273 696f6e5f 67657400 000005ac version_get..... 1320: 02232000 06000003 6b010300 00069604 .# .....k....... 1330: 00060000 036b0103 000006a3 04000600 .....k.......... 1340: 00010801 03000006 b0040006 00000108 ................ 1350: 01030000 06bd0400 06000001 08010300 ................ 1360: 0006ca04 00077374 72696e67 5f617069 ......string_api 1370: 00180000 0750085f 73747269 6e675f69 .....P._string_i 1380: 6e697400 00000101 02230008 5f737472 nit......#.._str 1390: 63707900 0000069c 02230408 5f737472 cpy......#.._str 13a0: 6e637079 00000006 a9022308 085f7374 ncpy......#.._st 13b0: 726c656e 00000006 b602230c 085f7374 rlen......#.._st 13c0: 72636d70 00000006 c3022310 085f7374 rcmp......#.._st 13d0: 726e636d 70000000 06d00223 14000e00 rncmp......#.... 13e0: 00040014 0000075d 0f040009 5f415f54 .......]...._A_T 13f0: 494d4552 5f535041 43450000 00075009 IMER_SPACE....P. 1400: 415f7469 6d65725f 74000000 075d0300 A_timer_t....].. 1410: 00077104 00020103 00000787 04000201 ..q............. 1420: 03000007 90040009 415f4841 4e444c45 ........A_HANDLE 1430: 00000004 00020109 415f5449 4d45525f ........A_TIMER_ 1440: 46554e43 00000007 a7030000 07a90400 FUNC............ 1450: 02010300 0007c204 00077469 6d65725f ..........timer_ 1460: 61706900 14000008 41085f74 696d6572 api.....A._timer 1470: 5f696e69 74000000 01010223 00085f74 _init......#.._t 1480: 696d6572 5f61726d 00000007 89022304 imer_arm......#. 1490: 085f7469 6d65725f 64697361 726d0000 ._timer_disarm.. 14a0: 00079202 2308085f 74696d65 725f7365 ....#.._timer_se 14b0: 74666e00 000007c4 02230c08 5f74696d tfn......#.._tim 14c0: 65725f72 756e0000 00010102 23100009 er_run......#... 14d0: 424f4f4c 45414e00 00000182 06000008 BOOLEAN......... 14e0: 41010300 00084e04 00060000 08410103 A.....N......A.. 14f0: 0000085b 04000600 00084101 03000008 ...[......A..... 1500: 68040007 726f6d70 5f617069 00100000 h...romp_api.... 1510: 08da085f 726f6d70 5f696e69 74000000 ..._romp_init... 1520: 01010223 00085f72 6f6d705f 646f776e ...#.._romp_down 1530: 6c6f6164 00000008 54022304 085f726f load....T.#.._ro 1540: 6d705f69 6e737461 6c6c0000 00086102 mp_install....a. 1550: 2308085f 726f6d70 5f646563 6f646500 #.._romp_decode. 1560: 0000086e 02230c00 07726f6d 5f706174 ...n.#...rom_pat 1570: 63685f73 74001000 00093608 63726331 ch_st.....6.crc1 1580: 36000000 01820223 00086c65 6e000000 6......#..len... 1590: 01820223 02086c64 5f616464 72000000 ...#..ld_addr... 15a0: 01a50223 04086675 6e5f6164 64720000 ...#..fun_addr.. 15b0: 0001a502 23080870 66756e00 0000033c ....#..pfun....< 15c0: 02230c00 07656570 5f726564 69725f61 .#...eep_redir_a 15d0: 64647200 04000009 68086f66 66736574 ddr.....h.offset 15e0: 00000001 82022300 0873697a 65000000 ......#..size... 15f0: 01820223 02000941 5f55494e 54333200 ...#...A_UINT32. 1600: 00000400 06000003 fd010300 00097604 ..............v. 1610: 0007616c 6c6f6372 616d5f61 7069000c ..allocram_api.. 1620: 000009e7 08636d6e 6f735f61 6c6c6f63 .....cmnos_alloc 1630: 72616d5f 696e6974 00000009 7c022300 ram_init....|.#. 1640: 08636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. 1650: 0000097c 02230408 636d6e6f 735f616c ...|.#..cmnos_al 1660: 6c6f6372 616d5f64 65627567 00000001 locram_debug.... 1670: 01022308 00020103 000009e7 04000941 ..#............A 1680: 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... 1690: 09e9075f 7461736b 6c657400 1000000a ..._tasklet..... 16a0: 48086675 6e630000 0009f002 23000861 H.func......#..a 16b0: 72670000 0003fd02 23040873 74617465 rg......#..state 16c0: 00000001 08022308 086e6578 74000000 ......#..next... 16d0: 0a480223 0c000300 000a0404 00030000 .H.#............ 16e0: 0a040400 09415f74 61736b6c 65745f74 .....A_tasklet_t 16f0: 0000000a 04030000 0a560400 02010300 .........V...... 1700: 000a6e04 00020103 00000a77 04000774 ..n........w...t 1710: 61736b6c 65745f61 70690014 00000b0c asklet_api...... 1720: 085f7461 736b6c65 745f696e 69740000 ._tasklet_init.. 1730: 00010102 2300085f 7461736b 6c65745f ....#.._tasklet_ 1740: 696e6974 5f746173 6b000000 0a700223 init_task....p.# 1750: 04085f74 61736b6c 65745f64 69736162 .._tasklet_disab 1760: 6c650000 000a7902 2308085f 7461736b le....y.#.._task 1770: 6c65745f 73636865 64756c65 0000000a let_schedule.... 1780: 7902230c 085f7461 736b6c65 745f7275 y.#.._tasklet_ru 1790: 6e000000 01010223 10000201 0300000b n......#........ 17a0: 0c040006 00000968 01030000 0b150400 .......h........ 17b0: 02010300 000b2204 0007636c 6f636b5f ......"...clock_ 17c0: 61706900 2400000c 04085f63 6c6f636b api.$....._clock 17d0: 5f696e69 74000000 0b0e0223 00085f63 _init......#.._c 17e0: 6c6f636b 72656773 5f696e69 74000000 lockregs_init... 17f0: 01010223 04085f75 6172745f 66726571 ...#.._uart_freq 1800: 75656e63 79000000 0b1b0223 08085f64 uency......#.._d 1810: 656c6179 5f757300 00000b24 02230c08 elay_us....$.#.. 1820: 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. 1830: 000b2402 2310085f 72656663 6c6b5f73 ..$.#.._refclk_s 1840: 70656564 5f676574 0000000b 1b022314 peed_get......#. 1850: 085f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. 1860: 000b1b02 2318085f 73797363 6c6b5f63 ....#.._sysclk_c 1870: 68616e67 65000000 01010223 1c085f63 hange......#.._c 1880: 6c6f636b 5f746963 6b000000 01010223 lock_tick......# 1890: 20000600 0001a501 0300000c 04040009 ............... 18a0: 415f6f6c 645f696e 74725f74 00000001 A_old_intr_t.... 18b0: a5060000 0c110103 00000c23 04000201 ...........#.... 18c0: 0300000c 30040002 01030000 0c390400 ....0........9.. 18d0: 06000001 a5010300 000c4204 0009415f ..........B...A_ 18e0: 6973725f 74000000 0c480201 0300000c isr_t....H...... 18f0: 5c040006 00000400 01030000 0c650400 \............e.. 1900: 02010300 000c7204 0007696e 74725f61 ......r...intr_a 1910: 7069002c 00000d94 085f696e 74725f69 pi.,....._intr_i 1920: 6e697400 00000101 02230008 5f696e74 nit......#.._int 1930: 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... 1940: 0a022304 085f696e 74725f64 69736162 ..#.._intr_disab 1950: 6c650000 000c2902 2308085f 696e7472 le....).#.._intr 1960: 5f726573 746f7265 0000000c 3202230c _restore....2.#. 1970: 085f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum 1980: 0000000c 3b022310 085f696e 74725f75 ....;.#.._intr_u 1990: 6e6d6173 6b5f696e 756d0000 000c3b02 nmask_inum....;. 19a0: 2314085f 696e7472 5f617474 6163685f #.._intr_attach_ 19b0: 69737200 00000c5e 02231808 5f676574 isr....^.#.._get 19c0: 5f696e74 72656e61 626c6500 00000c6b _intrenable....k 19d0: 02231c08 5f736574 5f696e74 72656e61 .#.._set_intrena 19e0: 626c6500 00000c74 02232008 5f676574 ble....t.# ._get 19f0: 5f696e74 7270656e 64696e67 0000000c _intrpending.... 1a00: 6b022324 085f756e 626c6f63 6b5f616c k.#$._unblock_al 1a10: 6c5f696e 74726c76 6c000000 01010223 l_intrlvl......# 1a20: 28001004 00000dba 0874696d 656f7574 (........timeout 1a30: 00000001 a5022300 08616374 696f6e00 ......#..action. 1a40: 000001a5 02230000 11080000 0dd50863 .....#.........c 1a50: 6d640000 0001a502 23001200 000d9402 md......#....... 1a60: 23040009 545f5744 545f434d 44000000 #...T_WDT_CMD... 1a70: 0dba0201 0300000d e4040013 0400000e ................ 1a80: 3a0d454e 554d5f57 44545f42 4f4f5400 :.ENUM_WDT_BOOT. 1a90: 010d454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT 1aa0: 00020d45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO 1ab0: 5400030d 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN 1ac0: 5f424f4f 54000400 09545f42 4f4f545f _BOOT....T_BOOT_ 1ad0: 54595045 0000000d ed060000 0e3a0103 TYPE.........:.. 1ae0: 00000e4b 04000777 64745f61 7069001c ...K...wdt_api.. 1af0: 00000eef 085f7764 745f696e 69740000 ....._wdt_init.. 1b00: 00010102 2300085f 7764745f 656e6162 ....#.._wdt_enab 1b10: 6c650000 00010102 2304085f 7764745f le......#.._wdt_ 1b20: 64697361 626c6500 00000101 02230808 disable......#.. 1b30: 5f776474 5f736574 0000000d e602230c _wdt_set......#. 1b40: 085f7764 745f7461 736b0000 00010102 ._wdt_task...... 1b50: 2310085f 7764745f 72657365 74000000 #.._wdt_reset... 1b60: 01010223 14085f77 64745f6c 6173745f ...#.._wdt_last_ 1b70: 626f6f74 0000000e 51022318 00130400 boot....Q.#..... 1b80: 000f560d 5245545f 53554343 45535300 ..V.RET_SUCCESS. 1b90: 000d5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. 1ba0: 0d524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. 1bb0: 0d524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT 1bc0: 00030d52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF 1bd0: 4c4f5700 040d5245 545f554e 4b4e4f57 LOW...RET_UNKNOW 1be0: 4e000500 09545f45 45505f52 45540000 N....T_EEP_RET.. 1bf0: 000eef03 00000182 04000600 000f5601 ..............V. 1c00: 0300000f 6c040006 00000f56 01030000 ....l......V.... 1c10: 0f790400 07656570 5f617069 00100000 .y...eep_api.... 1c20: 0fe2085f 6565705f 696e6974 00000001 ..._eep_init.... 1c30: 01022300 085f6565 705f7265 61640000 ..#.._eep_read.. 1c40: 000f7202 2304085f 6565705f 77726974 ..r.#.._eep_writ 1c50: 65000000 0f720223 08085f65 65705f69 e....r.#.._eep_i 1c60: 735f6578 69737400 00000f7f 02230c00 s_exist......#.. 1c70: 07757362 5f617069 00700000 128f085f .usb_api.p....._ 1c80: 7573625f 696e6974 00000001 01022300 usb_init......#. 1c90: 085f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. 1ca0: 00010102 2304085f 7573625f 66775f74 ....#.._usb_fw_t 1cb0: 61736b00 00000101 02230808 5f757362 ask......#.._usb 1cc0: 5f696e69 745f7068 79000000 01010223 _init_phy......# 1cd0: 0c085f75 73625f65 70305f73 65747570 .._usb_ep0_setup 1ce0: 00000001 01022310 085f7573 625f6570 ......#.._usb_ep 1cf0: 305f7478 00000001 01022314 085f7573 0_tx......#.._us 1d00: 625f6570 305f7278 00000001 01022318 b_ep0_rx......#. 1d10: 085f7573 625f6765 745f696e 74657266 ._usb_get_interf 1d20: 61636500 00000861 02231c08 5f757362 ace....a.#.._usb 1d30: 5f736574 5f696e74 65726661 63650000 _set_interface.. 1d40: 00086102 2320085f 7573625f 6765745f ..a.# ._usb_get_ 1d50: 636f6e66 69677572 6174696f 6e000000 configuration... 1d60: 08610223 24085f75 73625f73 65745f63 .a.#$._usb_set_c 1d70: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... 1d80: 61022328 085f7573 625f7374 616e6461 a.#(._usb_standa 1d90: 72645f63 6d640000 00086102 232c085f rd_cmd....a.#,._ 1da0: 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. 1db0: 00010102 2330085f 7573625f 706f7765 ....#0._usb_powe 1dc0: 725f6f66 66000000 01010223 34085f75 r_off......#4._u 1dd0: 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... 1de0: 01010223 38085f75 73625f67 656e5f77 ...#8._usb_gen_w 1df0: 64740000 00010102 233c085f 7573625f dt......#<._usb_ 1e00: 6a756d70 5f626f6f 74000000 01010223 jump_boot......# 1e10: 40085f75 73625f63 6c725f66 65617475 @._usb_clr_featu 1e20: 72650000 00086102 2344085f 7573625f re....a.#D._usb_ 1e30: 7365745f 66656174 75726500 00000861 set_feature....a 1e40: 02234808 5f757362 5f736574 5f616464 .#H._usb_set_add 1e50: 72657373 00000008 6102234c 085f7573 ress....a.#L._us 1e60: 625f6765 745f6465 73637269 70746f72 b_get_descriptor 1e70: 00000008 61022350 085f7573 625f6765 ....a.#P._usb_ge 1e80: 745f7374 61747573 00000008 61022354 t_status....a.#T 1e90: 085f7573 625f7365 7475705f 64657363 ._usb_setup_desc 1ea0: 00000001 01022358 085f7573 625f7265 ......#X._usb_re 1eb0: 675f6f75 74000000 01010223 5c085f75 g_out......#\._u 1ec0: 73625f73 74617475 735f696e 00000001 sb_status_in.... 1ed0: 01022360 085f7573 625f6570 305f7478 ..#`._usb_ep0_tx 1ee0: 5f646174 61000000 01010223 64085f75 _data......#d._u 1ef0: 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. 1f00: 00010102 2368085f 7573625f 636c6b5f ....#h._usb_clk_ 1f10: 696e6974 00000001 0102236c 00075f56 init......#l.._V 1f20: 44455343 00240000 131b086e 6578745f DESC.$.....next_ 1f30: 64657363 00000013 1b022300 08627566 desc......#..buf 1f40: 5f616464 72000000 132f0223 04086275 _addr..../.#..bu 1f50: 665f7369 7a650000 00133602 23080864 f_size....6.#..d 1f60: 6174615f 6f666673 65740000 00133602 ata_offset....6. 1f70: 230a0864 6174615f 73697a65 00000013 #..data_size.... 1f80: 3602230c 08636f6e 74726f6c 00000013 6.#..control.... 1f90: 3602230e 0868775f 64657363 5f627566 6.#..hw_desc_buf 1fa0: 00000013 44022310 00030000 128f0400 ....D.#......... 1fb0: 09415f55 494e5438 00000003 15030000 .A_UINT8........ 1fc0: 13220400 09415f55 494e5431 36000000 ."...A_UINT16... 1fd0: 016c0e00 00132214 00001351 0f130003 .l...."....Q.... 1fe0: 0000128f 04000956 44455343 00000012 .......VDESC.... 1ff0: 8f030000 13580400 06000013 63010300 .....X......c... 2000: 00136a04 00060000 132f0103 00001377 ..j....../.....w 2010: 04000201 03000013 84040007 76646573 ............vdes 2020: 635f6170 69001400 0013fc08 5f696e69 c_api......._ini 2030: 74000000 0b240223 00085f61 6c6c6f63 t....$.#.._alloc 2040: 5f766465 73630000 00137002 2304085f _vdesc....p.#.._ 2050: 6765745f 68775f64 65736300 0000137d get_hw_desc....} 2060: 02230808 5f737761 705f7664 65736300 .#.._swap_vdesc. 2070: 00001386 02230c08 70526573 65727665 .....#..pReserve 2080: 64000000 03fd0223 1000075f 56425546 d......#..._VBUF 2090: 00200000 145c0864 6573635f 6c697374 . ...\.desc_list 20a0: 00000013 63022300 086e6578 745f6275 ....c.#..next_bu 20b0: 66000000 145c0223 04086275 665f6c65 f....\.#..buf_le 20c0: 6e677468 00000013 36022308 08726573 ngth....6.#..res 20d0: 65727665 64000000 14630223 0a086374 erved....c.#..ct 20e0: 78000000 13440223 0c000300 0013fc04 x....D.#........ 20f0: 000e0000 13220200 0014700f 01000300 ....."....p..... 2100: 0013fc04 00095642 55460000 0013fc03 ......VBUF...... 2110: 00001477 04000600 00148101 03000014 ...w............ 2120: 88040006 00001481 01030000 14950400 ................ 2130: 02010300 0014a204 00077662 75665f61 ..........vbuf_a 2140: 70690014 00001520 085f696e 69740000 pi..... ._init.. 2150: 000b2402 2300085f 616c6c6f 635f7662 ..$.#.._alloc_vb 2160: 75660000 00148e02 2304085f 616c6c6f uf......#.._allo 2170: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size 2180: 00000014 9b022308 085f6672 65655f76 ......#.._free_v 2190: 62756600 000014a4 02230c08 70526573 buf......#..pRes 21a0: 65727665 64000000 03fd0223 1000075f erved......#..._ 21b0: 5f616466 5f646576 69636500 04000015 _adf_device..... 21c0: 42086475 6d6d7900 00000108 02230000 B.dummy......#.. 21d0: 03000009 68040007 5f5f6164 665f646d ....h...__adf_dm 21e0: 615f6d61 70000c00 00158908 62756600 a_map.......buf. 21f0: 00001481 02230008 64735f61 64647200 .....#..ds_addr. 2200: 00001542 02230408 64735f6c 656e0000 ...B.#..ds_len.. 2210: 00133602 23080011 0c000015 c3085f5f ..6.#.........__ 2220: 76615f73 746b0000 00036b02 2300085f va_stk....k.#.._ 2230: 5f76615f 72656700 0000036b 02230408 _va_reg....k.#.. 2240: 5f5f7661 5f6e6478 00000001 08022308 __va_ndx......#. 2250: 00095f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a 2260: 6464725f 74000000 09680961 64665f6f ddr_t....h.adf_o 2270: 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... 2280: c3095f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s 2290: 697a655f 74000000 09680961 64665f6f ize_t....h.adf_o 22a0: 735f646d 615f7369 7a655f74 00000015 s_dma_size_t.... 22b0: f3075f5f 646d615f 73656773 00080000 ..__dma_segs.... 22c0: 164f0870 61646472 00000015 dc022300 .O.paddr......#. 22d0: 086c656e 00000016 0c022304 00095f5f .len......#...__ 22e0: 615f7569 6e743332 5f740000 00096809 a_uint32_t....h. 22f0: 615f7569 6e743332 5f740000 00164f0e a_uint32_t....O. 2300: 00001623 08000016 7e0f0000 07616466 ...#....~....adf 2310: 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. 2320: 0c000016 b7086e73 65677300 00001661 ......nsegs....a 2330: 02230008 646d615f 73656773 00000016 .#..dma_segs.... 2340: 71022304 00095f5f 615f7569 6e74385f q.#...__a_uint8_ 2350: 74000000 13220961 5f75696e 74385f74 t....".a_uint8_t 2360: 00000016 b7030000 16c80400 075f5f73 .............__s 2370: 675f7365 67730008 00001709 08766164 g_segs.......vad 2380: 64720000 0016d702 2300086c 656e0000 dr......#..len.. 2390: 00166102 2304000e 000016de 20000017 ..a.#....... ... 23a0: 160f0300 07616466 5f6f735f 73676c69 .....adf_os_sgli 23b0: 73740024 00001749 086e7365 67730000 st.$...I.nsegs.. 23c0: 00166102 23000873 675f7365 67730000 ..a.#..sg_segs.. 23d0: 00170902 23040011 10000017 92087665 ....#.........ve 23e0: 6e646f72 00000016 61022300 08646576 ndor....a.#..dev 23f0: 69636500 00001661 02230408 73756276 ice....a.#..subv 2400: 656e646f 72000000 16610223 08087375 endor....a.#..su 2410: 62646576 69636500 00001661 02230c00 bdevice....a.#.. 2420: 046c6f6e 67206c6f 6e672075 6e736967 .long long unsig 2430: 6e656420 696e7400 07080941 5f55494e ned int....A_UIN 2440: 54363400 00001792 095f5f61 5f75696e T64......__a_uin 2450: 7436345f 74000000 17ac0961 5f75696e t64_t......a_uin 2460: 7436345f 74000000 17ba1304 00001818 t64_t........... 2470: 0d414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 2480: 5f545950 455f4d45 4d00000d 4144465f _TYPE_MEM...ADF_ 2490: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 24a0: 5f494f00 01000961 64665f6f 735f7265 _IO....adf_os_re 24b0: 736f7572 63655f74 7970655f 74000000 source_type_t... 24c0: 17dc1118 00001862 08737461 72740000 .......b.start.. 24d0: 0017cc02 23000865 6e640000 0017cc02 ....#..end...... 24e0: 23080874 79706500 00001818 02231000 #..type......#.. 24f0: 09616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ 2500: 69645f74 00000017 49030000 18620400 id_t....I....b.. 2510: 10040000 18a10870 63690000 00187b02 .......pci....{. 2520: 23000872 61770000 0003fd02 23000010 #..raw......#... 2530: 10000018 c0087063 69000000 18620223 ......pci....b.# 2540: 00087261 77000000 03fd0223 00000961 ..raw......#...a 2550: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. 2560: 000003fd 09616466 5f6f735f 7265736f .....adf_os_reso 2570: 75726365 5f740000 00183403 000018d6 urce_t....4..... 2580: 04000961 64665f6f 735f6174 74616368 ...adf_os_attach 2590: 5f646174 615f7400 000018a1 03000018 _data_t......... 25a0: f4040003 00001520 0400095f 5f616466 ....... ...__adf 25b0: 5f6f735f 64657669 63655f74 00000019 _os_device_t.... 25c0: 15096164 665f6f73 5f646576 6963655f ..adf_os_device_ 25d0: 74000000 191c0600 0018c001 03000019 t............... 25e0: 48040002 01030000 19550400 09616466 H........U...adf 25f0: 5f6f735f 706d5f74 00000003 fd020103 _os_pm_t........ 2600: 0000196f 04001304 000019af 0d414446 ...o.........ADF 2610: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI 2620: 00010d41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY 2630: 50455f47 454e4552 49430002 00096164 PE_GENERIC....ad 2640: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. 2650: 00001978 09616466 5f6f735f 6275735f ...x.adf_os_bus_ 2660: 7265675f 64617461 5f740000 00188203 reg_data_t...... 2670: 00000315 0400075f 6164665f 6472765f ......._adf_drv_ 2680: 696e666f 00200000 1a8c0864 72765f61 info. .....drv_a 2690: 74746163 68000000 194e0223 00086472 ttach....N.#..dr 26a0: 765f6465 74616368 00000019 57022304 v_detach....W.#. 26b0: 08647276 5f737573 70656e64 00000019 .drv_suspend.... 26c0: 71022308 08647276 5f726573 756d6500 q.#..drv_resume. 26d0: 00001957 02230c08 6275735f 74797065 ...W.#..bus_type 26e0: 00000019 af022310 08627573 5f646174 ......#..bus_dat 26f0: 61000000 19c60223 14086d6f 645f6e61 a......#..mod_na 2700: 6d650000 0019e102 23180869 666e616d me......#..ifnam 2710: 65000000 19e10223 1c000961 64665f6f e......#...adf_o 2720: 735f6861 6e646c65 5f740000 0003fd03 s_handle_t...... 2730: 000016b7 04000201 0201095f 5f616466 ...........__adf 2740: 5f6f735f 73697a65 5f740000 00040013 _os_size_t...... 2750: 0400001a db0d415f 46414c53 4500000d ......A_FALSE... 2760: 415f5452 55450001 0009615f 626f6f6c A_TRUE....a_bool 2770: 5f740000 001ac103 00001549 0400095f _t.........I..._ 2780: 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ 2790: 74000000 1ae90201 0c616466 5f6f735f t........adf_os_ 27a0: 63616368 655f7379 6e630004 00001b73 cache_sync.....s 27b0: 0d414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA 27c0: 4400000d 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE 27d0: 57524954 4500020d 4144465f 53594e43 WRITE...ADF_SYNC 27e0: 5f504f53 54524541 4400010d 4144465f _POSTREAD...ADF_ 27f0: 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. 2800: 00096164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 2810: 796e635f 74000000 1b0a0201 09616466 ync_t........adf 2820: 5f6f735f 73697a65 5f740000 001aac06 _os_size_t...... 2830: 00001b8e 01096164 665f6f73 5f646d61 ......adf_os_dma 2840: 5f6d6170 5f740000 001af003 00001ba7 _map_t.......... 2850: 04000600 0003fd01 0300001a f0040006 ................ 2860: 000003fd 01020106 000015dc 01020104 ................ 2870: 73686f72 7420696e 74000502 09415f49 short int....A_I 2880: 4e543136 0000001b e1095f5f 615f696e NT16......__a_in 2890: 7431365f 74000000 1bee0961 5f696e74 t16_t......a_int 28a0: 31365f74 0000001b fb047369 676e6564 16_t......signed 28b0: 20636861 72000501 09415f49 4e543800 char....A_INT8. 28c0: 00001c1b 095f5f61 5f696e74 385f7400 .....__a_int8_t. 28d0: 00001c2a 09615f69 6e74385f 74000000 ...*.a_int8_t... 28e0: 1c36110c 00001cad 08737570 706f7274 .6.......support 28f0: 65640000 00166102 23000861 64766572 ed....a.#..adver 2900: 74697a65 64000000 16610223 04087370 tized....a.#..sp 2910: 65656400 00001c0c 02230808 6475706c eed......#..dupl 2920: 65780000 001c4602 230a0861 75746f6e ex....F.#..auton 2930: 65670000 0016c802 230b000e 000016c8 eg......#....... 2940: 0600001c ba0f0500 07616466 5f6e6574 .........adf_net 2950: 5f657468 61646472 00060000 1cde0861 _ethaddr.......a 2960: 64647200 00001cad 02230000 095f5f61 ddr......#...__a 2970: 5f75696e 7431365f 74000000 13360961 _uint16_t....6.a 2980: 5f75696e 7431365f 74000000 1cde110e _uint16_t....... 2990: 00001d42 08657468 65725f64 686f7374 ...B.ether_dhost 29a0: 0000001c ad022300 08657468 65725f73 ......#..ether_s 29b0: 686f7374 0000001c ad022306 08657468 host......#..eth 29c0: 65725f74 79706500 00001cf0 02230c00 er_type......#.. 29d0: 11140000 1e031469 705f7665 7273696f .......ip_versio 29e0: 6e000000 16c80100 04022300 1469705f n.........#..ip_ 29f0: 686c0000 0016c801 04040223 00086970 hl.........#..ip 2a00: 5f746f73 00000016 c8022301 0869705f _tos......#..ip_ 2a10: 6c656e00 00001cf0 02230208 69705f69 len......#..ip_i 2a20: 64000000 1cf00223 04086970 5f667261 d......#..ip_fra 2a30: 675f6f66 66000000 1cf00223 06086970 g_off......#..ip 2a40: 5f74746c 00000016 c8022308 0869705f _ttl......#..ip_ 2a50: 70726f74 6f000000 16c80223 09086970 proto......#..ip 2a60: 5f636865 636b0000 001cf002 230a0869 _check......#..i 2a70: 705f7361 64647200 00001661 02230c08 p_saddr....a.#.. 2a80: 69705f64 61646472 00000016 61022310 ip_daddr....a.#. 2a90: 00076164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd 2aa0: 72000400 001e5508 74706964 0000001c r.....U.tpid.... 2ab0: f0022300 14707269 6f000000 16c80100 ..#..prio....... 2ac0: 03022302 14636669 00000016 c8010301 ..#..cfi........ 2ad0: 02230214 76696400 00001cf0 02040c02 .#..vid......... 2ae0: 23020007 6164665f 6e65745f 76696400 #...adf_net_vid. 2af0: 0200001e 86147265 73000000 16c80100 ......res....... 2b00: 04022300 1476616c 0000001c f002040c ..#..val........ 2b10: 02230000 110c0000 1ec20872 785f6275 .#.........rx_bu 2b20: 6673697a 65000000 16610223 00087278 fsize....a.#..rx 2b30: 5f6e6465 73630000 00166102 23040874 _ndesc....a.#..t 2b40: 785f6e64 65736300 00001661 02230800 x_ndesc....a.#.. 2b50: 11080000 1ee80870 6f6c6c65 64000000 .......polled... 2b60: 1adb0223 0008706f 6c6c5f77 74000000 ...#..poll_wt... 2b70: 16610223 04000e00 0016c840 00001ef5 .a.#.......@.... 2b80: 0f3f0011 4600001f 1d086966 5f6e616d .?..F.....if_nam 2b90: 65000000 1ee80223 00086465 765f6164 e......#..dev_ad 2ba0: 64720000 001cad02 23400013 0400001f dr......#@...... 2bb0: 540d4144 465f4f53 5f444d41 5f4d4153 T.ADF_OS_DMA_MAS 2bc0: 4b5f3332 42495400 000d4144 465f4f53 K_32BIT...ADF_OS 2bd0: 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. 2be0: 01000961 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma 2bf0: 736b5f74 0000001f 1d076164 665f646d sk_t......adf_dm 2c00: 615f696e 666f0008 00001fa1 08646d61 a_info.......dma 2c10: 5f6d6173 6b000000 1f540223 00087367 _mask....T.#..sg 2c20: 5f6e7365 67730000 00166102 23040013 _nsegs....a.#... 2c30: 0400001f f70d4144 465f4e45 545f434b ......ADF_NET_CK 2c40: 53554d5f 4e4f4e45 00000d41 44465f4e SUM_NONE...ADF_N 2c50: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 2c60: 5f495076 3400010d 4144465f 4e45545f _IPv4...ADF_NET_ 2c70: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 2c80: 76360002 00096164 665f6e65 745f636b v6....adf_net_ck 2c90: 73756d5f 74797065 5f740000 001fa111 sum_type_t...... 2ca0: 08000020 3a087478 5f636b73 756d0000 ... :.tx_cksum.. 2cb0: 001ff702 23000872 785f636b 73756d00 ....#..rx_cksum. 2cc0: 00001ff7 02230400 09616466 5f6e6574 .....#...adf_net 2cd0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... 2ce0: 20111304 00002093 0d414446 5f4e4554 ..... ..ADF_NET 2cf0: 5f54534f 5f4e4f4e 4500000d 4144465f _TSO_NONE...ADF_ 2d00: 4e45545f 54534f5f 49505634 00010d41 NET_TSO_IPV4...A 2d10: 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. 2d20: 00096164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty 2d30: 70655f74 00000020 54111000 0020e708 pe_t... T.... .. 2d40: 636b7375 6d5f6361 70000000 203a0223 cksum_cap... :.# 2d50: 00087473 6f000000 20930223 0808766c ..tso... ..#..vl 2d60: 616e5f73 7570706f 72746564 00000016 an_supported.... 2d70: c802230c 00112000 00218008 74785f70 ..#... ..!..tx_p 2d80: 61636b65 74730000 00166102 23000872 ackets....a.#..r 2d90: 785f7061 636b6574 73000000 16610223 x_packets....a.# 2da0: 04087478 5f627974 65730000 00166102 ..tx_bytes....a. 2db0: 23080872 785f6279 74657300 00001661 #..rx_bytes....a 2dc0: 02230c08 74785f64 726f7070 65640000 .#..tx_dropped.. 2dd0: 00166102 23100872 785f6472 6f707065 ..a.#..rx_droppe 2de0: 64000000 16610223 14087278 5f657272 d....a.#..rx_err 2df0: 6f727300 00001661 02231808 74785f65 ors....a.#..tx_e 2e00: 72726f72 73000000 16610223 1c000961 rrors....a.#...a 2e10: 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t 2e20: 0000001c ba150000 21800300 000021a5 ........!.....!. 2e30: 0f7f0016 6164665f 6e65745f 636d645f ....adf_net_cmd_ 2e40: 6d636164 64720003 04000021 dc086e65 mcaddr.....!..ne 2e50: 6c656d00 00001661 02230008 6d636173 lem....a.#..mcas 2e60: 74000000 21970223 04000961 64665f6e t...!..#...adf_n 2e70: 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info 2e80: 5f740000 001c5409 6164665f 6e65745f _t....T.adf_net_ 2e90: 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. 2ea0: 00001ec2 09616466 5f6e6574 5f636d64 .....adf_net_cmd 2eb0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... 2ec0: 203a0961 64665f6e 65745f63 6d645f72 :.adf_net_cmd_r 2ed0: 696e675f 696e666f 5f740000 001e8609 ing_info_t...... 2ee0: 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ 2ef0: 696e666f 5f740000 001f6b09 6164665f info_t....k.adf_ 2f00: 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... 2f10: 1cf00961 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o 2f20: 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... 2f30: ab096164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st 2f40: 6174735f 74000000 20e70961 64665f6e ats_t... ..adf_n 2f50: 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. 2f60: 000021a5 0c616466 5f6e6574 5f636d64 ..!..adf_net_cmd 2f70: 5f6d6361 73745f63 61700004 0000231e _mcast_cap....#. 2f80: 0d414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S 2f90: 55500000 0d414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA 2fa0: 53545f4e 4f545355 50000100 09616466 ST_NOTSUP....adf 2fb0: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 2fc0: 61705f74 00000022 d6170304 000023f0 ap_t..."......#. 2fd0: 086c696e 6b5f696e 666f0000 0021dc02 .link_info...!.. 2fe0: 23000870 6f6c6c5f 696e666f 00000021 #..poll_info...! 2ff0: f9022300 08636b73 756d5f69 6e666f00 ..#..cksum_info. 3000: 00002216 02230008 72696e67 5f696e66 .."..#..ring_inf 3010: 6f000000 22340223 0008646d 615f696e o..."4.#..dma_in 3020: 666f0000 00225102 23000876 69640000 fo..."Q.#..vid.. 3030: 00226d02 2300086f 66666c6f 61645f63 ."m.#..offload_c 3040: 61700000 00228402 23000873 74617473 ap..."..#..stats 3050: 00000022 a3022300 086d6361 73745f69 ..."..#..mcast_i 3060: 6e666f00 000022bc 02230008 6d636173 nfo..."..#..mcas 3070: 745f6361 70000000 231e0223 00001304 t_cap...#..#.... 3080: 00002447 0d414446 5f4e4255 465f5258 ..$G.ADF_NBUF_RX 3090: 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD 30a0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 30b0: 48570001 0d414446 5f4e4255 465f5258 HW...ADF_NBUF_RX 30c0: 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA 30d0: 52590002 00096164 665f6e62 75665f72 RY....adf_nbuf_r 30e0: 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. 30f0: 0023f011 08000024 87087265 73756c74 .#.....$..result 3100: 00000024 47022300 0876616c 00000016 ...$G.#..val.... 3110: 61022304 00110800 0024b708 74797065 a.#......$..type 3120: 00000020 93022300 086d7373 0000001c ... ..#..mss.... 3130: f0022304 08686472 5f6f6666 00000016 ..#..hdr_off.... 3140: c8022306 00075f5f 6164665f 6e627566 ..#...__adf_nbuf 3150: 5f716865 6164000c 000024f6 08686561 _qhead....$..hea 3160: 64000000 14810223 00087461 696c0000 d......#..tail.. 3170: 00148102 23040871 6c656e00 00001661 ....#..qlen....a 3180: 02230800 095f5f61 64665f6e 6275665f .#...__adf_nbuf_ 3190: 74000000 14810300 0016d704 00030000 t............... 31a0: 16610400 02010600 00136301 06000016 .a........c..... 31b0: 61010600 0016d701 06000016 d7010300 a............... 31c0: 00134404 00095f5f 6164665f 6e627566 ..D...__adf_nbuf 31d0: 5f716865 61645f74 00000024 b7095f5f _qhead_t...$..__ 31e0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 31f0: 00000025 37030000 254f0400 06000024 ...%7...%O.....$ 3200: f6010600 0024f601 13040000 266f0d41 .....$......&o.A 3210: 5f535441 5455535f 4f4b0000 0d415f53 _STATUS_OK...A_S 3220: 54415455 535f4641 494c4544 00010d41 TATUS_FAILED...A 3230: 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. 3240: 0d415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM 3250: 00030d41 5f535441 5455535f 45494e56 ...A_STATUS_EINV 3260: 414c0004 0d415f53 54415455 535f4549 AL...A_STATUS_EI 3270: 4e50524f 47524553 5300050d 415f5354 NPROGRESS...A_ST 3280: 41545553 5f454e4f 54535550 5000060d ATUS_ENOTSUPP... 3290: 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. 32a0: 0d415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. 32b0: 080d415f 53544154 55535f45 41444452 ..A_STATUS_EADDR 32c0: 4e4f5441 5641494c 00090d41 5f535441 NOTAVAIL...A_STA 32d0: 5455535f 454e5849 4f000a0d 415f5354 TUS_ENXIO...A_ST 32e0: 41545553 5f454641 554c5400 0b0d415f ATUS_EFAULT...A_ 32f0: 53544154 55535f45 494f000c 0009615f STATUS_EIO....a_ 3300: 73746174 75735f74 00000025 7a060000 status_t...%z... 3310: 266f0106 00000108 01020109 6164665f &o..........adf_ 3320: 6e627566 5f740000 0024f613 04000026 nbuf_t...$.....& 3330: d40d4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ 3340: 44455649 43450000 0d414446 5f4f535f DEVICE...ADF_OS_ 3350: 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. 3360: 01000961 64665f6f 735f646d 615f6469 ...adf_os_dma_di 3370: 725f7400 0000269d 06000026 6f010201 r_t...&....&o... 3380: 09616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i 3390: 6e666f5f 74000000 167e0300 0026f204 nfo_t....~...&.. 33a0: 00020102 01060000 268d0106 000024f6 ........&.....$. 33b0: 01020102 01060000 268d0106 000024f6 ........&.....$. 33c0: 01060000 268d0106 000024f6 01060000 ....&.....$..... 33d0: 268d0102 01020106 00001661 01060000 &..........a.... 33e0: 16d70102 01020106 00001b8e 01060000 ................ 33f0: 1adb0106 00001adb 01096164 665f6f73 ..........adf_os 3400: 5f73676c 6973745f 74000000 17160300 _sglist_t....... 3410: 00276b04 00020102 01020106 000016d7 .'k............. 3420: 01096164 665f6e62 75665f71 75657565 ..adf_nbuf_queue 3430: 5f740000 00254f03 00002793 04000201 _t...%O...'..... 3440: 03000025 37040002 01020102 01060000 ...%7........... 3450: 268d0106 000024f6 01060000 16610106 &.....$......a.. 3460: 00001661 01060000 1adb0106 00001adb ...a............ 3470: 01060000 1ff70106 00001661 01096164 ...........a..ad 3480: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 3490: 74000000 24650300 0027ef04 00020102 t...$e...'...... 34a0: 01096164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t 34b0: 00000024 87030000 28130400 02010201 ...$....(....... 34c0: 09616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ 34d0: 74000000 03fd0961 64665f6e 65745f76 t......adf_net_v 34e0: 6c616e68 64725f74 0000001e 03030000 lanhdr_t........ 34f0: 28480400 06000026 6f010600 00266f01 (H.....&o....&o. 3500: 02010201 075f4849 465f434f 4e464947 ....._HIF_CONFIG 3510: 00040000 28970864 756d6d79 00000001 ....(..dummy.... 3520: 08022300 00020103 00002897 04000201 ..#.......(..... 3530: 03000028 a0040007 5f484946 5f43414c ...(...._HIF_CAL 3540: 4c424143 4b000c00 0028f508 73656e64 LBACK....(..send 3550: 5f627566 5f646f6e 65000000 28990223 _buf_done...(..# 3560: 00087265 63765f62 75660000 0028a202 ..recv_buf...(.. 3570: 23040863 6f6e7465 78740000 0003fd02 #..context...... 3580: 23080009 6869665f 68616e64 6c655f74 #...hif_handle_t 3590: 00000003 fd094849 465f434f 4e464947 ......HIF_CONFIG 35a0: 00000028 76030000 29070400 06000028 ...(v...)......( 35b0: f5010300 00291e04 00020103 0000292b .....)........)+ 35c0: 04000948 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. 35d0: 000028a9 03000029 34040002 01030000 ..(....)4....... 35e0: 294d0400 06000001 08010300 00295604 )M...........)V. 35f0: 00020103 00002963 04000600 00010801 ......)c........ 3600: 03000029 6c040002 01030000 29790400 ...)l.......)y.. 3610: 06000001 08010300 00298204 00020103 .........)...... 3620: 0000298f 04000768 69665f61 70690038 ..)....hif_api.8 3630: 00002ae8 085f696e 69740000 00292402 ..*.._init...)$. 3640: 2300085f 73687574 646f776e 00000029 #.._shutdown...) 3650: 2d022304 085f7265 67697374 65725f63 -.#.._register_c 3660: 616c6c62 61636b00 0000294f 02230808 allback...)O.#.. 3670: 5f676574 5f746f74 616c5f63 72656469 _get_total_credi 3680: 745f636f 756e7400 0000295c 02230c08 t_count...)\.#.. 3690: 5f737461 72740000 00292d02 2310085f _start...)-.#.._ 36a0: 636f6e66 69675f70 69706500 00002965 config_pipe...)e 36b0: 02231408 5f73656e 645f6275 66666572 .#.._send_buffer 36c0: 00000029 72022318 085f7265 7475726e ...)r.#.._return 36d0: 5f726563 765f6275 66000000 297b0223 _recv_buf...){.# 36e0: 1c085f69 735f7069 70655f73 7570706f .._is_pipe_suppo 36f0: 72746564 00000029 88022320 085f6765 rted...)..# ._ge 3700: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... 3710: 29880223 24085f67 65745f72 65736572 )..#$._get_reser 3720: 7665645f 68656164 726f6f6d 00000029 ved_headroom...) 3730: 5c022328 085f6973 725f6861 6e646c65 \.#(._isr_handle 3740: 72000000 292d0223 2c085f67 65745f64 r...)-.#,._get_d 3750: 65666175 6c745f70 69706500 00002991 efault_pipe...). 3760: 02233008 70526573 65727665 64000000 .#0.pReserved... 3770: 03fd0223 34000c64 6d615f65 6e67696e ...#4..dma_engin 3780: 65000400 002b710d 444d415f 454e4749 e....+q.DMA_ENGI 3790: 4e455f52 58300000 0d444d41 5f454e47 NE_RX0...DMA_ENG 37a0: 494e455f 52583100 010d444d 415f454e INE_RX1...DMA_EN 37b0: 47494e45 5f525832 00020d44 4d415f45 GINE_RX2...DMA_E 37c0: 4e47494e 455f5258 3300030d 444d415f NGINE_RX3...DMA_ 37d0: 454e4749 4e455f54 58300004 0d444d41 ENGINE_TX0...DMA 37e0: 5f454e47 494e455f 54583100 050d444d _ENGINE_TX1...DM 37f0: 415f454e 47494e45 5f4d4158 00060009 A_ENGINE_MAX.... 3800: 646d615f 656e6769 6e655f74 0000002a dma_engine_t...* 3810: e80c646d 615f6966 74797065 00040000 ..dma_iftype.... 3820: 2bbe0d44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. 3830: 0d444d41 5f49465f 50434900 010d444d .DMA_IF_PCI...DM 3840: 415f4946 5f504349 45000200 09646d61 A_IF_PCIE....dma 3850: 5f696674 7970655f 74000000 2b830600 _iftype_t...+... 3860: 00133601 0300002b d0040002 01030000 ..6....+........ 3870: 2bdd0400 02010300 002be604 00060000 +........+...... 3880: 09680103 00002bef 04000600 00133601 .h....+.......6. 3890: 0300002b fc040006 00001336 01030000 ...+.......6.... 38a0: 2c090400 06000014 81010300 002c1604 ,............,.. 38b0: 00020103 00002c23 04000764 6d615f6c ......,#...dma_l 38c0: 69625f61 70690034 00002d2a 0874785f ib_api.4..-*.tx_ 38d0: 696e6974 0000002b d6022300 0874785f init...+..#..tx_ 38e0: 73746172 74000000 2bdf0223 04087278 start...+..#..rx 38f0: 5f696e69 74000000 2bd60223 08087278 _init...+..#..rx 3900: 5f636f6e 66696700 00002be8 02230c08 _config...+..#.. 3910: 72785f73 74617274 0000002b df022310 rx_start...+..#. 3920: 08696e74 725f7374 61747573 0000002b .intr_status...+ 3930: f5022314 08686172 645f786d 69740000 ..#..hard_xmit.. 3940: 002c0202 23180866 6c757368 5f786d69 .,..#..flush_xmi 3950: 74000000 2bdf0223 1c08786d 69745f64 t...+..#..xmit_d 3960: 6f6e6500 00002c0f 02232008 72656170 one...,..# .reap 3970: 5f786d69 74746564 0000002c 1c022324 _xmitted...,..#$ 3980: 08726561 705f7265 63760000 002c1c02 .reap_recv...,.. 3990: 23280872 65747572 6e5f7265 63760000 #(.return_recv.. 39a0: 002c2502 232c0872 6563765f 706b7400 .,%.#,.recv_pkt. 39b0: 00002c0f 02233000 075f5f70 63695f73 ..,..#0..__pci_s 39c0: 6f667463 000c0000 2d480873 77000000 oftc....-H.sw... 39d0: 29340223 0000095f 5f706369 5f736f66 )4.#...__pci_sof 39e0: 74635f74 0000002d 2a030000 2d480400 tc_t...-*...-H.. 39f0: 02010300 002d6204 00060000 13220103 .....-b......".. 3a00: 00002d6b 04000c68 69665f70 63695f70 ..-k...hif_pci_p 3a10: 6970655f 74780004 00002dcb 0d484946 ipe_tx....-..HIF 3a20: 5f504349 5f504950 455f5458 3000000d _PCI_PIPE_TX0... 3a30: 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 3a40: 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 3a50: 54585f4d 41580002 00096869 665f7063 TX_MAX....hif_pc 3a60: 695f7069 70655f74 785f7400 00002d78 i_pipe_tx_t...-x 3a70: 0600002b 71010300 002de204 000c6869 ...+q....-....hi 3a80: 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... 3a90: 002e680d 4849465f 5043495f 50495045 ..h.HIF_PCI_PIPE 3aa0: 5f525830 00000d48 49465f50 43495f50 _RX0...HIF_PCI_P 3ab0: 4950455f 52583100 010d4849 465f5043 IPE_RX1...HIF_PC 3ac0: 495f5049 50455f52 58320002 0d484946 I_PIPE_RX2...HIF 3ad0: 5f504349 5f504950 455f5258 3300030d _PCI_PIPE_RX3... 3ae0: 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ 3af0: 4d415800 04000968 69665f70 63695f70 MAX....hif_pci_p 3b00: 6970655f 72785f74 0000002d ef060000 ipe_rx_t...-.... 3b10: 2b710103 00002e7f 04000768 69665f70 +q.........hif_p 3b20: 63695f61 70690024 00002f5d 08706369 ci_api.$../].pci 3b30: 5f626f6f 745f696e 69740000 00010102 _boot_init...... 3b40: 23000870 63695f69 6e697400 00002924 #..pci_init...)$ 3b50: 02230408 7063695f 72657365 74000000 .#..pci_reset... 3b60: 01010223 08087063 695f656e 61626c65 ...#..pci_enable 3b70: 00000001 0102230c 08706369 5f726561 ......#..pci_rea 3b80: 705f786d 69747465 64000000 2d640223 p_xmitted...-d.# 3b90: 10087063 695f7265 61705f72 65637600 ..pci_reap_recv. 3ba0: 00002d64 02231408 7063695f 6765745f ..-d.#..pci_get_ 3bb0: 70697065 0000002d 71022318 08706369 pipe...-q.#..pci 3bc0: 5f676574 5f74785f 656e6700 00002de8 _get_tx_eng...-. 3bd0: 02231c08 7063695f 6765745f 72785f65 .#..pci_get_rx_e 3be0: 6e670000 002e8502 23200007 676d6163 ng......# ..gmac 3bf0: 5f617069 00040000 2f840867 6d61635f _api..../..gmac_ 3c00: 626f6f74 5f696e69 74000000 01010223 boot_init......# 3c10: 00000e00 00031506 00002f91 0f050007 ........../..... 3c20: 5f5f6574 68686472 000e0000 2fc70864 __ethhdr..../..d 3c30: 73740000 002f8402 23000873 72630000 st.../..#..src.. 3c40: 002f8402 23060865 74797065 00000013 ./..#..etype.... 3c50: 3602230c 00075f5f 61746868 64720004 6.#...__athhdr.. 3c60: 00003015 14726573 00000013 22010002 ..0..res...."... 3c70: 02230014 70726f74 6f000000 13220102 .#..proto....".. 3c80: 06022300 08726573 5f6c6f00 00001322 ..#..res_lo...." 3c90: 02230108 7265735f 68690000 00133602 .#..res_hi....6. 3ca0: 23020007 5f5f676d 61635f68 64720014 #...__gmac_hdr.. 3cb0: 00003051 08657468 0000002f 91022300 ..0Q.eth.../..#. 3cc0: 08617468 0000002f c702230e 08616c69 .ath.../..#..ali 3cd0: 676e5f70 61640000 00133602 23120009 gn_pad....6.#... 3ce0: 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 3cf0: 15075f5f 676d6163 5f736f66 74630024 ..__gmac_softc.$ 3d00: 0000309b 08686472 00000030 51022300 ..0..hdr...0Q.#. 3d10: 08677261 6e000000 13360223 14087377 .gran....6.#..sw 3d20: 00000029 34022318 00075f41 5f6f735f ...)4.#..._A_os_ 3d30: 6c696e6b 6167655f 63686563 6b000800 linkage_check... 3d40: 0030d408 76657273 696f6e00 00000108 .0..version..... 3d50: 02230008 7461626c 65000000 01080223 .#..table......# 3d60: 04000300 00309b04 00060000 01080103 .....0.......... 3d70: 000030db 04000300 00040004 00165f41 ..0..........._A 3d80: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 3d90: 6f6e5f74 61626c65 0001b800 00322b08 on_table.....2+. 3da0: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec 3db0: 6b000000 30e10223 00087374 6172745f k...0..#..start_ 3dc0: 62737300 000030e8 02230408 6170705f bss...0..#..app_ 3dd0: 73746172 74000000 01010223 08086d65 start......#..me 3de0: 6d000000 04400223 0c086d69 73630000 m....@.#..misc.. 3df0: 0005b302 23200870 72696e74 66000000 ....# .printf... 3e00: 01350223 44087561 72740000 0001fe02 .5.#D.uart...... 3e10: 234c0867 6d616300 00002f5d 02236c08 #L.gmac.../].#l. 3e20: 75736200 00000fe2 02237008 636c6f63 usb......#p.cloc 3e30: 6b000000 0b2b0323 e0010874 696d6572 k....+.#...timer 3e40: 00000007 cb032384 0208696e 74720000 ......#...intr.. 3e50: 000c7b03 23980208 616c6c6f 6372616d ..{.#...allocram 3e60: 00000009 830323c4 0208726f 6d700000 ......#...romp.. 3e70: 00087503 23d00208 7764745f 74696d65 ..u.#...wdt_time 3e80: 72000000 0e580323 e0020865 65700000 r....X.#...eep.. 3e90: 000f8603 23fc0208 73747269 6e670000 ....#...string.. 3ea0: 0006d703 238c0308 7461736b 6c657400 ....#...tasklet. 3eb0: 00000a80 0323a403 00075f55 53425f46 .....#...._USB_F 3ec0: 49464f5f 434f4e46 49470010 0000329e IFO_CONFIG....2. 3ed0: 08676574 5f636f6d 6d616e64 5f627566 .get_command_buf 3ee0: 00000014 8e022300 08726563 765f636f ......#..recv_co 3ef0: 6d6d616e 64000000 14a40223 04086765 mmand......#..ge 3f00: 745f6576 656e745f 62756600 0000148e t_event_buf..... 3f10: 02230808 73656e64 5f657665 6e745f64 .#..send_event_d 3f20: 6f6e6500 000014a4 02230c00 09555342 one......#...USB 3f30: 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 3f40: 2b030000 329e0400 02010300 0032ba04 +...2........2.. 3f50: 00077573 62666966 6f5f6170 69000c00 ..usbfifo_api... 3f60: 00331008 5f696e69 74000000 32bc0223 .3.._init...2..# 3f70: 00085f65 6e61626c 655f6576 656e745f .._enable_event_ 3f80: 69737200 00000101 02230408 70526573 isr......#..pRes 3f90: 65727665 64000000 03fd0223 08000e00 erved......#.... 3fa0: 0016c802 0000331d 0f010007 5f485443 ......3....._HTC 3fb0: 5f465241 4d455f48 44520008 0000338f _FRAME_HDR....3. 3fc0: 08456e64 706f696e 74494400 000016c8 .EndpointID..... 3fd0: 02230008 466c6167 73000000 16c80223 .#..Flags......# 3fe0: 01085061 796c6f61 644c656e 0000001c ..PayloadLen.... 3ff0: f0022302 08436f6e 74726f6c 42797465 ..#..ControlByte 4000: 73000000 33100223 0408486f 73745365 s...3..#..HostSe 4010: 714e756d 0000001c f0022306 00110200 qNum......#..... 4020: 0033a808 4d657373 61676549 44000000 .3..MessageID... 4030: 1cf00223 00001108 0000340b 084d6573 ...#......4..Mes 4040: 73616765 49440000 001cf002 23000843 sageID......#..C 4050: 72656469 74436f75 6e740000 001cf002 reditCount...... 4060: 23020843 72656469 7453697a 65000000 #..CreditSize... 4070: 1cf00223 04084d61 78456e64 706f696e ...#..MaxEndpoin 4080: 74730000 0016c802 2306085f 50616431 ts......#.._Pad1 4090: 00000016 c8022307 00110a00 0034a208 ......#......4.. 40a0: 4d657373 61676549 44000000 1cf00223 MessageID......# 40b0: 00085365 72766963 65494400 00001cf0 ..ServiceID..... 40c0: 02230208 436f6e6e 65637469 6f6e466c .#..ConnectionFl 40d0: 61677300 00001cf0 02230408 446f776e ags......#..Down 40e0: 4c696e6b 50697065 49440000 0016c802 LinkPipeID...... 40f0: 23060855 704c696e 6b506970 65494400 #..UpLinkPipeID. 4100: 000016c8 02230708 53657276 6963654d .....#..ServiceM 4110: 6574614c 656e6774 68000000 16c80223 etaLength......# 4120: 08085f50 61643100 000016c8 02230900 .._Pad1......#.. 4130: 110a0000 352a084d 65737361 67654944 ....5*.MessageID 4140: 0000001c f0022300 08536572 76696365 ......#..Service 4150: 49440000 001cf002 23020853 74617475 ID......#..Statu 4160: 73000000 16c80223 0408456e 64706f69 s......#..Endpoi 4170: 6e744944 00000016 c8022305 084d6178 ntID......#..Max 4180: 4d736753 697a6500 00001cf0 02230608 MsgSize......#.. 4190: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 41a0: 68000000 16c80223 08085f50 61643100 h......#.._Pad1. 41b0: 000016c8 02230900 11020000 3543084d .....#......5C.M 41c0: 65737361 67654944 0000001c f0022300 essageID......#. 41d0: 00110400 00357f08 4d657373 61676549 .....5..MessageI 41e0: 44000000 1cf00223 00085069 70654944 D......#..PipeID 41f0: 00000016 c8022302 08437265 64697443 ......#..CreditC 4200: 6f756e74 00000016 c8022303 00110400 ount......#..... 4210: 0035b608 4d657373 61676549 44000000 .5..MessageID... 4220: 1cf00223 00085069 70654944 00000016 ...#..PipeID.... 4230: c8022302 08537461 74757300 000016c8 ..#..Status..... 4240: 02230300 11020000 35dd0852 65636f72 .#......5..Recor 4250: 64494400 000016c8 02230008 4c656e67 dID......#..Leng 4260: 74680000 0016c802 23010011 02000036 th......#......6 4270: 0708456e 64706f69 6e744944 00000016 ..EndpointID.... 4280: c8022300 08437265 64697473 00000016 ..#..Credits.... 4290: c8022301 00110400 00364808 456e6470 ..#......6H.Endp 42a0: 6f696e74 49440000 0016c802 23000843 ointID......#..C 42b0: 72656469 74730000 0016c802 23010854 redits......#..T 42c0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... 42d0: 1cf00223 02000e00 0016c804 00003655 ...#..........6U 42e0: 0f030011 06000036 91085072 6556616c .......6..PreVal 42f0: 69640000 0016c802 2300084c 6f6f6b41 id......#..LookA 4300: 68656164 00000036 48022301 08506f73 head...6H.#..Pos 4310: 7456616c 69640000 0016c802 23050009 tValid......#... 4320: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... 4330: 03fd0600 00369101 03000036 a4040002 .....6.....6.... 4340: 01030000 36b10400 13040000 372f0d50 ....6.......7/.P 4350: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR 4360: 4f4c0000 0d504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI 4370: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. 4380: 0d504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC 4390: 5f455645 4e540002 0d504f4f 4c5f4944 _EVENT...POOL_ID 43a0: 5f574c41 4e5f5258 5f425546 00030d50 _WLAN_RX_BUF...P 43b0: 4f4f4c5f 49445f4d 4158000a 00094255 OOL_ID_MAX....BU 43c0: 465f504f 4f4c5f49 44000000 36ba0201 F_POOL_ID...6... 43d0: 03000037 40040006 0000268d 01030000 ...7@.....&..... 43e0: 37490400 06000026 8d010300 00375604 7I.....&.....7V. 43f0: 00020103 00003763 04000762 75665f70 ......7c...buf_p 4400: 6f6f6c5f 61706900 1c000038 05085f69 ool_api....8.._i 4410: 6e697400 000036aa 02230008 5f736875 nit...6..#.._shu 4420: 74646f77 6e000000 36b30223 04085f63 tdown...6..#.._c 4430: 72656174 655f706f 6f6c0000 00374202 reate_pool...7B. 4440: 2308085f 616c6c6f 635f6275 66000000 #.._alloc_buf... 4450: 374f0223 0c085f61 6c6c6f63 5f627566 7O.#.._alloc_buf 4460: 5f616c69 676e0000 00375c02 2310085f _align...7\.#.._ 4470: 66726565 5f627566 00000037 65022314 free_buf...7e.#. 4480: 08705265 73657276 65640000 0003fd02 .pReserved...... 4490: 23180007 5f485443 5f534552 56494345 #..._HTC_SERVICE 44a0: 001c0000 38e40870 4e657874 00000038 ....8..pNext...8 44b0: e4022300 0850726f 63657373 52656376 ..#..ProcessRecv 44c0: 4d736700 00003999 02230408 50726f63 Msg...9..#..Proc 44d0: 65737353 656e6442 75666665 72436f6d essSendBufferCom 44e0: 706c6574 65000000 39a20223 08085072 plete...9..#..Pr 44f0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 4500: b602230c 08536572 76696365 49440000 ..#..ServiceID.. 4510: 00133602 23100853 65727669 6365466c ..6.#..ServiceFl 4520: 61677300 00001336 02231208 4d617853 ags....6.#..MaxS 4530: 76634d73 6753697a 65000000 13360223 vcMsgSize....6.# 4540: 14085472 61696c65 72537063 43686563 ..TrailerSpcChec 4550: 6b4c696d 69740000 00133602 23160853 kLimit....6.#..S 4560: 65727669 63654374 78000000 03fd0223 erviceCtx......# 4570: 18000300 00380504 00130400 00398218 .....8.......9.. 4580: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. 4590: ffffffff 0d454e44 504f494e 54300000 .....ENDPOINT0.. 45a0: 0d454e44 504f494e 54310001 0d454e44 .ENDPOINT1...END 45b0: 504f494e 54320002 0d454e44 504f494e POINT2...ENDPOIN 45c0: 54330003 0d454e44 504f494e 54340004 T3...ENDPOINT4.. 45d0: 0d454e44 504f494e 54350005 0d454e44 .ENDPOINT5...END 45e0: 504f494e 54360006 0d454e44 504f494e POINT6...ENDPOIN 45f0: 54370007 0d454e44 504f494e 54380008 T7...ENDPOINT8.. 4600: 0d454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... 4610: 09485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID 4620: 00000038 eb020103 00003997 04000201 ...8......9..... 4630: 03000039 a0040003 00000108 04000600 ...9............ 4640: 00132201 03000039 b0040003 00003805 .."....9......8. 4650: 0400075f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. 4660: 00003a35 08437265 64697453 697a6500 ..:5.CreditSize. 4670: 00000108 02230008 43726564 69744e75 .....#..CreditNu 4680: 6d626572 00000001 08022304 084f5348 mber......#..OSH 4690: 616e646c 65000000 1a8c0223 08084849 andle......#..HI 46a0: 4648616e 646c6500 000028f5 02230c08 FHandle...(..#.. 46b0: 506f6f6c 48616e64 6c650000 00369102 PoolHandle...6.. 46c0: 23100007 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON 46d0: 54455854 00020000 3a710865 6e645f70 TEXT....:q.end_p 46e0: 6f696e74 00000013 22022300 08687463 oint....".#..htc 46f0: 5f666c61 67730000 00132202 23010009 _flags....".#... 4700: 6874635f 68616e64 6c655f74 00000003 htc_handle_t.... 4710: fd094854 435f5345 5455505f 434f4d50 ..HTC_SETUP_COMP 4720: 4c455445 5f434200 00000101 09485443 LETE_CB......HTC 4730: 5f434f4e 46494700 000039c4 0300003a _CONFIG...9....: 4740: 9e040006 00003a71 01030000 3ab50400 ......:q....:... 4750: 02010300 003ac204 00094854 435f5345 .....:....HTC_SE 4760: 52564943 45000000 38050300 003acb04 RVICE...8....:.. 4770: 00020103 00003ae3 04000201 0300003a ......:........: 4780: ec040002 01030000 3af50400 06000001 ........:....... 4790: 08010300 003afe04 00076874 635f6170 .....:....htc_ap 47a0: 69730034 00003c7b 085f4854 435f496e is.4..<{._HTC_In 47b0: 69740000 003abb02 2300085f 4854435f it...:..#.._HTC_ 47c0: 53687574 646f776e 0000003a c4022304 Shutdown...:..#. 47d0: 085f4854 435f5265 67697374 65725365 ._HTC_RegisterSe 47e0: 72766963 65000000 3ae50223 08085f48 rvice...:..#.._H 47f0: 54435f52 65616479 0000003a c402230c TC_Ready...:..#. 4800: 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 4810: 65727300 00003aee 02231008 5f485443 ers...:..#.._HTC 4820: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi 4830: 73740000 003af702 2314085f 4854435f st...:..#.._HTC_ 4840: 53656e64 4d736700 00003aee 02231808 SendMsg...:..#.. 4850: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved 4860: 48656164 726f6f6d 0000003b 0402231c Headroom...;..#. 4870: 085f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan 4880: 646c6572 00000028 a2022320 085f4854 dler...(..# ._HT 4890: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle 48a0: 72000000 28990223 24085f48 54435f43 r...(..#$._HTC_C 48b0: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 48c0: 4d736700 00003999 02232808 5f485443 Msg...9..#(._HTC 48d0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce 48e0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. 48f0: 0039a202 232c0870 52657365 72766564 .9..#,.pReserved 4900: 00000003 fd022330 0007686f 73745f61 ......#0..host_a 4910: 70705f61 7265615f 73000400 003cab08 pp_area_s....<.. 4920: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver 4930: 00000016 61022300 00110e00 003ce208 ....a.#......<.. 4940: 6473744d 61630000 001cad02 23000873 dstMac......#..s 4950: 72634d61 63000000 1cad0223 06087479 rcMac......#..ty 4960: 70654f72 4c656e00 00001cf0 02230c00 peOrLen......#.. 4970: 0e000016 c8030000 3cef0f02 00110800 ........<....... 4980: 003d3f08 64736170 00000016 c8022300 .=?.dsap......#. 4990: 08737361 70000000 16c80223 0108636e .ssap......#..cn 49a0: 746c0000 0016c802 2302086f 7267436f tl......#..orgCo 49b0: 64650000 003ce202 23030865 74686572 de...<..#..ether 49c0: 54797065 0000001c f0022306 00110200 Type......#..... 49d0: 003d6008 72737369 0000001c 46022300 .=`.rssi....F.#. 49e0: 08696e66 6f000000 16c80223 01001104 .info......#.... 49f0: 00003d87 08636f6d 6d616e64 49640000 ..=..commandId.. 4a00: 001cf002 23000873 65714e6f 0000001c ....#..seqNo.... 4a10: f0022302 000e0000 16c80100 003d940f ..#..........=.. 4a20: 00001102 00003dbb 086d7367 53697a65 ......=..msgSize 4a30: 00000016 c8022300 086d7367 44617461 ......#..msgData 4a40: 0000003d 87022301 00110800 003e0208 ...=..#......>.. 4a50: 61646472 6573734c 0000001c f0022300 addressL......#. 4a60: 08616464 72657373 48000000 1cf00223 .addressH......# 4a70: 02087661 6c75654c 0000001c f0022304 ..valueL......#. 4a80: 0876616c 75654800 00001cf0 02230600 .valueH......#.. 4a90: 09574d49 5f415654 0000003d bb0e0000 .WMI_AVT...=.... 4aa0: 3e020800 003e1c0f 0000110c 00003e53 >....>........>S 4ab0: 08747570 6c654e75 6d4c0000 001cf002 .tupleNumL...... 4ac0: 23000874 75706c65 4e756d48 0000001c #..tupleNumH.... 4ad0: f0022302 08617674 0000003e 0f022304 ..#..avt...>..#. 4ae0: 00110100 003e7508 62656163 6f6e5065 .....>u.beaconPe 4af0: 6e64696e 67436f75 6e740000 0016c802 ndingCount...... 4b00: 23000007 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON 4b10: 46494700 1000003e de084874 6348616e FIG....>..HtcHan 4b20: 646c6500 00003a71 02230008 506f6f6c dle...:q.#..Pool 4b30: 48616e64 6c650000 00369102 2304084d Handle...6..#..M 4b40: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. 4b50: 00010802 2308084d 61784576 656e7445 ....#..MaxEventE 4b60: 76747300 00000108 02230c00 02010300 vts......#...... 4b70: 003ede04 0009574d 495f434d 445f4841 .>....WMI_CMD_HA 4b80: 4e444c45 52000000 3ee0075f 574d495f NDLER...>.._WMI_ 4b90: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. 4ba0: 00003f47 0870436d 6448616e 646c6572 ..?G.pCmdHandler 4bb0: 0000003e e7022300 08436d64 49440000 ...>..#..CmdID.. 4bc0: 00133602 23040846 6c616773 00000013 ..6.#..Flags.... 4bd0: 36022306 00075f57 4d495f44 49535041 6.#..._WMI_DISPA 4be0: 5443485f 5441424c 45001000 003fa808 TCH_TABLE....?.. 4bf0: 704e6578 74000000 3fa80223 00087043 pNext...?..#..pC 4c00: 6f6e7465 78740000 0003fd02 2304084e ontext......#..N 4c10: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. 4c20: 00010802 23080870 5461626c 65000000 ....#..pTable... 4c30: 3fc70223 0c000300 003f4704 0009574d ?..#.....?G...WM 4c40: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY 4c50: 0000003e fc030000 3faf0400 0300003f ...>....?......? 4c60: 47040009 4854435f 4255465f 434f4e54 G...HTC_BUF_CONT 4c70: 45585400 00003a35 0c574d49 5f455654 EXT...:5.WMI_EVT 4c80: 5f434c41 53530004 0000405f 18574d49 _CLASS....@_.WMI 4c90: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. 4ca0: ffffffff 0d574d49 5f455654 5f434c41 .....WMI_EVT_CLA 4cb0: 53535f43 4d445f45 56454e54 00000d57 SS_CMD_EVENT...W 4cc0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 4cd0: 5f524550 4c590001 0d574d49 5f455654 _REPLY...WMI_EVT 4ce0: 5f434c41 53535f4d 41580002 0009574d _CLASS_MAX....WM 4cf0: 495f4556 545f434c 41535300 00003fea I_EVT_CLASS...?. 4d00: 075f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX 4d10: 54000c00 0040bd08 48746342 75664374 T....@..HtcBufCt 4d20: 78000000 3fd50223 00084576 656e7443 x...?..#..EventC 4d30: 6c617373 00000040 5f022304 08466c61 lass...@_.#..Fla 4d40: 67730000 00133602 23080009 776d695f gs....6.#...wmi_ 4d50: 68616e64 6c655f74 00000003 fd09574d handle_t......WM 4d60: 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> 4d70: 75030000 40cf0400 06000040 bd010300 u...@......@.... 4d80: 0040ea04 0009574d 495f4449 53504154 .@....WMI_DISPAT 4d90: 43485f54 41424c45 0000003f 47030000 CH_TABLE...?G... 4da0: 40f70400 02010300 00411604 00060000 @........A...... 4db0: 268d0103 0000411f 04000201 03000041 &.....A........A 4dc0: 2c040006 00000108 01030000 41350400 ,...........A5.. 4dd0: 02010300 00414204 00060000 13220103 .....AB......".. 4de0: 0000414b 0400075f 776d695f 7376635f ..AK..._wmi_svc_ 4df0: 61706973 002c0000 4293085f 574d495f apis.,..B.._WMI_ 4e00: 496e6974 00000040 f0022300 085f574d Init...@..#.._WM 4e10: 495f5265 67697374 65724469 73706174 I_RegisterDispat 4e20: 63685461 626c6500 00004118 02230408 chTable...A..#.. 4e30: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. 4e40: 00004125 02230808 5f574d49 5f53656e ..A%.#.._WMI_Sen 4e50: 64457665 6e740000 00412e02 230c085f dEvent...A..#.._ 4e60: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv 4e70: 656e7473 436f756e 74000000 413b0223 entsCount...A;.# 4e80: 10085f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl 4e90: 65746548 616e646c 65720000 0039a202 eteHandler...9.. 4ea0: 2314085f 574d495f 47657443 6f6e7472 #.._WMI_GetContr 4eb0: 6f6c4570 00000041 3b022318 085f574d olEp...A;.#.._WM 4ec0: 495f5368 7574646f 776e0000 00414402 I_Shutdown...AD. 4ed0: 231c085f 574d495f 52656376 4d657373 #.._WMI_RecvMess 4ee0: 61676548 616e646c 65720000 00399902 ageHandler...9.. 4ef0: 2320085f 574d495f 53657276 69636543 # ._WMI_ServiceC 4f00: 6f6e6e65 63740000 00415102 23240870 onnect...AQ.#$.p 4f10: 52657365 72766564 00000003 fd022328 Reserved......#( 4f20: 00077a73 446d6144 65736300 14000043 ..zsDmaDesc....C 4f30: 15086374 726c0000 00016c02 23000873 ..ctrl....l.#..s 4f40: 74617475 73000000 016c0223 0208746f tatus....l.#..to 4f50: 74616c4c 656e0000 00016c02 23040864 talLen....l.#..d 4f60: 61746153 697a6500 0000016c 02230608 ataSize....l.#.. 4f70: 6c617374 41646472 00000043 15022308 lastAddr...C..#. 4f80: 08646174 61416464 72000000 01900223 .dataAddr......# 4f90: 0c086e65 78744164 64720000 00431502 ..nextAddr...C.. 4fa0: 23100003 00004293 04000300 00429304 #.....B......B.. 4fb0: 00077a73 446d6151 75657565 00080000 ..zsDmaQueue.... 4fc0: 43550868 65616400 0000431c 02230008 CU.head...C..#.. 4fd0: 7465726d 696e6174 6f720000 00431c02 terminator...C.. 4fe0: 23040007 7a735478 446d6151 75657565 #...zsTxDmaQueue 4ff0: 00100000 43b90868 65616400 0000431c ....C..head...C. 5000: 02230008 7465726d 696e6174 6f720000 .#..terminator.. 5010: 00431c02 23040878 6d697465 645f6275 .C..#..xmited_bu 5020: 665f6865 61640000 00148102 23080878 f_head......#..x 5030: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. 5040: 00148102 230c0002 01030000 43b90400 ....#.......C... 5050: 03000043 23040002 01030000 43c90400 ...C#.......C... 5060: 03000043 55040002 01030000 43d90400 ...CU.......C... 5070: 02010300 0043e204 00020103 000043eb .....C........C. 5080: 04000600 00148101 03000043 f4040002 ...........C.... 5090: 01030000 44010400 06000014 81010300 ....D........... 50a0: 00440a04 00020103 00004417 04000600 .D........D..... 50b0: 00010801 03000044 20040006 0000431c .......D .....C. 50c0: 01030000 442d0400 02010300 00443a04 ....D-.......D:. 50d0: 0007646d 615f656e 67696e65 5f617069 ..dma_engine_api 50e0: 00400000 45b0085f 696e6974 00000043 .@..E.._init...C 50f0: bb022300 085f696e 69745f72 785f7175 ..#.._init_rx_qu 5100: 65756500 000043cb 02230408 5f696e69 eue...C..#.._ini 5110: 745f7478 5f717565 75650000 0043db02 t_tx_queue...C.. 5120: 2308085f 636f6e66 69675f72 785f7175 #.._config_rx_qu 5130: 65756500 000043e4 02230c08 5f786d69 eue...C..#.._xmi 5140: 745f6275 66000000 43ed0223 10085f66 t_buf...C..#.._f 5150: 6c757368 5f786d69 74000000 43cb0223 lush_xmit...C..# 5160: 14085f72 6561705f 72656376 5f627566 .._reap_recv_buf 5170: 00000043 fa022318 085f7265 7475726e ...C..#.._return 5180: 5f726563 765f6275 66000000 44030223 _recv_buf...D..# 5190: 1c085f72 6561705f 786d6974 65645f62 .._reap_xmited_b 51a0: 75660000 00441002 2320085f 73776170 uf...D..# ._swap 51b0: 5f646174 61000000 44190223 24085f68 _data...D..#$._h 51c0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets 51d0: 00000044 26022328 085f6465 73635f64 ...D&.#(._desc_d 51e0: 756d7000 000043cb 02232c08 5f676574 ump...C..#,._get 51f0: 5f706163 6b657400 00004433 02233008 _packet...D3.#0. 5200: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. 5210: 0000443c 02233408 5f707574 5f706163 ..D<.#4._put_pac 5220: 6b657400 0000443c 02233808 70526573 ket...D<.#8.pRes 5230: 65727665 64000000 03fd0223 3c00095f erved......#<.._ 5240: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 5250: 696f6e5f 7461626c 655f7400 000030ef ion_table_t...0. 5260: 09574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... 5270: 4158165f 415f6d61 67706965 5f696e64 AX._A_magpie_ind 5280: 69726563 74696f6e 5f746162 6c650003 irection_table.. 5290: 4c000046 de08636d 6e6f7300 000045b0 L..F..cmnos...E. 52a0: 02230008 64626700 000003ca 0323b803 .#..dbg......#.. 52b0: 08686966 00000029 980323c0 03086874 .hif...)..#...ht 52c0: 63000000 3b0b0323 f8030877 6d695f73 c...;..#...wmi_s 52d0: 76635f61 70690000 0045d203 23ac0408 vc_api...E..#... 52e0: 75736266 69666f5f 61706900 000032c3 usbfifo_api...2. 52f0: 0323d804 08627566 5f706f6f 6c000000 .#...buf_pool... 5300: 376c0323 e4040876 62756600 000014ab 7l.#...vbuf..... 5310: 03238005 08766465 73630000 00138d03 .#...vdesc...... 5320: 23940508 616c6c6f 6372616d 00000009 #...allocram.... 5330: 830323a8 0508646d 615f656e 67696e65 ..#...dma_engine 5340: 00000044 430323b4 0508646d 615f6c69 ...DC.#...dma_li 5350: 62000000 2c2c0323 f4050868 69665f70 b...,,.#...hif_p 5360: 63690000 002e8c03 23a80600 095f415f ci......#...._A_ 5370: 6d616770 69655f69 6e646972 65637469 magpie_indirecti 5380: 6f6e5f74 61626c65 5f740000 0045e411 on_table_t...E.. 5390: 10000047 34086130 00000001 a5022300 ...G4.a0......#. 53a0: 08613100 000001a5 02230408 61320000 .a1......#..a2.. 53b0: 0001a502 23080861 33000000 01a50223 ....#..a3......# 53c0: 0c000e00 00470150 00004741 0f040007 .....G.P..GA.... 53d0: 5854656e 73615f65 78636570 74696f6e XTensa_exception 53e0: 5f667261 6d655f73 00800000 48250878 _frame_s....H%.x 53f0: 745f7063 00000001 a5022300 0878745f t_pc......#..xt_ 5400: 70730000 0001a502 23040878 745f7361 ps......#..xt_sa 5410: 72000000 01a50223 08087874 5f767072 r......#..xt_vpr 5420: 69000000 01a50223 0c087874 5f613200 i......#..xt_a2. 5430: 000001a5 02231008 78745f61 33000000 .....#..xt_a3... 5440: 01a50223 14087874 5f613400 000001a5 ...#..xt_a4..... 5450: 02231808 78745f61 35000000 01a50223 .#..xt_a5......# 5460: 1c087874 5f657863 63617573 65000000 ..xt_exccause... 5470: 01a50223 20087874 5f6c636f 756e7400 ...# .xt_lcount. 5480: 000001a5 02232408 78745f6c 62656700 .....#$.xt_lbeg. 5490: 000001a5 02232808 78745f6c 656e6400 .....#(.xt_lend. 54a0: 000001a5 02232c08 77620000 00473402 .....#,.wb...G4. 54b0: 23300009 4350555f 65786365 7074696f #0..CPU_exceptio 54c0: 6e5f6672 616d655f 74000000 47411108 n_frame_t...GA.. 54d0: 00004874 086d6f72 655f6461 74610000 ..Ht.more_data.. 54e0: 001cf002 2300086c 656e0000 001cf002 ....#..len...... 54f0: 2302086f 66667365 74000000 16610223 #..offset....a.# 5500: 04001108 00004896 08727370 00000016 ......H..rsp.... 5510: 61022300 086f6666 73657400 00001661 a.#..offset....a 5520: 02230400 110c0000 48dd0861 64647200 .#......H..addr. 5530: 00001661 02230008 6869665f 68616e64 ...a.#..hif_hand 5540: 6c650000 0028f502 23040872 785f7069 le...(..#..rx_pi 5550: 70650000 0016c802 23080874 785f7069 pe......#..tx_pi 5560: 70650000 0016c802 23090009 415f494e pe......#...A_IN 5570: 54333200 00000108 095f5f61 5f696e74 T32......__a_int 5580: 33325f74 00000048 dd09615f 696e7433 32_t...H..a_int3 5590: 325f7400 000048ea 076d6469 6f5f6277 2_t...H..mdio_bw 55a0: 5f657865 63001800 00499208 73746172 _exec....I..star 55b0: 745f6164 64726573 73000000 16610223 t_address....a.# 55c0: 00086c65 6e677468 00000016 61022304 ..length....a.#. 55d0: 08657865 635f6164 64726573 73000000 .exec_address... 55e0: 16610223 08086368 65636b73 756d0000 .a.#..checksum.. 55f0: 00166102 230c0866 77645f73 74617465 ..a.#..fwd_state 5600: 00000048 fb022310 08637572 72656e74 ...H..#..current 5610: 5f77725f 70747200 000016d7 02231400 _wr_ptr......#.. 5620: 06000001 08010300 0001a504 00190000 ................ 5630: 01a50100 0049ab1a 00050000 499f1900 .....I......I... 5640: 0001a501 000049bc 1a001b5f 726f6d5f ......I...._rom_ 5650: 73746f72 655f7461 626c6500 000049ab store_table...I. 5660: 0503004e 8720010e 000001a5 10000049 ...N. .........I 5670: e60f0300 0e000001 0f0b0000 49f30f0a ............I... 5680: 00030000 49e60400 1c000001 901c0000 ....I........... 5690: 01900300 0049ff04 00030000 48250400 .....I......H%.. 56a0: 02010e00 00010f3c 00004a21 0f3b0003 .......<..J!.;.. 56b0: 00004a14 04000e00 00010f07 00004a35 ..J...........J5 56c0: 0f060003 00004a28 04000e00 00010f12 ......J(........ 56d0: 00004a49 0f110003 00004a3c 04000300 ..JI......J<.... 56e0: 0001a504 000e0000 010f4300 004a640f ..........C..Jd. 56f0: 42000300 004a5704 000e0000 010f2d00 B....JW.......-. 5700: 004a780f 2c000300 004a6b04 000e0000 .Jx.,....Jk..... 5710: 010f1b00 004a8c0f 1a000300 004a7f04 .....J.......J.. 5720: 00110800 004abd08 696e7374 616c6c5f .....J..install_ 5730: 666e0000 0009e902 23000861 70695f74 fn......#..api_t 5740: 626c0000 0003fd02 23040019 00004a93 bl......#.....J. 5750: 0100004a ca0f1600 1b626173 69635f52 ...J.....basic_R 5760: 4f4d5f6d 6f64756c 655f7461 626c6500 OM_module_table. 5770: 00004abd 05030050 06400103 00000440 ..J....P.@.....@ 5780: 04000201 03000045 b0040003 000046de .......E......F. 5790: 04000300 0005b304 00020103 00000135 ...............5 57a0: 04000201 02010300 000fe204 00020103 ................ 57b0: 00000c7b 04000201 03000007 cb040002 ...{............ 57c0: 01030000 0b2b0400 02010300 00098304 .....+.......... 57d0: 00020103 00000875 04000201 0300000e .......u........ 57e0: 58040002 01030000 0f860400 02010300 X............... 57f0: 002f5d04 00030000 06d70400 02010300 ./]............. 5800: 000a8004 00020103 0000138d 04000201 ................ 5810: 03000014 ab040002 01030000 29980400 ............)... 5820: 02010300 002e8c04 00020103 00002c2c ..............,, 5830: 04000201 03000037 6c040002 01030000 .......7l....... 5840: 32c30400 02010300 00444304 00020109 2........DC..... 5850: 5f78746f 735f6861 6e646c65 725f6675 _xtos_handler_fu 5860: 6e630000 0043b903 00004bc1 0400095f nc...C....K...._ 5870: 78746f73 5f68616e 646c6572 0000004b xtos_handler...K 5880: d9060000 4be00103 00004a12 04000201 ....K.....J..... 5890: 0300004c 00040002 010e0000 010f1400 ...L............ 58a0: 004c180f 13000300 004c0b04 000e0000 .L.......L...... 58b0: 010f0500 004c2c0f 04000300 004c1f04 .....L,......L.. 58c0: 00060000 0841010e 0000010f 1100004c .....A.........L 58d0: 460f1000 0300004c 39040003 000043b9 F......L9.....C. 58e0: 04000e00 00010f1c 00004c61 0f1b0003 ..........La.... 58f0: 00004c54 04000300 0043b904 000e0000 ..LT.....C...... 5900: 010f0200 004c7c0f 01000300 004c6f04 .....L|......Lo. 5910: 000e0000 010f1000 004c900f 0f000300 .........L...... 5920: 004c8304 000e0000 010f1900 004ca40f .L...........L.. 5930: 18000300 004c9704 000e0000 010f1e00 .....L.......... 5940: 004cb80f 1d000300 004cab04 000e0000 .L.......L...... 5950: 010f0f00 004ccc0f 0e000300 004cbf04 .....L.......L.. 5960: 000e0000 010f0d00 004ce00f 0c000300 .........L...... 5970: 004cd304 00060000 28f5010e 0000010f .L......(....... 5980: 1a00004c fa0f1900 0300004c ed040002 ...L.......L.... 5990: 01096d64 696f5f62 775f6578 65635f74 ..mdio_bw_exec_t 59a0: 00000049 0a030000 4d030400 06000001 ...I....M....... 59b0: 0801096a 6d705f66 756e6300 00000101 ...jmp_func..... 59c0: 1d017770 6f737400 01010392 01300290 ..wpost......0.. 59d0: 00008e13 a4008e13 f700004d 701e7061 ...........Mp.pa 59e0: 74746572 6e000000 49d90291 501f6900 ttern...I...P.i. 59f0: 00000182 1f746d70 00000001 a5001d01 .....tmp........ 5a00: bc4d6167 7069655f 66617461 6c5f6578 .Magpie_fatal_ex 5a10: 63657074 696f6e5f 68616e64 6c657200 ception_handler. 5a20: 01010492 01b00102 9000008e 13f8008e ................ 5a30: 14280000 4dca2001 bc657863 5f667261 .(..M. ..exc_fra 5a40: 6d650000 004a0b01 521e6475 6d700000 me...J..R.dump.. 5a50: 0004a203 91d07e00 2101d161 74686f73 ......~.!..athos 5a60: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 5a70: 00010801 03920120 02900000 8e142800 ....... ......(. 5a80: 8e145100 004e1a20 01d1737a 00000001 ..Q..N. ..sz.... 5a90: 08015220 01d16c69 6e6b5f63 6865636b ..R ..link_check 5aa0: 00000030 d4015300 2201ef61 74686f73 ...0..S."..athos 5ab0: 5f626c6f 636b5f61 6c6c5f69 6e74726c _block_all_intrl 5ac0: 766c0000 0001a501 01039201 20029000 vl.......... ... 5ad0: 008e1454 008e145f 00004e58 1f746d70 ...T..._..NX.tmp 5ae0: 00000001 a5001d01 fe617468 6f735f75 .........athos_u 5af0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 5b00: 766c0001 01039201 20029000 008e1460 vl...... ......` 5b10: 008e1468 00004e94 1f746d70 00000004 ...h..N..tmp.... 5b20: 00002301 01066174 686f735f 72657374 ..#...athos_rest 5b30: 6f72655f 696e7472 6c766c00 01010392 ore_intrlvl..... 5b40: 01200290 00008e14 68008e14 7200004e . ......h...r..N 5b50: d7240101 066f6c64 5f696e74 72000000 .$...old_intr... 5b60: 01a50152 00250101 10415236 3030325f ...R.%...AR6002_ 5b70: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 5b80: 68616e64 6c657200 01049201 b0010290 handler......... 5b90: 00008e14 74008e14 d200004f 45240101 ....t......OE$.. 5ba0: 10657863 5f667261 6d650000 004a0b01 .exc_frame...J.. 5bb0: 521e6475 6d700000 0004a203 91d07e1f R.dump........~. 5bc0: 73746b70 74720000 004a501f 69000000 stkptr...JP.i... 5bd0: 01080025 01013b41 52363030 325f6661 ...%..;AR6002_fa 5be0: 74616c5f 65786365 7074696f 6e5f6861 tal_exception_ha 5bf0: 6e646c65 72000104 9201b001 02900000 ndler........... 5c00: 8e14d400 8e154500 004fd824 01013b65 ......E..O.$..;e 5c10: 78635f66 72616d65 0000004a 0b01521f xc_frame...J..R. 5c20: 72657365 745f6675 6e630000 0001011e reset_func...... 5c30: 64756d70 00000004 a20391d0 7e1f6570 dump........~.ep 5c40: 63310000 0001a51f 65706332 00000001 c1......epc2.... 5c50: a51f6570 63330000 0001a51f 65706334 ..epc3......epc4 5c60: 00000001 a5002301 01be6765 6e657269 ......#...generi 5c70: 635f6869 665f6d6f 64756c65 5f696e73 c_hif_module_ins 5c80: 74616c6c 00010103 92012002 9000008e tall...... ..... 5c90: 1548008e 15730000 50282401 01be6170 .H...s..P($...ap 5ca0: 69730000 004b8b01 521f686f 73746966 is...K..R.hostif 5cb0: 00000005 7e002301 01d86174 686f735f ....~.#...athos_ 5cc0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 5cd0: 655f696e 7374616c 6c000101 03920120 e_install...... 5ce0: 02900000 8e157400 8e160300 0050721f ......t......Pr. 5cf0: 74626c00 0000036b 1f690000 00040000 tbl....k.i...... 5d00: 2301021c 6174686f 735f696e 74657272 #...athos_interr 5d10: 7570745f 68616e64 6c657200 01010392 upt_handler..... 5d20: 01200290 00008e16 04008e16 14000050 . .............P 5d30: cd240102 1c696e75 6d000000 04000152 .$...inum......R 5d40: 2401021c 696e7465 72727570 745f6672 $...interrupt_fr 5d50: 616d6500 000030e8 01530023 01022361 ame...0..S.#..#a 5d60: 74686f73 5f696e74 65727275 70745f69 thos_interrupt_i 5d70: 6e697400 01010392 01200290 00008e16 nit...... ...... 5d80: 14008e16 7a000051 031f6900 00000108 ....z..Q..i..... 5d90: 00230103 9f617468 6f735f69 6e697400 .#...athos_init. 5da0: 01010392 01200290 00008e16 7c008e16 ..... ......|... 5db0: ae000051 36240103 9f686966 00000005 ...Q6$...hif.... 5dc0: 7e015200 260103b5 5f726561 645f7573 ~.R.&..._read_us 5dd0: 625f6465 73630000 00084101 01039201 b_desc....A..... 5de0: 30029000 008e16b0 008e16e6 000051ab 0.............Q. 5df0: 240103b5 70446573 63000000 0f650152 $...pDesc....e.R 5e00: 240103b5 4f666673 65740000 00018201 $...Offset...... 5e10: 53240103 b553697a 65000000 01820154 S$...Size......T 5e20: 1f704473 74000000 0f651e6d 53697a65 .pDst....e.mSize 5e30: 00000001 82029150 00260103 c8726561 .......P.&...rea 5e40: 645f7573 625f636f 6e660000 00084101 d_usb_conf....A. 5e50: 01039201 30029000 008e16e8 008e178b ....0........... 5e60: 000051e5 1e6d4461 74610000 0001a502 ..Q..mData...... 5e70: 91500026 0103e073 65745f70 63695f63 .P.&...set_pci_c 5e80: 6f6e6600 00000841 01010392 01200290 onf....A..... .. 5e90: 00008e17 8c008e18 5e000052 4e1f6d44 ........^..RN.mD 5ea0: 61746100 000001a5 1f66726f 6d6f776c ata......fromowl 5eb0: 00000016 c81f6469 64766964 00000001 ......didvid.... 5ec0: a51f6770 696f656e 00000001 a51f6770 ..gpioen......gp 5ed0: 696f6675 6e630000 0001a500 27010410 iofunc......'... 5ee0: 626f6f74 6c6f6164 00010392 01200290 bootload..... .. 5ef0: 00008e18 60008e18 9b270104 22706369 ....`....'.."pci 5f00: 5f676d61 635f626f 6f746c6f 61640001 _gmac_bootload.. 5f10: 03920120 02900000 8e189c00 8e18c827 ... ...........' 5f20: 01043474 75726e5f 6f66665f 72630001 ..4turn_off_rc.. 5f30: 03920120 02900000 8e18c800 8e18fb23 ... ...........# 5f40: 01044362 6f6f7465 6e747279 00010104 ..Cbootentry.... 5f50: 9201c000 02900000 8e18fc00 8e1c1400 ................ 5f60: 00535b1f 686f7374 69660000 00057e1f .S[.hostif....~. 5f70: 7273745f 73746174 75730000 000e3a1f rst_status....:. 5f80: 72657445 45500000 000f561e 69000000 retEEP....V.i... 5f90: 01820503 00500950 1f617374 61727400 .....P.P.astart. 5fa0: 000003fd 1f617369 7a650000 0001081f .....asize...... 5fb0: 61737461 72740000 0003fd1f 6173697a astart......asiz 5fc0: 65000000 01081e66 775f6277 5f737461 e......fw_bw_sta 5fd0: 74650000 004d0302 91401f66 756e635f te...M...@.func_ 5fe0: 70747200 00004d24 00280105 8a6d6169 ptr...M$.(...mai 5ff0: 6e000000 01080101 03920120 02029000 n.......... .... 6000: 008e1c14 008e1c32 00000000 48740002 .......2....Ht.. 6010: 00000443 04012f72 6f6f742f 576f726b ...C../root/Work 6020: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 6030: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 6040: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 6050: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 6060: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 6070: 726f6d2f 636d6e6f 732f616c 6c6f6372 rom/cmnos/allocr 6080: 616d2f73 72632f63 6d6e6f73 5f616c6c am/src/cmnos_all 6090: 6f637261 6d2e6300 2f726f6f 742f576f ocram.c./root/Wo 60a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 60b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 60c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 60d0: 2f616c6c 6f637261 6d007874 2d786363 /allocram.xt-xcc 60e0: 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: 60f0: 616c6967 6e5f696e 73747275 6374696f align_instructio 6100: 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O 6110: 50543a73 70616365 00010000 0025ff02 PT:space.....%.. 6120: 01030000 01150400 04696e74 00050404 .........int.... 6130: 63686172 00070105 00000125 05000001 char.......%.... 6140: 25030000 01320400 06000001 1e010300 %....2.......... 6150: 00013e04 00077072 696e7466 5f617069 ..>...printf_api 6160: 00080000 0182085f 7072696e 74665f69 ......._printf_i 6170: 6e697400 00000117 02230008 5f707269 nit......#.._pri 6180: 6e746600 00000144 02230400 0473686f ntf....D.#...sho 6190: 72742075 6e736967 6e656420 696e7400 rt unsigned int. 61a0: 07020975 696e7431 365f7400 00000182 ...uint16_t..... 61b0: 046c6f6e 6720756e 7369676e 65642069 .long unsigned i 61c0: 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. 61d0: 0001a607 75617274 5f666966 6f000800 ....uart_fifo... 61e0: 00021408 73746172 745f696e 64657800 ....start_index. 61f0: 00000198 02230008 656e645f 696e6465 .....#..end_inde 6200: 78000000 01980223 02086f76 65727275 x......#..overru 6210: 6e5f6572 72000000 01bb0223 04000775 n_err......#...u 6220: 6172745f 61706900 20000002 cd085f75 art_api. ....._u 6230: 6172745f 696e6974 00000003 24022300 art_init....$.#. 6240: 085f7561 72745f63 6861725f 70757400 ._uart_char_put. 6250: 0000034b 02230408 5f756172 745f6368 ...K.#.._uart_ch 6260: 61725f67 65740000 00035f02 2308085f ar_get...._.#.._ 6270: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... 6280: 6802230c 085f7561 72745f74 61736b00 h.#.._uart_task. 6290: 00000117 02231008 5f756172 745f7374 .....#.._uart_st 62a0: 61747573 00000003 24022314 085f7561 atus....$.#.._ua 62b0: 72745f63 6f6e6669 67000000 03710223 rt_config....q.# 62c0: 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. 62d0: 00037a02 231c0003 00000214 04000775 ..z.#..........u 62e0: 6172745f 626c6b00 10000003 1e086465 art_blk.......de 62f0: 6275675f 6d6f6465 00000001 98022300 bug_mode......#. 6300: 08626175 64000000 01980223 02085f75 .baud......#.._u 6310: 61727400 000002cd 02230408 5f747800 art......#.._tx. 6320: 000001c9 02230800 06000001 bb010300 .....#.......... 6330: 00031e04 0004756e 7369676e 65642063 ......unsigned c 6340: 68617200 07010975 696e7438 5f740000 har....uint8_t.. 6350: 00032b02 01030000 03490400 03000003 ..+......I...... 6360: 3c040006 00000198 01030000 03590400 <............Y.. 6370: 02010300 00036604 00020103 0000036f ......f........o 6380: 04000201 03000003 78040003 00000125 ........x......% 6390: 04000600 00011e01 03000003 88040007 ................ 63a0: 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC 63b0: 54000c00 0003e008 636d645f 73747200 T.......cmd_str. 63c0: 00000381 02230008 68656c70 5f737472 .....#..help_str 63d0: 00000003 81022304 08636d64 5f66756e ......#..cmd_fun 63e0: 63000000 038e0223 08000764 62675f61 c......#...dbg_a 63f0: 70690008 00000413 085f6462 675f696e pi......._dbg_in 6400: 69740000 00011702 2300085f 6462675f it......#.._dbg_ 6410: 7461736b 00000001 17022304 000a0400 task......#..... 6420: 04756e73 69676e65 6420696e 74000704 .unsigned int... 6430: 06000004 13010300 00042604 000b0b03 ..........&..... 6440: 00000434 04000600 00041301 03000004 ...4............ 6450: 3c040006 0000011e 01030000 04490400 <............I.. 6460: 076d656d 5f617069 00140000 04b8085f .mem_api......._ 6470: 6d656d5f 696e6974 00000001 17022300 mem_init......#. 6480: 085f6d65 6d736574 00000004 2c022304 ._memset....,.#. 6490: 085f6d65 6d637079 00000004 42022308 ._memcpy....B.#. 64a0: 085f6d65 6d6d6f76 65000000 04420223 ._memmove....B.# 64b0: 0c085f6d 656d636d 70000000 044f0223 .._memcmp....O.# 64c0: 10000c72 65676973 7465725f 64756d70 ...register_dump 64d0: 5f730000 01030000 04b80400 02010300 _s.............. 64e0: 0004d204 00020103 000004db 04000600 ................ 64f0: 00011e01 03000004 e404000d 686f7374 ............host 6500: 69665f73 00040000 05400e48 49465f55 if_s.....@.HIF_U 6510: 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... 6520: 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P 6530: 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H 6540: 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS 6550: 54494600 000004f1 06000005 40010300 TIF.........@... 6560: 00054e04 00060000 033c0103 0000055b ..N......<.....[ 6570: 04000600 00019801 03000005 68040007 ............h... 6580: 6d697363 5f617069 00240000 0658085f misc_api.$...X._ 6590: 73797374 656d5f72 65736574 00000001 system_reset.... 65a0: 17022300 085f6d61 635f7265 73657400 ..#.._mac_reset. 65b0: 00000117 02230408 5f617373 6661696c .....#.._assfail 65c0: 00000004 d4022308 085f6d69 73616c69 ......#.._misali 65d0: 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle 65e0: 72000000 04d40223 0c085f72 65706f72 r......#.._repor 65f0: 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos 6600: 74000000 04dd0223 10085f74 61726765 t......#.._targe 6610: 745f6964 5f676574 00000004 ea022314 t_id_get......#. 6620: 085f6973 5f686f73 745f7072 6573656e ._is_host_presen 6630: 74000000 05540223 18085f6b 62686974 t....T.#.._kbhit 6640: 00000005 6102231c 085f726f 6d5f7665 ....a.#.._rom_ve 6650: 7273696f 6e5f6765 74000000 056e0223 rsion_get....n.# 6660: 20000600 00038101 03000006 58040006 ...........X... 6670: 00000381 01030000 06650400 06000001 .........e...... 6680: 1e010300 00067204 00060000 011e0103 ......r......... 6690: 0000067f 04000600 00011e01 03000006 ................ 66a0: 8c040007 73747269 6e675f61 70690018 ....string_api.. 66b0: 00000712 085f7374 72696e67 5f696e69 ....._string_ini 66c0: 74000000 01170223 00085f73 74726370 t......#.._strcp 66d0: 79000000 065e0223 04085f73 74726e63 y....^.#.._strnc 66e0: 70790000 00066b02 2308085f 7374726c py....k.#.._strl 66f0: 656e0000 00067802 230c085f 73747263 en....x.#.._strc 6700: 6d700000 00068502 2310085f 7374726e mp......#.._strn 6710: 636d7000 00000692 02231400 0f000004 cmp......#...... 6720: 16140000 071f1004 00095f41 5f54494d .........._A_TIM 6730: 45525f53 50414345 00000007 1209415f ER_SPACE......A_ 6740: 74696d65 725f7400 0000071f 03000007 timer_t......... 6750: 33040002 01030000 07490400 02010300 3........I...... 6760: 00075204 0009415f 48414e44 4c450000 ..R...A_HANDLE.. 6770: 00041602 0109415f 54494d45 525f4655 ......A_TIMER_FU 6780: 4e430000 00076903 0000076b 04000201 NC....i....k.... 6790: 03000007 84040007 74696d65 725f6170 ........timer_ap 67a0: 69001400 00080308 5f74696d 65725f69 i......._timer_i 67b0: 6e697400 00000117 02230008 5f74696d nit......#.._tim 67c0: 65725f61 726d0000 00074b02 2304085f er_arm....K.#.._ 67d0: 74696d65 725f6469 7361726d 00000007 timer_disarm.... 67e0: 54022308 085f7469 6d65725f 73657466 T.#.._timer_setf 67f0: 6e000000 07860223 0c085f74 696d6572 n......#.._timer 6800: 5f72756e 00000001 17022310 0009424f _run......#...BO 6810: 4f4c4541 4e000000 01980600 00080301 OLEAN........... 6820: 03000008 10040006 00000803 01030000 ................ 6830: 081d0400 06000008 03010300 00082a04 ..............*. 6840: 0007726f 6d705f61 70690010 0000089c ..romp_api...... 6850: 085f726f 6d705f69 6e697400 00000117 ._romp_init..... 6860: 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo 6870: 61640000 00081602 2304085f 726f6d70 ad......#.._romp 6880: 5f696e73 74616c6c 00000008 23022308 _install....#.#. 6890: 085f726f 6d705f64 65636f64 65000000 ._romp_decode... 68a0: 08300223 0c000772 6f6d5f70 61746368 .0.#...rom_patch 68b0: 5f737400 10000008 f8086372 63313600 _st.......crc16. 68c0: 00000198 02230008 6c656e00 00000198 .....#..len..... 68d0: 02230208 6c645f61 64647200 000001bb .#..ld_addr..... 68e0: 02230408 66756e5f 61646472 00000001 .#..fun_addr.... 68f0: bb022308 08706675 6e000000 03520223 ..#..pfun....R.# 6900: 0c000765 65705f72 65646972 5f616464 ...eep_redir_add 6910: 72000400 00092a08 6f666673 65740000 r.....*.offset.. 6920: 00019802 23000873 697a6500 00000198 ....#..size..... 6930: 02230200 09415f55 494e5433 32000000 .#...A_UINT32... 6940: 04160600 00041301 03000009 38040007 ............8... 6950: 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... 6960: 09a90863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra 6970: 6d5f696e 69740000 00093e02 23000863 m_init....>.#..c 6980: 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... 6990: 093e0223 0408636d 6e6f735f 616c6c6f .>.#..cmnos_allo 69a0: 6372616d 5f646562 75670000 00011702 cram_debug...... 69b0: 23080002 01030000 09a90400 09415f54 #............A_T 69c0: 41534b4c 45545f46 554e4300 000009ab ASKLET_FUNC..... 69d0: 075f7461 736b6c65 74001000 000a0a08 ._tasklet....... 69e0: 66756e63 00000009 b2022300 08617267 func......#..arg 69f0: 00000004 13022304 08737461 74650000 ......#..state.. 6a00: 00011e02 2308086e 65787400 00000a0a ....#..next..... 6a10: 02230c00 03000009 c6040003 000009c6 .#.............. 6a20: 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. 6a30: 0009c603 00000a18 04000201 0300000a ................ 6a40: 30040002 01030000 0a390400 07746173 0........9...tas 6a50: 6b6c6574 5f617069 00140000 0ace085f klet_api......._ 6a60: 7461736b 6c65745f 696e6974 00000001 tasklet_init.... 6a70: 17022300 085f7461 736b6c65 745f696e ..#.._tasklet_in 6a80: 69745f74 61736b00 00000a32 02230408 it_task....2.#.. 6a90: 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable 6aa0: 0000000a 3b022308 085f7461 736b6c65 ....;.#.._taskle 6ab0: 745f7363 68656475 6c650000 000a3b02 t_schedule....;. 6ac0: 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. 6ad0: 00000117 02231000 02010300 000ace04 .....#.......... 6ae0: 00060000 092a0103 00000ad7 04000201 .....*.......... 6af0: 0300000a e4040007 636c6f63 6b5f6170 ........clock_ap 6b00: 69002400 000bc608 5f636c6f 636b5f69 i.$....._clock_i 6b10: 6e697400 00000ad0 02230008 5f636c6f nit......#.._clo 6b20: 636b7265 67735f69 6e697400 00000117 ckregs_init..... 6b30: 02230408 5f756172 745f6672 65717565 .#.._uart_freque 6b40: 6e637900 00000add 02230808 5f64656c ncy......#.._del 6b50: 61795f75 73000000 0ae60223 0c085f77 ay_us......#.._w 6b60: 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... 6b70: e6022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe 6b80: 65645f67 65740000 000add02 2314085f ed_get......#.._ 6b90: 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... 6ba0: dd022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha 6bb0: 6e676500 00000117 02231c08 5f636c6f nge......#.._clo 6bc0: 636b5f74 69636b00 00000117 02232000 ck_tick......# . 6bd0: 06000001 bb010300 000bc604 0009415f ..............A_ 6be0: 6f6c645f 696e7472 5f740000 0001bb06 old_intr_t...... 6bf0: 00000bd3 01030000 0be50400 02010300 ................ 6c00: 000bf204 00020103 00000bfb 04000600 ................ 6c10: 0001bb01 0300000c 04040009 415f6973 ............A_is 6c20: 725f7400 00000c0a 02010300 000c1e04 r_t............. 6c30: 00060000 04160103 00000c27 04000201 ...........'.... 6c40: 0300000c 34040007 696e7472 5f617069 ....4...intr_api 6c50: 002c0000 0d56085f 696e7472 5f696e69 .,...V._intr_ini 6c60: 74000000 01170223 00085f69 6e74725f t......#.._intr_ 6c70: 696e766f 6b655f69 73720000 000bcc02 invoke_isr...... 6c80: 2304085f 696e7472 5f646973 61626c65 #.._intr_disable 6c90: 0000000b eb022308 085f696e 74725f72 ......#.._intr_r 6ca0: 6573746f 72650000 000bf402 230c085f estore......#.._ 6cb0: 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. 6cc0: 000bfd02 2310085f 696e7472 5f756e6d ....#.._intr_unm 6cd0: 61736b5f 696e756d 0000000b fd022314 ask_inum......#. 6ce0: 085f696e 74725f61 74746163 685f6973 ._intr_attach_is 6cf0: 72000000 0c200223 18085f67 65745f69 r.... .#.._get_i 6d00: 6e747265 6e61626c 65000000 0c2d0223 ntrenable....-.# 6d10: 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl 6d20: 65000000 0c360223 20085f67 65745f69 e....6.# ._get_i 6d30: 6e747270 656e6469 6e670000 000c2d02 ntrpending....-. 6d40: 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ 6d50: 696e7472 6c766c00 00000117 02232800 intrlvl......#(. 6d60: 11040000 0d7c0874 696d656f 75740000 .....|.timeout.. 6d70: 0001bb02 23000861 6374696f 6e000000 ....#..action... 6d80: 01bb0223 00001208 00000d97 08636d64 ...#.........cmd 6d90: 00000001 bb022300 1300000d 56022304 ......#.....V.#. 6da0: 0009545f 5744545f 434d4400 00000d7c ..T_WDT_CMD....| 6db0: 02010300 000da604 00140400 000dfc0e ................ 6dc0: 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... 6dd0: 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. 6de0: 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. 6df0: 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B 6e00: 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY 6e10: 50450000 000daf06 00000dfc 01030000 PE.............. 6e20: 0e0d0400 07776474 5f617069 001c0000 .....wdt_api.... 6e30: 0eb1085f 7764745f 696e6974 00000001 ..._wdt_init.... 6e40: 17022300 085f7764 745f656e 61626c65 ..#.._wdt_enable 6e50: 00000001 17022304 085f7764 745f6469 ......#.._wdt_di 6e60: 7361626c 65000000 01170223 08085f77 sable......#.._w 6e70: 64745f73 65740000 000da802 230c085f dt_set......#.._ 6e80: 7764745f 7461736b 00000001 17022310 wdt_task......#. 6e90: 085f7764 745f7265 73657400 00000117 ._wdt_reset..... 6ea0: 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo 6eb0: 6f740000 000e1302 23180014 0400000f ot......#....... 6ec0: 180e5245 545f5355 43434553 5300000e ..RET_SUCCESS... 6ed0: 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R 6ee0: 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R 6ef0: 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. 6f00: 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO 6f10: 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. 6f20: 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... 6f30: b1030000 01980400 0600000f 18010300 ................ 6f40: 000f2e04 00060000 0f180103 00000f3b ...............; 6f50: 04000765 65705f61 70690010 00000fa4 ...eep_api...... 6f60: 085f6565 705f696e 69740000 00011702 ._eep_init...... 6f70: 2300085f 6565705f 72656164 0000000f #.._eep_read.... 6f80: 34022304 085f6565 705f7772 69746500 4.#.._eep_write. 6f90: 00000f34 02230808 5f656570 5f69735f ...4.#.._eep_is_ 6fa0: 65786973 74000000 0f410223 0c000775 exist....A.#...u 6fb0: 73625f61 70690070 00001251 085f7573 sb_api.p...Q._us 6fc0: 625f696e 69740000 00011702 2300085f b_init......#.._ 6fd0: 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... 6fe0: 17022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas 6ff0: 6b000000 01170223 08085f75 73625f69 k......#.._usb_i 7000: 6e69745f 70687900 00000117 02230c08 nit_phy......#.. 7010: 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. 7020: 00011702 2310085f 7573625f 6570305f ....#.._usb_ep0_ 7030: 74780000 00011702 2314085f 7573625f tx......#.._usb_ 7040: 6570305f 72780000 00011702 2318085f ep0_rx......#.._ 7050: 7573625f 6765745f 696e7465 72666163 usb_get_interfac 7060: 65000000 08230223 1c085f75 73625f73 e....#.#.._usb_s 7070: 65745f69 6e746572 66616365 00000008 et_interface.... 7080: 23022320 085f7573 625f6765 745f636f #.# ._usb_get_co 7090: 6e666967 75726174 696f6e00 00000823 nfiguration....# 70a0: 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con 70b0: 66696775 72617469 6f6e0000 00082302 figuration....#. 70c0: 2328085f 7573625f 7374616e 64617264 #(._usb_standard 70d0: 5f636d64 00000008 2302232c 085f7573 _cmd....#.#,._us 70e0: 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... 70f0: 17022330 085f7573 625f706f 7765725f ..#0._usb_power_ 7100: 6f666600 00000117 02233408 5f757362 off......#4._usb 7110: 5f726573 65745f66 69666f00 00000117 _reset_fifo..... 7120: 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt 7130: 00000001 1702233c 085f7573 625f6a75 ......#<._usb_ju 7140: 6d705f62 6f6f7400 00000117 02234008 mp_boot......#@. 7150: 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature 7160: 00000008 23022344 085f7573 625f7365 ....#.#D._usb_se 7170: 745f6665 61747572 65000000 08230223 t_feature....#.# 7180: 48085f75 73625f73 65745f61 64647265 H._usb_set_addre 7190: 73730000 00082302 234c085f 7573625f ss....#.#L._usb_ 71a0: 6765745f 64657363 72697074 6f720000 get_descriptor.. 71b0: 00082302 2350085f 7573625f 6765745f ..#.#P._usb_get_ 71c0: 73746174 75730000 00082302 2354085f status....#.#T._ 71d0: 7573625f 73657475 705f6465 73630000 usb_setup_desc.. 71e0: 00011702 2358085f 7573625f 7265675f ....#X._usb_reg_ 71f0: 6f757400 00000117 02235c08 5f757362 out......#\._usb 7200: 5f737461 7475735f 696e0000 00011702 _status_in...... 7210: 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d 7220: 61746100 00000117 02236408 5f757362 ata......#d._usb 7230: 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... 7240: 17022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in 7250: 69740000 00011702 236c0007 5f564445 it......#l.._VDE 7260: 53430024 000012dd 086e6578 745f6465 SC.$.....next_de 7270: 73630000 0012dd02 23000862 75665f61 sc......#..buf_a 7280: 64647200 000012f1 02230408 6275665f ddr......#..buf_ 7290: 73697a65 00000012 f8022308 08646174 size......#..dat 72a0: 615f6f66 66736574 00000012 f802230a a_offset......#. 72b0: 08646174 615f7369 7a650000 0012f802 .data_size...... 72c0: 230c0863 6f6e7472 6f6c0000 0012f802 #..control...... 72d0: 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. 72e0: 00130602 23100003 00001251 04000941 ....#......Q...A 72f0: 5f55494e 54380000 00032b03 000012e4 _UINT8....+..... 7300: 04000941 5f55494e 54313600 00000182 ...A_UINT16..... 7310: 0f000012 e4140000 13131013 00030000 ................ 7320: 12510400 09564445 53430000 00125103 .Q...VDESC....Q. 7330: 0000131a 04000600 00132501 03000013 ..........%..... 7340: 2c040006 000012f1 01030000 13390400 ,............9.. 7350: 02010300 00134604 00077664 6573635f ......F...vdesc_ 7360: 61706900 14000013 be085f69 6e697400 api......._init. 7370: 00000ae6 02230008 5f616c6c 6f635f76 .....#.._alloc_v 7380: 64657363 00000013 32022304 085f6765 desc....2.#.._ge 7390: 745f6877 5f646573 63000000 133f0223 t_hw_desc....?.# 73a0: 08085f73 7761705f 76646573 63000000 .._swap_vdesc... 73b0: 13480223 0c087052 65736572 76656400 .H.#..pReserved. 73c0: 00000413 02231000 075f5642 55460020 .....#..._VBUF. 73d0: 0000141e 08646573 635f6c69 73740000 .....desc_list.. 73e0: 00132502 2300086e 6578745f 62756600 ..%.#..next_buf. 73f0: 0000141e 02230408 6275665f 6c656e67 .....#..buf_leng 7400: 74680000 0012f802 23080872 65736572 th......#..reser 7410: 76656400 00001425 02230a08 63747800 ved....%.#..ctx. 7420: 00001306 02230c00 03000013 be04000f .....#.......... 7430: 000012e4 02000014 32100100 03000013 ........2....... 7440: be040009 56425546 00000013 be030000 ....VBUF........ 7450: 14390400 06000014 43010300 00144a04 .9......C.....J. 7460: 00060000 14430103 00001457 04000201 .....C.....W.... 7470: 03000014 64040007 76627566 5f617069 ....d...vbuf_api 7480: 00140000 14e2085f 696e6974 0000000a ......._init.... 7490: e6022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf 74a0: 00000014 50022304 085f616c 6c6f635f ....P.#.._alloc_ 74b0: 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. 74c0: 00145d02 2308085f 66726565 5f766275 ..].#.._free_vbu 74d0: 66000000 14660223 0c087052 65736572 f....f.#..pReser 74e0: 76656400 00000413 02231000 075f5f61 ved......#...__a 74f0: 64665f64 65766963 65000400 00150408 df_device....... 7500: 64756d6d 79000000 011e0223 00000300 dummy......#.... 7510: 00092a04 00075f5f 6164665f 646d615f ..*...__adf_dma_ 7520: 6d617000 0c000015 4b086275 66000000 map.....K.buf... 7530: 14430223 00086473 5f616464 72000000 .C.#..ds_addr... 7540: 15040223 04086473 5f6c656e 00000012 ...#..ds_len.... 7550: f8022308 00120c00 00158508 5f5f7661 ..#.........__va 7560: 5f73746b 00000003 81022300 085f5f76 _stk......#..__v 7570: 615f7265 67000000 03810223 04085f5f a_reg......#..__ 7580: 76615f6e 64780000 00011e02 23080009 va_ndx......#... 7590: 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add 75a0: 725f7400 0000092a 09616466 5f6f735f r_t....*.adf_os_ 75b0: 646d615f 61646472 5f740000 00158509 dma_addr_t...... 75c0: 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz 75d0: 655f7400 0000092a 09616466 5f6f735f e_t....*.adf_os_ 75e0: 646d615f 73697a65 5f740000 0015b507 dma_size_t...... 75f0: 5f5f646d 615f7365 67730008 00001611 __dma_segs...... 7600: 08706164 64720000 00159e02 2300086c .paddr......#..l 7610: 656e0000 0015ce02 23040009 5f5f615f en......#...__a_ 7620: 75696e74 33325f74 00000009 2a09615f uint32_t....*.a_ 7630: 75696e74 33325f74 00000016 110f0000 uint32_t........ 7640: 15e50800 00164010 00000761 64665f6f ......@....adf_o 7650: 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... 7660: 00167908 6e736567 73000000 16230223 ..y.nsegs....#.# 7670: 0008646d 615f7365 67730000 00163302 ..dma_segs....3. 7680: 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. 7690: 000012e4 09615f75 696e7438 5f740000 .....a_uint8_t.. 76a0: 00167903 0000168a 0400075f 5f73675f ..y........__sg_ 76b0: 73656773 00080000 16cb0876 61646472 segs.......vaddr 76c0: 00000016 99022300 086c656e 00000016 ......#..len.... 76d0: 23022304 000f0000 16a02000 0016d810 #.#....... ..... 76e0: 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist 76f0: 00240000 170b086e 73656773 00000016 .$.....nsegs.... 7700: 23022300 0873675f 73656773 00000016 #.#..sg_segs.... 7710: cb022304 00121000 00175408 76656e64 ..#.......T.vend 7720: 6f720000 00162302 23000864 65766963 or....#.#..devic 7730: 65000000 16230223 04087375 6276656e e....#.#..subven 7740: 646f7200 00001623 02230808 73756264 dor....#.#..subd 7750: 65766963 65000000 16230223 0c00046c evice....#.#...l 7760: 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne 7770: 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 7780: 34000000 1754095f 5f615f75 696e7436 4....T.__a_uint6 7790: 345f7400 0000176e 09615f75 696e7436 4_t....n.a_uint6 77a0: 345f7400 0000177c 14040000 17da0e41 4_t....|.......A 77b0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 77c0: 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS 77d0: 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I 77e0: 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso 77f0: 75726365 5f747970 655f7400 0000179e urce_type_t..... 7800: 12180000 18240873 74617274 00000017 .....$.start.... 7810: 8e022300 08656e64 00000017 8e022308 ..#..end......#. 7820: 08747970 65000000 17da0223 10000961 .type......#...a 7830: 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id 7840: 5f740000 00170b03 00001824 04001104 _t.........$.... 7850: 00001863 08706369 00000018 3d022300 ...c.pci....=.#. 7860: 08726177 00000004 13022300 00111000 .raw......#..... 7870: 00188208 70636900 00001824 02230008 ....pci....$.#.. 7880: 72617700 00000413 02230000 09616466 raw......#...adf 7890: 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... 78a0: 04130961 64665f6f 735f7265 736f7572 ...adf_os_resour 78b0: 63655f74 00000017 f6030000 18980400 ce_t............ 78c0: 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d 78d0: 6174615f 74000000 18630300 0018b604 ata_t....c...... 78e0: 00030000 14e20400 095f5f61 64665f6f .........__adf_o 78f0: 735f6465 76696365 5f740000 0018d709 s_device_t...... 7900: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 7910: 000018de 06000018 82010300 00190a04 ................ 7920: 00020103 00001917 04000961 64665f6f ...........adf_o 7930: 735f706d 5f740000 00041302 01030000 s_pm_t.......... 7940: 19310400 14040000 19710e41 44465f4f .1.......q.ADF_O 7950: 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. 7960: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE 7970: 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ 7980: 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... 7990: 193a0961 64665f6f 735f6275 735f7265 .:.adf_os_bus_re 79a0: 675f6461 74615f74 00000018 44030000 g_data_t....D... 79b0: 032b0400 075f6164 665f6472 765f696e .+..._adf_drv_in 79c0: 666f0020 00001a4e 08647276 5f617474 fo. ...N.drv_att 79d0: 61636800 00001910 02230008 6472765f ach......#..drv_ 79e0: 64657461 63680000 00191902 23040864 detach......#..d 79f0: 72765f73 75737065 6e640000 00193302 rv_suspend....3. 7a00: 23080864 72765f72 6573756d 65000000 #..drv_resume... 7a10: 19190223 0c086275 735f7479 70650000 ...#..bus_type.. 7a20: 00197102 23100862 75735f64 61746100 ..q.#..bus_data. 7a30: 00001988 02231408 6d6f645f 6e616d65 .....#..mod_name 7a40: 00000019 a3022318 0869666e 616d6500 ......#..ifname. 7a50: 000019a3 02231c00 09616466 5f6f735f .....#...adf_os_ 7a60: 68616e64 6c655f74 00000004 13030000 handle_t........ 7a70: 16790400 02010201 095f5f61 64665f6f .y.......__adf_o 7a80: 735f7369 7a655f74 00000004 16140400 s_size_t........ 7a90: 001a9d0e 415f4641 4c534500 000e415f ....A_FALSE...A_ 7aa0: 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t 7ab0: 0000001a 83030000 150b0400 095f5f61 .............__a 7ac0: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 7ad0: 00001aab 02010d61 64665f6f 735f6361 .......adf_os_ca 7ae0: 6368655f 73796e63 00040000 1b350e41 che_sync.....5.A 7af0: 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. 7b00: 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR 7b10: 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P 7b20: 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY 7b30: 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... 7b40: 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn 7b50: 635f7400 00001acc 02010961 64665f6f c_t........adf_o 7b60: 735f7369 7a655f74 0000001a 6e060000 s_size_t....n... 7b70: 1b500109 6164665f 6f735f64 6d615f6d .P..adf_os_dma_m 7b80: 61705f74 0000001a b2030000 1b690400 ap_t.........i.. 7b90: 06000004 13010300 001ab204 00060000 ................ 7ba0: 04130102 01060000 159e0102 01047368 ..............sh 7bb0: 6f727420 696e7400 05020941 5f494e54 ort int....A_INT 7bc0: 31360000 001ba309 5f5f615f 696e7431 16......__a_int1 7bd0: 365f7400 00001bb0 09615f69 6e743136 6_t......a_int16 7be0: 5f740000 001bbd04 7369676e 65642063 _t......signed c 7bf0: 68617200 05010941 5f494e54 38000000 har....A_INT8... 7c00: 1bdd095f 5f615f69 6e74385f 74000000 ...__a_int8_t... 7c10: 1bec0961 5f696e74 385f7400 00001bf8 ...a_int8_t..... 7c20: 120c0000 1c6f0873 7570706f 72746564 .....o.supported 7c30: 00000016 23022300 08616476 65727469 ....#.#..adverti 7c40: 7a656400 00001623 02230408 73706565 zed....#.#..spee 7c50: 64000000 1bce0223 08086475 706c6578 d......#..duplex 7c60: 0000001c 0802230a 08617574 6f6e6567 ......#..autoneg 7c70: 00000016 8a02230b 000f0000 168a0600 ......#......... 7c80: 001c7c10 05000761 64665f6e 65745f65 ..|....adf_net_e 7c90: 74686164 64720006 00001ca0 08616464 thaddr.......add 7ca0: 72000000 1c6f0223 0000095f 5f615f75 r....o.#...__a_u 7cb0: 696e7431 365f7400 000012f8 09615f75 int16_t......a_u 7cc0: 696e7431 365f7400 00001ca0 120e0000 int16_t......... 7cd0: 1d040865 74686572 5f64686f 73740000 ...ether_dhost.. 7ce0: 001c6f02 23000865 74686572 5f73686f ..o.#..ether_sho 7cf0: 73740000 001c6f02 23060865 74686572 st....o.#..ether 7d00: 5f747970 65000000 1cb20223 0c001214 _type......#.... 7d10: 00001dc5 1569705f 76657273 696f6e00 .....ip_version. 7d20: 0000168a 01000402 23001569 705f686c ........#..ip_hl 7d30: 00000016 8a010404 02230008 69705f74 .........#..ip_t 7d40: 6f730000 00168a02 23010869 705f6c65 os......#..ip_le 7d50: 6e000000 1cb20223 02086970 5f696400 n......#..ip_id. 7d60: 00001cb2 02230408 69705f66 7261675f .....#..ip_frag_ 7d70: 6f666600 00001cb2 02230608 69705f74 off......#..ip_t 7d80: 746c0000 00168a02 23080869 705f7072 tl......#..ip_pr 7d90: 6f746f00 0000168a 02230908 69705f63 oto......#..ip_c 7da0: 6865636b 0000001c b202230a 0869705f heck......#..ip_ 7db0: 73616464 72000000 16230223 0c086970 saddr....#.#..ip 7dc0: 5f646164 64720000 00162302 23100007 _daddr....#.#... 7dd0: 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. 7de0: 0400001e 17087470 69640000 001cb202 ......tpid...... 7df0: 23001570 72696f00 0000168a 01000302 #..prio......... 7e00: 23021563 66690000 00168a01 03010223 #..cfi.........# 7e10: 02157669 64000000 1cb20204 0c022302 ..vid.........#. 7e20: 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... 7e30: 001e4815 72657300 0000168a 01000402 ..H.res......... 7e40: 23001576 616c0000 001cb202 040c0223 #..val.........# 7e50: 0000120c 00001e84 0872785f 62756673 .........rx_bufs 7e60: 697a6500 00001623 02230008 72785f6e ize....#.#..rx_n 7e70: 64657363 00000016 23022304 0874785f desc....#.#..tx_ 7e80: 6e646573 63000000 16230223 08001208 ndesc....#.#.... 7e90: 00001eaa 08706f6c 6c656400 00001a9d .....polled..... 7ea0: 02230008 706f6c6c 5f777400 00001623 .#..poll_wt....# 7eb0: 02230400 0f000016 8a400000 1eb7103f .#.......@.....? 7ec0: 00124600 001edf08 69665f6e 616d6500 ..F.....if_name. 7ed0: 00001eaa 02230008 6465765f 61646472 .....#..dev_addr 7ee0: 0000001c 6f022340 00140400 001f160e ....o.#@........ 7ef0: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ 7f00: 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D 7f10: 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... 7f20: 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask 7f30: 5f740000 001edf07 6164665f 646d615f _t......adf_dma_ 7f40: 696e666f 00080000 1f630864 6d615f6d info.....c.dma_m 7f50: 61736b00 00001f16 02230008 73675f6e ask......#..sg_n 7f60: 73656773 00000016 23022304 00140400 segs....#.#..... 7f70: 001fb90e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU 7f80: 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET 7f90: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 7fa0: 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK 7fb0: 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 7fc0: 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu 7fd0: 6d5f7479 70655f74 0000001f 63120800 m_type_t....c... 7fe0: 001ffc08 74785f63 6b73756d 0000001f ....tx_cksum.... 7ff0: b9022300 0872785f 636b7375 6d000000 ..#..rx_cksum... 8000: 1fb90223 04000961 64665f6e 65745f63 ...#...adf_net_c 8010: 6b73756d 5f696e66 6f5f7400 00001fd3 ksum_info_t..... 8020: 14040000 20550e41 44465f4e 45545f54 .... U.ADF_NET_T 8030: 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE 8040: 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF 8050: 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... 8060: 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type 8070: 5f740000 00201612 10000020 a908636b _t... ..... ..ck 8080: 73756d5f 63617000 00001ffc 02230008 sum_cap......#.. 8090: 74736f00 00002055 02230808 766c616e tso... U.#..vlan 80a0: 5f737570 706f7274 65640000 00168a02 _supported...... 80b0: 230c0012 20000021 42087478 5f706163 #... ..!B.tx_pac 80c0: 6b657473 00000016 23022300 0872785f kets....#.#..rx_ 80d0: 7061636b 65747300 00001623 02230408 packets....#.#.. 80e0: 74785f62 79746573 00000016 23022308 tx_bytes....#.#. 80f0: 0872785f 62797465 73000000 16230223 .rx_bytes....#.# 8100: 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... 8110: 23022310 0872785f 64726f70 70656400 #.#..rx_dropped. 8120: 00001623 02231408 72785f65 72726f72 ...#.#..rx_error 8130: 73000000 16230223 18087478 5f657272 s....#.#..tx_err 8140: 6f727300 00001623 02231c00 09616466 ors....#.#...adf 8150: 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. 8160: 001c7c16 00002142 03000000 2167107f ..|...!B....!g.. 8170: 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc 8180: 61646472 00030400 00219e08 6e656c65 addr.....!..nele 8190: 6d000000 16230223 00086d63 61737400 m....#.#..mcast. 81a0: 00002159 02230400 09616466 5f6e6574 ..!Y.#...adf_net 81b0: 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t 81c0: 0000001c 16096164 665f6e65 745f636d ......adf_net_cm 81d0: 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... 81e0: 1e840961 64665f6e 65745f63 6d645f63 ...adf_net_cmd_c 81f0: 6b73756d 5f696e66 6f5f7400 00001ffc ksum_info_t..... 8200: 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin 8210: 675f696e 666f5f74 0000001e 48096164 g_info_t....H.ad 8220: 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in 8230: 666f5f74 0000001f 2d096164 665f6e65 fo_t....-.adf_ne 8240: 745f636d 645f7669 645f7400 00001cb2 t_cmd_vid_t..... 8250: 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off 8260: 6c6f6164 5f636170 5f740000 00206d09 load_cap_t... m. 8270: 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat 8280: 735f7400 000020a9 09616466 5f6e6574 s_t... ..adf_net 8290: 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... 82a0: 21670d61 64665f6e 65745f63 6d645f6d !g.adf_net_cmd_m 82b0: 63617374 5f636170 00040000 22e00e41 cast_cap...."..A 82c0: 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP 82d0: 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST 82e0: 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n 82f0: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap 8300: 5f740000 00229818 03040000 23b2086c _t..."......#..l 8310: 696e6b5f 696e666f 00000021 9e022300 ink_info...!..#. 8320: 08706f6c 6c5f696e 666f0000 0021bb02 .poll_info...!.. 8330: 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... 8340: 21d80223 00087269 6e675f69 6e666f00 !..#..ring_info. 8350: 000021f6 02230008 646d615f 696e666f ..!..#..dma_info 8360: 00000022 13022300 08766964 00000022 ..."..#..vid..." 8370: 2f022300 086f6666 6c6f6164 5f636170 /.#..offload_cap 8380: 00000022 46022300 08737461 74730000 ..."F.#..stats.. 8390: 00226502 2300086d 63617374 5f696e66 ."e.#..mcast_inf 83a0: 6f000000 227e0223 00086d63 6173745f o..."~.#..mcast_ 83b0: 63617000 000022e0 02230000 14040000 cap..."..#...... 83c0: 24090e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C 83d0: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 83e0: 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW 83f0: 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C 8400: 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY 8410: 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ 8420: 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# 8430: b2120800 00244908 72657375 6c740000 .....$I.result.. 8440: 00240902 23000876 616c0000 00162302 .$..#..val....#. 8450: 23040012 08000024 79087479 70650000 #......$y.type.. 8460: 00205502 2300086d 73730000 001cb202 . U.#..mss...... 8470: 23040868 64725f6f 66660000 00168a02 #..hdr_off...... 8480: 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q 8490: 68656164 000c0000 24b80868 65616400 head....$..head. 84a0: 00001443 02230008 7461696c 00000014 ...C.#..tail.... 84b0: 43022304 08716c65 6e000000 16230223 C.#..qlen....#.# 84c0: 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. 84d0: 00001443 03000016 99040003 00001623 ...C...........# 84e0: 04000201 06000013 25010600 00162301 ........%.....#. 84f0: 06000016 99010600 00169901 03000013 ................ 8500: 06040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q 8510: 68656164 5f740000 00247909 5f5f6164 head_t...$y.__ad 8520: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. 8530: 0024f903 00002511 04000600 0024b801 .$....%......$.. 8540: 06000024 b8011404 00002631 0e415f53 ...$......&1.A_S 8550: 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA 8560: 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S 8570: 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A 8580: 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. 8590: 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL 85a0: 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP 85b0: 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT 85c0: 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ 85d0: 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A 85e0: 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... 85f0: 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO 8600: 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU 8610: 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT 8620: 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST 8630: 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st 8640: 61747573 5f740000 00253c06 00002631 atus_t...%<...&1 8650: 01060000 011e0102 01096164 665f6e62 ..........adf_nb 8660: 75665f74 00000024 b8140400 0026960e uf_t...$.....&.. 8670: 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE 8680: 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM 8690: 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... 86a0: 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ 86b0: 74000000 265f0600 00263101 02010961 t...&_...&1....a 86c0: 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf 86d0: 6f5f7400 00001640 03000026 b4040002 o_t....@...&.... 86e0: 01020106 0000264f 01060000 24b80102 ......&O....$... 86f0: 01020106 0000264f 01060000 24b80106 ......&O....$... 8700: 0000264f 01060000 24b80106 0000264f ..&O....$.....&O 8710: 01020102 01060000 16230106 00001699 .........#...... 8720: 01020102 01060000 1b500106 00001a9d .........P...... 8730: 01060000 1a9d0109 6164665f 6f735f73 ........adf_os_s 8740: 676c6973 745f7400 000016d8 03000027 glist_t........' 8750: 2d040002 01020102 01060000 16990109 -............... 8760: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 8770: 00000025 11030000 27550400 02010300 ...%....'U...... 8780: 0024f904 00020102 01020106 0000264f .$............&O 8790: 01060000 24b80106 00001623 01060000 ....$......#.... 87a0: 16230106 00001a9d 01060000 1a9d0106 .#.............. 87b0: 00001fb9 01060000 16230109 6164665f .........#..adf_ 87c0: 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. 87d0: 00002427 03000027 b1040002 01020109 ..$'...'........ 87e0: 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. 87f0: 00244903 000027d5 04000201 02010961 .$I...'........a 8800: 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. 8810: 00000413 09616466 5f6e6574 5f766c61 .....adf_net_vla 8820: 6e686472 5f740000 001dc503 0000280a nhdr_t........(. 8830: 04000600 00263101 06000026 31010201 .....&1....&1... 8840: 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. 8850: 00002859 0864756d 6d790000 00011e02 ..(Y.dummy...... 8860: 23000002 01030000 28590400 02010300 #.......(Y...... 8870: 00286204 00075f48 49465f43 414c4c42 .(b..._HIF_CALLB 8880: 41434b00 0c000028 b7087365 6e645f62 ACK....(..send_b 8890: 75665f64 6f6e6500 0000285b 02230008 uf_done...([.#.. 88a0: 72656376 5f627566 00000028 64022304 recv_buf...(d.#. 88b0: 08636f6e 74657874 00000004 13022308 .context......#. 88c0: 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. 88d0: 00041309 4849465f 434f4e46 49470000 ....HIF_CONFIG.. 88e0: 00283803 000028c9 04000600 0028b701 .(8...(......(.. 88f0: 03000028 e0040002 01030000 28ed0400 ...(........(... 8900: 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... 8910: 286b0300 0028f604 00020103 0000290f (k...(........). 8920: 04000600 00011e01 03000029 18040002 ...........).... 8930: 01030000 29250400 06000001 1e010300 ....)%.......... 8940: 00292e04 00020103 0000293b 04000600 .)........);.... 8950: 00011e01 03000029 44040002 01030000 .......)D....... 8960: 29510400 07686966 5f617069 00380000 )Q...hif_api.8.. 8970: 2aaa085f 696e6974 00000028 e6022300 *.._init...(..#. 8980: 085f7368 7574646f 776e0000 0028ef02 ._shutdown...(.. 8990: 2304085f 72656769 73746572 5f63616c #.._register_cal 89a0: 6c626163 6b000000 29110223 08085f67 lback...)..#.._g 89b0: 65745f74 6f74616c 5f637265 6469745f et_total_credit_ 89c0: 636f756e 74000000 291e0223 0c085f73 count...)..#.._s 89d0: 74617274 00000028 ef022310 085f636f tart...(..#.._co 89e0: 6e666967 5f706970 65000000 29270223 nfig_pipe...)'.# 89f0: 14085f73 656e645f 62756666 65720000 .._send_buffer.. 8a00: 00293402 2318085f 72657475 726e5f72 .)4.#.._return_r 8a10: 6563765f 62756600 0000293d 02231c08 ecv_buf...)=.#.. 8a20: 5f69735f 70697065 5f737570 706f7274 _is_pipe_support 8a30: 65640000 00294a02 2320085f 6765745f ed...)J.# ._get_ 8a40: 6d61785f 6d73675f 6c656e00 0000294a max_msg_len...)J 8a50: 02232408 5f676574 5f726573 65727665 .#$._get_reserve 8a60: 645f6865 6164726f 6f6d0000 00291e02 d_headroom...).. 8a70: 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. 8a80: 000028ef 02232c08 5f676574 5f646566 ..(..#,._get_def 8a90: 61756c74 5f706970 65000000 29530223 ault_pipe...)S.# 8aa0: 30087052 65736572 76656400 00000413 0.pReserved..... 8ab0: 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. 8ac0: 0400002b 330e444d 415f454e 47494e45 ...+3.DMA_ENGINE 8ad0: 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN 8ae0: 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI 8af0: 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG 8b00: 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN 8b10: 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E 8b20: 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ 8b30: 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm 8b40: 615f656e 67696e65 5f740000 002aaa0d a_engine_t...*.. 8b50: 646d615f 69667479 70650004 00002b80 dma_iftype....+. 8b60: 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D 8b70: 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ 8b80: 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i 8b90: 66747970 655f7400 00002b45 06000012 ftype_t...+E.... 8ba0: f8010300 002b9204 00020103 00002b9f .....+........+. 8bb0: 04000201 0300002b a8040006 0000092a .......+.......* 8bc0: 01030000 2bb10400 06000012 f8010300 ....+........... 8bd0: 002bbe04 00060000 12f80103 00002bcb .+............+. 8be0: 04000600 00144301 0300002b d8040002 ......C....+.... 8bf0: 01030000 2be50400 07646d61 5f6c6962 ....+....dma_lib 8c00: 5f617069 00340000 2cec0874 785f696e _api.4..,..tx_in 8c10: 69740000 002b9802 23000874 785f7374 it...+..#..tx_st 8c20: 61727400 00002ba1 02230408 72785f69 art...+..#..rx_i 8c30: 6e697400 00002b98 02230808 72785f63 nit...+..#..rx_c 8c40: 6f6e6669 67000000 2baa0223 0c087278 onfig...+..#..rx 8c50: 5f737461 72740000 002ba102 23100869 _start...+..#..i 8c60: 6e74725f 73746174 75730000 002bb702 ntr_status...+.. 8c70: 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ 8c80: c4022318 08666c75 73685f78 6d697400 ..#..flush_xmit. 8c90: 00002ba1 02231c08 786d6974 5f646f6e ..+..#..xmit_don 8ca0: 65000000 2bd10223 20087265 61705f78 e...+..# .reap_x 8cb0: 6d697474 65640000 002bde02 23240872 mitted...+..#$.r 8cc0: 6561705f 72656376 0000002b de022328 eap_recv...+..#( 8cd0: 08726574 75726e5f 72656376 0000002b .return_recv...+ 8ce0: e702232c 08726563 765f706b 74000000 ..#,.recv_pkt... 8cf0: 2bd10223 3000075f 5f706369 5f736f66 +..#0..__pci_sof 8d00: 7463000c 00002d0a 08737700 000028f6 tc....-..sw...(. 8d10: 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc 8d20: 5f740000 002cec03 00002d0a 04000201 _t...,....-..... 8d30: 0300002d 24040006 000012e4 01030000 ...-$........... 8d40: 2d2d0400 0d686966 5f706369 5f706970 --...hif_pci_pip 8d50: 655f7478 00040000 2d8d0e48 49465f50 e_tx....-..HIF_P 8d60: 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI 8d70: 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. 8d80: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 8d90: 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ 8da0: 70697065 5f74785f 74000000 2d3a0600 pipe_tx_t...-:.. 8db0: 002b3301 0300002d a404000d 6869665f .+3....-....hif_ 8dc0: 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... 8dd0: 2a0e4849 465f5043 495f5049 50455f52 *.HIF_PCI_PIPE_R 8de0: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP 8df0: 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ 8e00: 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P 8e10: 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI 8e20: 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA 8e30: 58000400 09686966 5f706369 5f706970 X....hif_pci_pip 8e40: 655f7278 5f740000 002db106 00002b33 e_rx_t...-....+3 8e50: 01030000 2e410400 07686966 5f706369 .....A...hif_pci 8e60: 5f617069 00240000 2f1f0870 63695f62 _api.$../..pci_b 8e70: 6f6f745f 696e6974 00000001 17022300 oot_init......#. 8e80: 08706369 5f696e69 74000000 28e60223 .pci_init...(..# 8e90: 04087063 695f7265 73657400 00000117 ..pci_reset..... 8ea0: 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. 8eb0: 00011702 230c0870 63695f72 6561705f ....#..pci_reap_ 8ec0: 786d6974 74656400 00002d26 02231008 xmitted...-&.#.. 8ed0: 7063695f 72656170 5f726563 76000000 pci_reap_recv... 8ee0: 2d260223 14087063 695f6765 745f7069 -&.#..pci_get_pi 8ef0: 70650000 002d3302 23180870 63695f67 pe...-3.#..pci_g 8f00: 65745f74 785f656e 67000000 2daa0223 et_tx_eng...-..# 8f10: 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng 8f20: 0000002e 47022320 0007676d 61635f61 ....G.# ..gmac_a 8f30: 70690004 00002f46 08676d61 635f626f pi..../F.gmac_bo 8f40: 6f745f69 6e697400 00000117 02230000 ot_init......#.. 8f50: 0f000003 2b060000 2f531005 00075f5f ....+.../S....__ 8f60: 65746868 6472000e 00002f89 08647374 ethhdr..../..dst 8f70: 0000002f 46022300 08737263 0000002f .../F.#..src.../ 8f80: 46022306 08657479 70650000 0012f802 F.#..etype...... 8f90: 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... 8fa0: 2fd71572 65730000 0012e401 00020223 /..res.........# 8fb0: 00157072 6f746f00 000012e4 01020602 ..proto......... 8fc0: 23000872 65735f6c 6f000000 12e40223 #..res_lo......# 8fd0: 01087265 735f6869 00000012 f8022302 ..res_hi......#. 8fe0: 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... 8ff0: 30130865 74680000 002f5302 23000861 0..eth.../S.#..a 9000: 74680000 002f8902 230e0861 6c69676e th.../..#..align 9010: 5f706164 00000012 f8022312 00095f5f _pad......#...__ 9020: 676d6163 5f686472 5f740000 002fd707 gmac_hdr_t.../.. 9030: 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. 9040: 305d0868 64720000 00301302 23000867 0].hdr...0..#..g 9050: 72616e00 000012f8 02231408 73770000 ran......#..sw.. 9060: 0028f602 23180007 5f415f6f 735f6c69 .(..#..._A_os_li 9070: 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 9080: 96087665 7273696f 6e000000 011e0223 ..version......# 9090: 00087461 626c6500 0000011e 02230400 ..table......#.. 90a0: 03000030 5d040006 0000011e 01030000 ...0]........... 90b0: 309d0400 03000004 16040017 5f415f63 0..........._A_c 90c0: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 90d0: 5f746162 6c650001 b8000031 ed086861 _table.....1..ha 90e0: 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. 90f0: 000030a3 02230008 73746172 745f6273 ..0..#..start_bs 9100: 73000000 30aa0223 04086170 705f7374 s...0..#..app_st 9110: 61727400 00000117 02230808 6d656d00 art......#..mem. 9120: 00000456 02230c08 6d697363 00000005 ...V.#..misc.... 9130: 75022320 08707269 6e746600 0000014b u.# .printf....K 9140: 02234408 75617274 00000002 1402234c .#D.uart......#L 9150: 08676d61 63000000 2f1f0223 6c087573 .gmac.../..#l.us 9160: 62000000 0fa40223 7008636c 6f636b00 b......#p.clock. 9170: 00000aed 0323e001 0874696d 65720000 .....#...timer.. 9180: 00078d03 23840208 696e7472 0000000c ....#...intr.... 9190: 3d032398 0208616c 6c6f6372 616d0000 =.#...allocram.. 91a0: 00094503 23c40208 726f6d70 00000008 ..E.#...romp.... 91b0: 370323d0 02087764 745f7469 6d657200 7.#...wdt_timer. 91c0: 00000e1a 0323e002 08656570 0000000f .....#...eep.... 91d0: 480323fc 02087374 72696e67 00000006 H.#...string.... 91e0: 9903238c 03087461 736b6c65 74000000 ..#...tasklet... 91f0: 0a420323 a4030007 5f555342 5f464946 .B.#...._USB_FIF 9200: 4f5f434f 4e464947 00100000 32600867 O_CONFIG....2`.g 9210: 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. 9220: 00145002 23000872 6563765f 636f6d6d ..P.#..recv_comm 9230: 616e6400 00001466 02230408 6765745f and....f.#..get_ 9240: 6576656e 745f6275 66000000 14500223 event_buf....P.# 9250: 08087365 6e645f65 76656e74 5f646f6e ..send_event_don 9260: 65000000 14660223 0c000955 53425f46 e....f.#...USB_F 9270: 49464f5f 434f4e46 49470000 0031ed03 IFO_CONFIG...1.. 9280: 00003260 04000201 03000032 7c040007 ..2`.......2|... 9290: 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 92a0: d2085f69 6e697400 0000327e 02230008 .._init...2~.#.. 92b0: 5f656e61 626c655f 6576656e 745f6973 _enable_event_is 92c0: 72000000 01170223 04087052 65736572 r......#..pReser 92d0: 76656400 00000413 02230800 0f000016 ved......#...... 92e0: 8a020000 32df1001 00075f48 54435f46 ....2....._HTC_F 92f0: 52414d45 5f484452 00080000 33510845 RAME_HDR....3Q.E 9300: 6e64706f 696e7449 44000000 168a0223 ndpointID......# 9310: 0008466c 61677300 0000168a 02230108 ..Flags......#.. 9320: 5061796c 6f61644c 656e0000 001cb202 PayloadLen...... 9330: 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. 9340: 000032d2 02230408 486f7374 5365714e ..2..#..HostSeqN 9350: 756d0000 001cb202 23060012 02000033 um......#......3 9360: 6a084d65 73736167 65494400 00001cb2 j.MessageID..... 9370: 02230000 12080000 33cd084d 65737361 .#......3..Messa 9380: 67654944 0000001c b2022300 08437265 geID......#..Cre 9390: 64697443 6f756e74 0000001c b2022302 ditCount......#. 93a0: 08437265 64697453 697a6500 00001cb2 .CreditSize..... 93b0: 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints 93c0: 00000016 8a022306 085f5061 64310000 ......#.._Pad1.. 93d0: 00168a02 23070012 0a000034 64084d65 ....#......4d.Me 93e0: 73736167 65494400 00001cb2 02230008 ssageID......#.. 93f0: 53657276 69636549 44000000 1cb20223 ServiceID......# 9400: 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag 9410: 73000000 1cb20223 0408446f 776e4c69 s......#..DownLi 9420: 6e6b5069 70654944 00000016 8a022306 nkPipeID......#. 9430: 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... 9440: 168a0223 07085365 72766963 654d6574 ...#..ServiceMet 9450: 614c656e 67746800 0000168a 02230808 aLength......#.. 9460: 5f506164 31000000 168a0223 0900120a _Pad1......#.... 9470: 000034ec 084d6573 73616765 49440000 ..4..MessageID.. 9480: 001cb202 23000853 65727669 63654944 ....#..ServiceID 9490: 0000001c b2022302 08537461 74757300 ......#..Status. 94a0: 0000168a 02230408 456e6470 6f696e74 .....#..Endpoint 94b0: 49440000 00168a02 2305084d 61784d73 ID......#..MaxMs 94c0: 6753697a 65000000 1cb20223 06085365 gSize......#..Se 94d0: 72766963 654d6574 614c656e 67746800 rviceMetaLength. 94e0: 0000168a 02230808 5f506164 31000000 .....#.._Pad1... 94f0: 168a0223 09001202 00003505 084d6573 ...#......5..Mes 9500: 73616765 49440000 001cb202 23000012 sageID......#... 9510: 04000035 41084d65 73736167 65494400 ...5A.MessageID. 9520: 00001cb2 02230008 50697065 49440000 .....#..PipeID.. 9530: 00168a02 23020843 72656469 74436f75 ....#..CreditCou 9540: 6e740000 00168a02 23030012 04000035 nt......#......5 9550: 78084d65 73736167 65494400 00001cb2 x.MessageID..... 9560: 02230008 50697065 49440000 00168a02 .#..PipeID...... 9570: 23020853 74617475 73000000 168a0223 #..Status......# 9580: 03001202 0000359f 08526563 6f726449 ......5..RecordI 9590: 44000000 168a0223 00084c65 6e677468 D......#..Length 95a0: 00000016 8a022301 00120200 0035c908 ......#......5.. 95b0: 456e6470 6f696e74 49440000 00168a02 EndpointID...... 95c0: 23000843 72656469 74730000 00168a02 #..Credits...... 95d0: 23010012 04000036 0a08456e 64706f69 #......6..Endpoi 95e0: 6e744944 00000016 8a022300 08437265 ntID......#..Cre 95f0: 64697473 00000016 8a022301 08546774 dits......#..Tgt 9600: 43726564 69745365 714e6f00 00001cb2 CreditSeqNo..... 9610: 02230200 0f000016 8a040000 36171003 .#..........6... 9620: 00120600 00365308 50726556 616c6964 .....6S.PreValid 9630: 00000016 8a022300 084c6f6f 6b416865 ......#..LookAhe 9640: 61640000 00360a02 23010850 6f737456 ad...6..#..PostV 9650: 616c6964 00000016 8a022305 0009706f alid......#...po 9660: 6f6c5f68 616e646c 655f7400 00000413 ol_handle_t..... 9670: 06000036 53010300 00366604 00020103 ...6S....6f..... 9680: 00003673 04001404 000036f1 0e504f4f ..6s......6..POO 9690: 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL 96a0: 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S 96b0: 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P 96c0: 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E 96d0: 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W 96e0: 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO 96f0: 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ 9700: 504f4f4c 5f494400 0000367c 02010300 POOL_ID...6|.... 9710: 00370204 00060000 264f0103 0000370b .7......&O....7. 9720: 04000600 00264f01 03000037 18040002 .....&O....7.... 9730: 01030000 37250400 07627566 5f706f6f ....7%...buf_poo 9740: 6c5f6170 69001c00 0037c708 5f696e69 l_api....7.._ini 9750: 74000000 366c0223 00085f73 68757464 t...6l.#.._shutd 9760: 6f776e00 00003675 02230408 5f637265 own...6u.#.._cre 9770: 6174655f 706f6f6c 00000037 04022308 ate_pool...7..#. 9780: 085f616c 6c6f635f 62756600 00003711 ._alloc_buf...7. 9790: 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a 97a0: 6c69676e 00000037 1e022310 085f6672 lign...7..#.._fr 97b0: 65655f62 75660000 00372702 23140870 ee_buf...7'.#..p 97c0: 52657365 72766564 00000004 13022318 Reserved......#. 97d0: 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. 97e0: 000038a6 08704e65 78740000 0038a602 ..8..pNext...8.. 97f0: 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs 9800: 67000000 395b0223 04085072 6f636573 g...9[.#..Proces 9810: 7353656e 64427566 66657243 6f6d706c sSendBufferCompl 9820: 65746500 00003964 02230808 50726f63 ete...9d.#..Proc 9830: 65737343 6f6e6e65 63740000 00397802 essConnect...9x. 9840: 230c0853 65727669 63654944 00000012 #..ServiceID.... 9850: f8022310 08536572 76696365 466c6167 ..#..ServiceFlag 9860: 73000000 12f80223 12084d61 78537663 s......#..MaxSvc 9870: 4d736753 697a6500 000012f8 02231408 MsgSize......#.. 9880: 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL 9890: 696d6974 00000012 f8022316 08536572 imit......#..Ser 98a0: 76696365 43747800 00000413 02231800 viceCtx......#.. 98b0: 03000037 c7040014 04000039 4419454e ...7.......9D.EN 98c0: 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... 98d0: ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E 98e0: 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO 98f0: 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 9900: 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E 9910: 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO 9920: 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 9930: 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E 9940: 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H 9950: 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. 9960: 0038ad02 01030000 39590400 02010300 .8......9Y...... 9970: 00396204 00030000 011e0400 06000012 .9b............. 9980: e4010300 00397204 00030000 37c70400 .....9r.....7... 9990: 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... 99a0: 39f70843 72656469 7453697a 65000000 9..CreditSize... 99b0: 011e0223 00084372 65646974 4e756d62 ...#..CreditNumb 99c0: 65720000 00011e02 2304084f 5348616e er......#..OSHan 99d0: 646c6500 00001a4e 02230808 48494648 dle....N.#..HIFH 99e0: 616e646c 65000000 28b70223 0c08506f andle...(..#..Po 99f0: 6f6c4861 6e646c65 00000036 53022310 olHandle...6S.#. 9a00: 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE 9a10: 58540002 00003a33 08656e64 5f706f69 XT....:3.end_poi 9a20: 6e740000 0012e402 23000868 74635f66 nt......#..htc_f 9a30: 6c616773 00000012 e4022301 00096874 lags......#...ht 9a40: 635f6861 6e646c65 5f740000 00041309 c_handle_t...... 9a50: 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE 9a60: 54455f43 42000000 01170948 54435f43 TE_CB......HTC_C 9a70: 4f4e4649 47000000 39860300 003a6004 ONFIG...9....:`. 9a80: 00060000 3a330103 00003a77 04000201 ....:3....:w.... 9a90: 0300003a 84040009 4854435f 53455256 ...:....HTC_SERV 9aa0: 49434500 000037c7 0300003a 8d040002 ICE...7....:.... 9ab0: 01030000 3aa50400 02010300 003aae04 ....:........:.. 9ac0: 00020103 00003ab7 04000600 00011e01 ......:......... 9ad0: 0300003a c0040007 6874635f 61706973 ...:....htc_apis 9ae0: 00340000 3c3d085f 4854435f 496e6974 .4..<=._HTC_Init 9af0: 0000003a 7d022300 085f4854 435f5368 ...:}.#.._HTC_Sh 9b00: 7574646f 776e0000 003a8602 2304085f utdown...:..#.._ 9b10: 4854435f 52656769 73746572 53657276 HTC_RegisterServ 9b20: 69636500 00003aa7 02230808 5f485443 ice...:..#.._HTC 9b30: 5f526561 64790000 003a8602 230c085f _Ready...:..#.._ 9b40: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 9b50: 73000000 3ab00223 10085f48 54435f52 s...:..#.._HTC_R 9b60: 65747572 6e427566 66657273 4c697374 eturnBuffersList 9b70: 0000003a b9022314 085f4854 435f5365 ...:..#.._HTC_Se 9b80: 6e644d73 67000000 3ab00223 18085f48 ndMsg...:..#.._H 9b90: 54435f47 65745265 73657276 65644865 TC_GetReservedHe 9ba0: 6164726f 6f6d0000 003ac602 231c085f adroom...:..#.._ 9bb0: 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl 9bc0: 65720000 00286402 2320085f 4854435f er...(d.# ._HTC_ 9bd0: 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. 9be0: 0000285b 02232408 5f485443 5f436f6e ..([.#$._HTC_Con 9bf0: 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs 9c00: 67000000 395b0223 28085f48 54435f43 g...9[.#(._HTC_C 9c10: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 9c20: 53656e64 436f6d70 6c657465 00000039 SendComplete...9 9c30: 6402232c 08705265 73657276 65640000 d.#,.pReserved.. 9c40: 00041302 23300007 686f7374 5f617070 ....#0..host_app 9c50: 5f617265 615f7300 0400003c 6d08776d _area_s......t 9df0: 75706c65 4e756d4c 0000001c b2022300 upleNumL......#. 9e00: 08747570 6c654e75 6d480000 001cb202 .tupleNumH...... 9e10: 23020861 76740000 003dd102 23040012 #..avt...=..#... 9e20: 0100003e 37086265 61636f6e 50656e64 ...>7.beaconPend 9e30: 696e6743 6f756e74 00000016 8a022300 ingCount......#. 9e40: 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI 9e50: 47001000 003ea008 48746348 616e646c G....>..HtcHandl 9e60: 65000000 3a330223 0008506f 6f6c4861 e...:3.#..PoolHa 9e70: 6e646c65 00000036 53022304 084d6178 ndle...6S.#..Max 9e80: 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... 9e90: 1e022308 084d6178 4576656e 74457674 ..#..MaxEventEvt 9ea0: 73000000 011e0223 0c000201 0300003e s......#.......> 9eb0: a0040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND 9ec0: 4c455200 00003ea2 075f574d 495f4449 LER...>.._WMI_DI 9ed0: 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... 9ee0: 3f090870 436d6448 616e646c 65720000 ?..pCmdHandler.. 9ef0: 003ea902 23000843 6d644944 00000012 .>..#..CmdID.... 9f00: f8022304 08466c61 67730000 0012f802 ..#..Flags...... 9f10: 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC 9f20: 485f5441 424c4500 1000003f 6a08704e H_TABLE....?j.pN 9f30: 65787400 00003f6a 02230008 70436f6e ext...?j.#..pCon 9f40: 74657874 00000004 13022304 084e756d text......#..Num 9f50: 6265724f 66456e74 72696573 00000001 berOfEntries.... 9f60: 1e022308 08705461 626c6500 00003f89 ..#..pTable...?. 9f70: 02230c00 0300003f 09040009 574d495f .#.....?....WMI_ 9f80: 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. 9f90: 003ebe03 00003f71 04000300 003f0904 .>....?q.....?.. 9fa0: 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX 9fb0: 54000000 39f70d57 4d495f45 56545f43 T...9..WMI_EVT_C 9fc0: 4c415353 00040000 40211957 4d495f45 LASS....@!.WMI_E 9fd0: 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... 9fe0: ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 9ff0: 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI a000: 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R a010: 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C a020: 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ a030: 4556545f 434c4153 53000000 3fac075f EVT_CLASS...?.._ a040: 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. a050: 0c000040 7f084874 63427566 43747800 ...@..HtcBufCtx. a060: 00003f97 02230008 4576656e 74436c61 ..?..#..EventCla a070: 73730000 00402102 23040846 6c616773 ss...@!.#..Flags a080: 00000012 f8022308 0009776d 695f6861 ......#...wmi_ha a090: 6e646c65 5f740000 00041309 574d495f ndle_t......WMI_ a0a0: 5356435f 434f4e46 49470000 003e3703 SVC_CONFIG...>7. a0b0: 00004091 04000600 00407f01 03000040 ..@......@.....@ a0c0: ac040009 574d495f 44495350 41544348 ....WMI_DISPATCH a0d0: 5f544142 4c450000 003f0903 000040b9 _TABLE...?....@. a0e0: 04000201 03000040 d8040006 0000264f .......@......&O a0f0: 01030000 40e10400 02010300 0040ee04 ....@........@.. a100: 00060000 011e0103 000040f7 04000201 ..........@..... a110: 03000041 04040006 000012e4 01030000 ...A............ a120: 410d0400 075f776d 695f7376 635f6170 A...._wmi_svc_ap a130: 6973002c 00004255 085f574d 495f496e is.,..BU._WMI_In a140: 69740000 0040b202 2300085f 574d495f it...@..#.._WMI_ a150: 52656769 73746572 44697370 61746368 RegisterDispatch a160: 5461626c 65000000 40da0223 04085f57 Table...@..#.._W a170: 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... a180: 40e70223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE a190: 76656e74 00000040 f002230c 085f574d vent...@..#.._WM a1a0: 495f4765 7450656e 64696e67 4576656e I_GetPendingEven a1b0: 7473436f 756e7400 000040fd 02231008 tsCount...@..#.. a1c0: 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet a1d0: 6548616e 646c6572 00000039 64022314 eHandler...9d.#. a1e0: 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl a1f0: 45700000 0040fd02 2318085f 574d495f Ep...@..#.._WMI_ a200: 53687574 646f776e 00000041 0602231c Shutdown...A..#. a210: 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag a220: 6548616e 646c6572 00000039 5b022320 eHandler...9[.# a230: 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon a240: 6e656374 00000041 13022324 08705265 nect...A..#$.pRe a250: 73657276 65640000 00041302 23280007 served......#(.. a260: 7a73446d 61446573 63001400 0042d708 zsDmaDesc....B.. a270: 6374726c 00000001 82022300 08737461 ctrl......#..sta a280: 74757300 00000182 02230208 746f7461 tus......#..tota a290: 6c4c656e 00000001 82022304 08646174 lLen......#..dat a2a0: 6153697a 65000000 01820223 06086c61 aSize......#..la a2b0: 73744164 64720000 0042d702 23080864 stAddr...B..#..d a2c0: 61746141 64647200 000001a6 02230c08 ataAddr......#.. a2d0: 6e657874 41646472 00000042 d7022310 nextAddr...B..#. a2e0: 00030000 42550400 03000042 55040007 ....BU.....BU... a2f0: 7a73446d 61517565 75650008 00004317 zsDmaQueue....C. a300: 08686561 64000000 42de0223 00087465 .head...B..#..te a310: 726d696e 61746f72 00000042 de022304 rminator...B..#. a320: 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. a330: 0000437b 08686561 64000000 42de0223 ..C{.head...B..# a340: 00087465 726d696e 61746f72 00000042 ..terminator...B a350: de022304 08786d69 7465645f 6275665f ..#..xmited_buf_ a360: 68656164 00000014 43022308 08786d69 head....C.#..xmi a370: 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... a380: 4302230c 00020103 0000437b 04000300 C.#.......C{.... a390: 0042e504 00020103 0000438b 04000300 .B........C..... a3a0: 00431704 00020103 0000439b 04000201 .C........C..... a3b0: 03000043 a4040002 01030000 43ad0400 ...C........C... a3c0: 06000014 43010300 0043b604 00020103 ....C....C...... a3d0: 000043c3 04000600 00144301 03000043 ..C.......C....C a3e0: cc040002 01030000 43d90400 06000001 ........C....... a3f0: 1e010300 0043e204 00060000 42de0103 .....C......B... a400: 000043ef 04000201 03000043 fc040007 ..C........C.... a410: 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ a420: 00004572 085f696e 69740000 00437d02 ..Er._init...C}. a430: 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu a440: 65000000 438d0223 04085f69 6e69745f e...C..#.._init_ a450: 74785f71 75657565 00000043 9d022308 tx_queue...C..#. a460: 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu a470: 65000000 43a60223 0c085f78 6d69745f e...C..#.._xmit_ a480: 62756600 000043af 02231008 5f666c75 buf...C..#.._flu a490: 73685f78 6d697400 0000438d 02231408 sh_xmit...C..#.. a4a0: 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. a4b0: 0043bc02 2318085f 72657475 726e5f72 .C..#.._return_r a4c0: 6563765f 62756600 000043c5 02231c08 ecv_buf...C..#.. a4d0: 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf a4e0: 00000043 d2022320 085f7377 61705f64 ...C..# ._swap_d a4f0: 61746100 000043db 02232408 5f686173 ata...C..#$._has a500: 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. a510: 0043e802 2328085f 64657363 5f64756d .C..#(._desc_dum a520: 70000000 438d0223 2c085f67 65745f70 p...C..#,._get_p a530: 61636b65 74000000 43f50223 30085f72 acket...C..#0._r a540: 65636c61 696d5f70 61636b65 74000000 eclaim_packet... a550: 43fe0223 34085f70 75745f70 61636b65 C..#4._put_packe a560: 74000000 43fe0223 38087052 65736572 t...C..#8.pReser a570: 76656400 00000413 02233c00 095f415f ved......#<.._A_ a580: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio a590: 6e5f7461 626c655f 74000000 30b10957 n_table_t...0..W a5a0: 4d495f53 56435f41 50495300 0000411a MI_SVC_APIS...A. a5b0: 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir a5c0: 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. a5d0: 0046a008 636d6e6f 73000000 45720223 .F..cmnos...Er.# a5e0: 00086462 67000000 03e00323 b8030868 ..dbg......#...h a5f0: 69660000 00295a03 23c00308 68746300 if...)Z.#...htc. a600: 00003acd 0323f803 08776d69 5f737663 ..:..#...wmi_svc a610: 5f617069 00000045 940323ac 04087573 _api...E..#...us a620: 62666966 6f5f6170 69000000 32850323 bfifo_api...2..# a630: d8040862 75665f70 6f6f6c00 0000372e ...buf_pool...7. a640: 0323e404 08766275 66000000 146d0323 .#...vbuf....m.# a650: 80050876 64657363 00000013 4f032394 ...vdesc....O.#. a660: 0508616c 6c6f6372 616d0000 00094503 ..allocram....E. a670: 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. a680: 00440503 23b40508 646d615f 6c696200 .D..#...dma_lib. a690: 00002bee 0323f405 08686966 5f706369 ..+..#...hif_pci a6a0: 0000002e 4e0323a8 0600095f 415f6d61 ....N.#...._A_ma a6b0: 67706965 5f696e64 69726563 74696f6e gpie_indirection a6c0: 5f746162 6c655f74 00000045 a61a616c _table_t...E..al a6d0: 6c6f6372 616d5f63 75727265 6e745f61 locram_current_a a6e0: 64647200 0000092a 05030050 0954011a ddr....*...P.T.. a6f0: 616c6c6f 6372616d 5f72656d 61696e69 allocram_remaini a700: 6e675f62 79746573 00000009 2a050300 ng_bytes....*... a710: 50095801 0f000001 25230000 47171022 P.X.....%#..G.." a720: 00030000 470a0400 0f000001 251c0000 ....G.......%... a730: 472b101b 00030000 471e0400 0f000001 G+......G....... a740: 251d0000 473f101c 00030000 47320400 %...G?......G2.. a750: 03000009 45040002 011b011b 636d6e6f ....E.......cmno a760: 735f616c 6c6f6372 616d5f69 6e697400 s_allocram_init. a770: 00000413 01010392 01200290 00008e1c ......... ...... a780: 34008e1c 44000047 b31c011b 6172656e 4...D..G....aren a790: 615f7374 61727400 00000413 01521c01 a_start......R.. a7a0: 1b617265 6e615f73 7a000000 092a0153 .arena_sz....*.S a7b0: 1d617374 61727400 0000092a 001b014a .astart....*...J a7c0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. a7d0: 00041301 01039201 20029000 008e1c44 ........ ......D a7e0: 008e1c74 0000480d 1c014a77 68696368 ...t..H...Jwhich a7f0: 5f617265 6e610000 00041301 521c014a _arena......R..J a800: 6e627974 65730000 00092a01 531d7074 nbytes....*.S.pt a810: 72000000 0413001e 015c636d 6e6f735f r........\cmnos_ a820: 616c6c6f 6372616d 5f646562 75670001 allocram_debug.. a830: 01039201 20029000 008e1c74 008e1c95 .... ......t.... a840: 1f016363 6d6e6f73 5f616c6c 6f637261 ..ccmnos_allocra a850: 6d5f6d6f 64756c65 5f696e73 74616c6c m_module_install a860: 00010103 92012002 9000008e 1c98008e ...... ......... a870: 1cac1c01 6374626c 00000047 46015200 ....ctbl...GF.R. a880: 00000000 4b590002 000005ab 04012f72 ....KY......../r a890: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 a8a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil a8b0: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima a8c0: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ a8d0: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag a8e0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno a8f0: 732f636c 6f636b2f 7372632f 636d6e6f s/clock/src/cmno a900: 735f636c 6f636b2e 63002f72 6f6f742f s_clock.c./root/ a910: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v a920: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma a930: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn a940: 6f732f63 6c6f636b 0078742d 78636320 os/clock.xt-xcc a950: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a a960: 6c69676e 5f696e73 74727563 74696f6e lign_instruction a970: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP a980: 543a7370 61636500 01000000 33950201 T:space.....3... a990: 03000001 0c040004 696e7400 05040463 ........int....c a9a0: 68617200 07010500 00011c05 0000011c har............. a9b0: 03000001 29040006 00000115 01030000 ....)........... a9c0: 01350400 07707269 6e74665f 61706900 .5...printf_api. a9d0: 08000001 79085f70 72696e74 665f696e ....y._printf_in a9e0: 69740000 00010e02 2300085f 7072696e it......#.._prin a9f0: 74660000 00013b02 23040004 73686f72 tf....;.#...shor aa00: 7420756e 7369676e 65642069 6e740007 t unsigned int.. aa10: 02097569 6e743136 5f740000 00017904 ..uint16_t....y. aa20: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in aa30: 74000704 0975696e 7433325f 74000000 t....uint32_t... aa40: 019d0775 6172745f 6669666f 00080000 ...uart_fifo.... aa50: 020b0873 74617274 5f696e64 65780000 ...start_index.. aa60: 00018f02 23000865 6e645f69 6e646578 ....#..end_index aa70: 00000001 8f022302 086f7665 7272756e ......#..overrun aa80: 5f657272 00000001 b2022304 00077561 _err......#...ua aa90: 72745f61 70690020 000002c4 085f7561 rt_api. ....._ua aaa0: 72745f69 6e697400 0000031b 02230008 rt_init......#.. aab0: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. aac0: 00034202 2304085f 75617274 5f636861 ..B.#.._uart_cha aad0: 725f6765 74000000 03560223 08085f75 r_get....V.#.._u aae0: 6172745f 7374725f 6f757400 0000035f art_str_out...._ aaf0: 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. ab00: 00010e02 2310085f 75617274 5f737461 ....#.._uart_sta ab10: 74757300 0000031b 02231408 5f756172 tus......#.._uar ab20: 745f636f 6e666967 00000003 68022318 t_config....h.#. ab30: 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... ab40: 03710223 1c000300 00020b04 00077561 .q.#..........ua ab50: 72745f62 6c6b0010 00000315 08646562 rt_blk.......deb ab60: 75675f6d 6f646500 0000018f 02230008 ug_mode......#.. ab70: 62617564 00000001 8f022302 085f7561 baud......#.._ua ab80: 72740000 0002c402 2304085f 74780000 rt......#.._tx.. ab90: 0001c002 23080006 000001b2 01030000 ....#........... aba0: 03150400 04756e73 69676e65 64206368 .....unsigned ch abb0: 61720007 01097569 6e74385f 74000000 ar....uint8_t... abc0: 03220201 03000003 40040003 00000333 ."......@......3 abd0: 04000600 00018f01 03000003 50040002 ............P... abe0: 01030000 035d0400 02010300 00036604 .....]........f. abf0: 00020103 0000036f 04000300 00011c04 .......o........ ac00: 00060000 01150103 0000037f 04000744 ...............D ac10: 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT ac20: 000c0000 03d70863 6d645f73 74720000 .......cmd_str.. ac30: 00037802 23000868 656c705f 73747200 ..x.#..help_str. ac40: 00000378 02230408 636d645f 66756e63 ...x.#..cmd_func ac50: 00000003 85022308 00076462 675f6170 ......#...dbg_ap ac60: 69000800 00040a08 5f646267 5f696e69 i......._dbg_ini ac70: 74000000 010e0223 00085f64 62675f74 t......#.._dbg_t ac80: 61736b00 0000010e 02230400 0a040004 ask......#...... ac90: 756e7369 676e6564 20696e74 00070406 unsigned int.... aca0: 0000040a 01030000 041d0400 0b0b0300 ................ acb0: 00042b04 00060000 040a0103 00000433 ..+............3 acc0: 04000600 00011501 03000004 40040007 ............@... acd0: 6d656d5f 61706900 14000004 af085f6d mem_api......._m ace0: 656d5f69 6e697400 0000010e 02230008 em_init......#.. acf0: 5f6d656d 73657400 00000423 02230408 _memset....#.#.. ad00: 5f6d656d 63707900 00000439 02230808 _memcpy....9.#.. ad10: 5f6d656d 6d6f7665 00000004 3902230c _memmove....9.#. ad20: 085f6d65 6d636d70 00000004 46022310 ._memcmp....F.#. ad30: 000c7265 67697374 65725f64 756d705f ..register_dump_ ad40: 73000001 03000004 af040002 01030000 s............... ad50: 04c90400 02010300 0004d204 00060000 ................ ad60: 01150103 000004db 04000d68 6f737469 ...........hosti ad70: 665f7300 04000005 370e4849 465f5553 f_s.....7.HIF_US ad80: 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H ad90: 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC ada0: 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI adb0: 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST adc0: 49460000 0004e806 00000537 01030000 IF.........7.... add0: 05450400 06000003 33010300 00055204 .E......3.....R. ade0: 00060000 018f0103 0000055f 0400076d ..........._...m adf0: 6973635f 61706900 24000006 4f085f73 isc_api.$...O._s ae00: 79737465 6d5f7265 73657400 0000010e ystem_reset..... ae10: 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. ae20: 00010e02 2304085f 61737366 61696c00 ....#.._assfail. ae30: 000004cb 02230808 5f6d6973 616c6967 .....#.._misalig ae40: 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler ae50: 00000004 cb02230c 085f7265 706f7274 ......#.._report ae60: 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host ae70: 00000004 d4022310 085f7461 72676574 ......#.._target ae80: 5f69645f 67657400 000004e1 02231408 _id_get......#.. ae90: 5f69735f 686f7374 5f707265 73656e74 _is_host_present aea0: 00000005 4b022318 085f6b62 68697400 ....K.#.._kbhit. aeb0: 00000558 02231c08 5f726f6d 5f766572 ...X.#.._rom_ver aec0: 73696f6e 5f676574 00000005 65022320 sion_get....e.# aed0: 00060000 03780103 0000064f 04000600 .....x.....O.... aee0: 00037801 03000006 5c040006 00000115 ..x.....\....... aef0: 01030000 06690400 06000001 15010300 .....i.......... af00: 00067604 00060000 01150103 00000683 ..v............. af10: 04000773 7472696e 675f6170 69001800 ...string_api... af20: 00070908 5f737472 696e675f 696e6974 ...._string_init af30: 00000001 0e022300 085f7374 72637079 ......#.._strcpy af40: 00000006 55022304 085f7374 726e6370 ....U.#.._strncp af50: 79000000 06620223 08085f73 74726c65 y....b.#.._strle af60: 6e000000 066f0223 0c085f73 7472636d n....o.#.._strcm af70: 70000000 067c0223 10085f73 74726e63 p....|.#.._strnc af80: 6d700000 00068902 2314000f 0000040d mp......#....... af90: 14000007 16100400 095f415f 54494d45 ........._A_TIME afa0: 525f5350 41434500 00000709 09415f74 R_SPACE......A_t afb0: 696d6572 5f740000 00071603 0000072a imer_t.........* afc0: 04000201 03000007 40040002 01030000 ........@....... afd0: 07490400 09415f48 414e444c 45000000 .I...A_HANDLE... afe0: 040d0201 09415f54 494d4552 5f46554e .....A_TIMER_FUN aff0: 43000000 07600300 00076204 00020103 C....`....b..... b000: 0000077b 04000774 696d6572 5f617069 ...{...timer_api b010: 00140000 07fa085f 74696d65 725f696e ......._timer_in b020: 69740000 00010e02 2300085f 74696d65 it......#.._time b030: 725f6172 6d000000 07420223 04085f74 r_arm....B.#.._t b040: 696d6572 5f646973 61726d00 0000074b imer_disarm....K b050: 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn b060: 00000007 7d02230c 085f7469 6d65725f ....}.#.._timer_ b070: 72756e00 0000010e 02231000 09424f4f run......#...BOO b080: 4c45414e 00000001 8f060000 07fa0103 LEAN............ b090: 00000807 04000600 0007fa01 03000008 ................ b0a0: 14040006 000007fa 01030000 08210400 .............!.. b0b0: 07726f6d 705f6170 69001000 00089308 .romp_api....... b0c0: 5f726f6d 705f696e 69740000 00010e02 _romp_init...... b0d0: 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa b0e0: 64000000 080d0223 04085f72 6f6d705f d......#.._romp_ b0f0: 696e7374 616c6c00 0000081a 02230808 install......#.. b100: 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... b110: 2702230c 0007726f 6d5f7061 7463685f '.#...rom_patch_ b120: 73740010 000008ef 08637263 31360000 st.......crc16.. b130: 00018f02 2300086c 656e0000 00018f02 ....#..len...... b140: 2302086c 645f6164 64720000 0001b202 #..ld_addr...... b150: 23040866 756e5f61 64647200 000001b2 #..fun_addr..... b160: 02230808 7066756e 00000003 4902230c .#..pfun....I.#. b170: 00076565 705f7265 6469725f 61646472 ..eep_redir_addr b180: 00040000 0921086f 66667365 74000000 .....!.offset... b190: 018f0223 00087369 7a650000 00018f02 ...#..size...... b1a0: 23020009 415f5549 4e543332 00000004 #...A_UINT32.... b1b0: 0d060000 040a0103 0000092f 04000761 .........../...a b1c0: 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... b1d0: a008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram b1e0: 5f696e69 74000000 09350223 0008636d _init....5.#..cm b1f0: 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... b200: 35022304 08636d6e 6f735f61 6c6c6f63 5.#..cmnos_alloc b210: 72616d5f 64656275 67000000 010e0223 ram_debug......# b220: 08000201 03000009 a0040009 415f5441 ............A_TA b230: 534b4c45 545f4655 4e430000 0009a207 SKLET_FUNC...... b240: 5f746173 6b6c6574 00100000 0a010866 _tasklet.......f b250: 756e6300 000009a9 02230008 61726700 unc......#..arg. b260: 0000040a 02230408 73746174 65000000 .....#..state... b270: 01150223 08086e65 78740000 000a0102 ...#..next...... b280: 230c0003 000009bd 04000300 0009bd04 #............... b290: 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... b2a0: 09bd0300 000a0f04 00020103 00000a27 ...............' b2b0: 04000201 0300000a 30040007 7461736b ........0...task b2c0: 6c65745f 61706900 1400000a c5085f74 let_api......._t b2d0: 61736b6c 65745f69 6e697400 0000010e asklet_init..... b2e0: 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini b2f0: 745f7461 736b0000 000a2902 2304085f t_task....).#.._ b300: 7461736b 6c65745f 64697361 626c6500 tasklet_disable. b310: 00000a32 02230808 5f746173 6b6c6574 ...2.#.._tasklet b320: 5f736368 6564756c 65000000 0a320223 _schedule....2.# b330: 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. b340: 00010e02 23100002 01030000 0ac50400 ....#........... b350: 06000009 21010300 000ace04 00020103 ....!........... b360: 00000adb 04000763 6c6f636b 5f617069 .......clock_api b370: 00240000 0bbd085f 636c6f63 6b5f696e .$....._clock_in b380: 69740000 000ac702 2300085f 636c6f63 it......#.._cloc b390: 6b726567 735f696e 69740000 00010e02 kregs_init...... b3a0: 2304085f 75617274 5f667265 7175656e #.._uart_frequen b3b0: 63790000 000ad402 2308085f 64656c61 cy......#.._dela b3c0: 795f7573 0000000a dd02230c 085f776c y_us......#.._wl b3d0: 616e5f62 616e645f 73657400 00000add an_band_set..... b3e0: 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee b3f0: 645f6765 74000000 0ad40223 14085f6d d_get......#.._m b400: 696c6c69 7365636f 6e647300 00000ad4 illiseconds..... b410: 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan b420: 67650000 00010e02 231c085f 636c6f63 ge......#.._cloc b430: 6b5f7469 636b0000 00010e02 23200006 k_tick......# .. b440: 000001b2 01030000 0bbd0400 09415f6f .............A_o b450: 6c645f69 6e74725f 74000000 01b20600 ld_intr_t....... b460: 000bca01 0300000b dc040002 01030000 ................ b470: 0be90400 02010300 000bf204 00060000 ................ b480: 01b20103 00000bfb 04000941 5f697372 ...........A_isr b490: 5f740000 000c0102 01030000 0c150400 _t.............. b4a0: 06000004 0d010300 000c1e04 00020103 ................ b4b0: 00000c2b 04000769 6e74725f 61706900 ...+...intr_api. b4c0: 2c00000d 4d085f69 6e74725f 696e6974 ,...M._intr_init b4d0: 00000001 0e022300 085f696e 74725f69 ......#.._intr_i b4e0: 6e766f6b 655f6973 72000000 0bc30223 nvoke_isr......# b4f0: 04085f69 6e74725f 64697361 626c6500 .._intr_disable. b500: 00000be2 02230808 5f696e74 725f7265 .....#.._intr_re b510: 73746f72 65000000 0beb0223 0c085f69 store......#.._i b520: 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... b530: 0bf40223 10085f69 6e74725f 756e6d61 ...#.._intr_unma b540: 736b5f69 6e756d00 00000bf4 02231408 sk_inum......#.. b550: 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr b560: 0000000c 17022318 085f6765 745f696e ......#.._get_in b570: 7472656e 61626c65 0000000c 2402231c trenable....$.#. b580: 085f7365 745f696e 7472656e 61626c65 ._set_intrenable b590: 0000000c 2d022320 085f6765 745f696e ....-.# ._get_in b5a0: 74727065 6e64696e 67000000 0c240223 trpending....$.# b5b0: 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i b5c0: 6e74726c 766c0000 00010e02 23280011 ntrlvl......#(.. b5d0: 0400000d 73087469 6d656f75 74000000 ....s.timeout... b5e0: 01b20223 00086163 74696f6e 00000001 ...#..action.... b5f0: b2022300 00120800 000d8e08 636d6400 ..#.........cmd. b600: 000001b2 02230013 00000d4d 02230400 .....#.....M.#.. b610: 09545f57 44545f43 4d440000 000d7302 .T_WDT_CMD....s. b620: 01030000 0d9d0400 14040000 0df30e45 ...............E b630: 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E b640: 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... b650: 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. b660: 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO b670: 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP b680: 45000000 0da60600 000df301 0300000e E............... b690: 04040007 7764745f 61706900 1c00000e ....wdt_api..... b6a0: a8085f77 64745f69 6e697400 0000010e .._wdt_init..... b6b0: 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. b6c0: 0000010e 02230408 5f776474 5f646973 .....#.._wdt_dis b6d0: 61626c65 00000001 0e022308 085f7764 able......#.._wd b6e0: 745f7365 74000000 0d9f0223 0c085f77 t_set......#.._w b6f0: 64745f74 61736b00 0000010e 02231008 dt_task......#.. b700: 5f776474 5f726573 65740000 00010e02 _wdt_reset...... b710: 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo b720: 74000000 0e0a0223 18001404 00000f0f t......#........ b730: 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R b740: 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE b750: 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE b760: 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... b770: 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW b780: 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. b790: 0009545f 4545505f 52455400 00000ea8 ..T_EEP_RET..... b7a0: 03000001 8f040006 00000f0f 01030000 ................ b7b0: 0f250400 0600000f 0f010300 000f3204 .%............2. b7c0: 00076565 705f6170 69001000 000f9b08 ..eep_api....... b7d0: 5f656570 5f696e69 74000000 010e0223 _eep_init......# b7e0: 00085f65 65705f72 65616400 00000f2b .._eep_read....+ b7f0: 02230408 5f656570 5f777269 74650000 .#.._eep_write.. b800: 000f2b02 2308085f 6565705f 69735f65 ..+.#.._eep_is_e b810: 78697374 0000000f 3802230c 00077573 xist....8.#...us b820: 625f6170 69007000 00124808 5f757362 b_api.p...H._usb b830: 5f696e69 74000000 010e0223 00085f75 _init......#.._u b840: 73625f72 6f6d5f74 61736b00 0000010e sb_rom_task..... b850: 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task b860: 00000001 0e022308 085f7573 625f696e ......#.._usb_in b870: 69745f70 68790000 00010e02 230c085f it_phy......#.._ b880: 7573625f 6570305f 73657475 70000000 usb_ep0_setup... b890: 010e0223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t b8a0: 78000000 010e0223 14085f75 73625f65 x......#.._usb_e b8b0: 70305f72 78000000 010e0223 18085f75 p0_rx......#.._u b8c0: 73625f67 65745f69 6e746572 66616365 sb_get_interface b8d0: 00000008 1a02231c 085f7573 625f7365 ......#.._usb_se b8e0: 745f696e 74657266 61636500 0000081a t_interface..... b8f0: 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con b900: 66696775 72617469 6f6e0000 00081a02 figuration...... b910: 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf b920: 69677572 6174696f 6e000000 081a0223 iguration......# b930: 28085f75 73625f73 74616e64 6172645f (._usb_standard_ b940: 636d6400 0000081a 02232c08 5f757362 cmd......#,._usb b950: 5f76656e 646f725f 636d6400 0000010e _vendor_cmd..... b960: 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o b970: 66660000 00010e02 2334085f 7573625f ff......#4._usb_ b980: 72657365 745f6669 666f0000 00010e02 reset_fifo...... b990: 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. b9a0: 0000010e 02233c08 5f757362 5f6a756d .....#<._usb_jum b9b0: 705f626f 6f740000 00010e02 2340085f p_boot......#@._ b9c0: 7573625f 636c725f 66656174 75726500 usb_clr_feature. b9d0: 0000081a 02234408 5f757362 5f736574 .....#D._usb_set b9e0: 5f666561 74757265 00000008 1a022348 _feature......#H b9f0: 085f7573 625f7365 745f6164 64726573 ._usb_set_addres ba00: 73000000 081a0223 4c085f75 73625f67 s......#L._usb_g ba10: 65745f64 65736372 6970746f 72000000 et_descriptor... ba20: 081a0223 50085f75 73625f67 65745f73 ...#P._usb_get_s ba30: 74617475 73000000 081a0223 54085f75 tatus......#T._u ba40: 73625f73 65747570 5f646573 63000000 sb_setup_desc... ba50: 010e0223 58085f75 73625f72 65675f6f ...#X._usb_reg_o ba60: 75740000 00010e02 235c085f 7573625f ut......#\._usb_ ba70: 73746174 75735f69 6e000000 010e0223 status_in......# ba80: 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da ba90: 74610000 00010e02 2364085f 7573625f ta......#d._usb_ baa0: 6570305f 72785f64 61746100 0000010e ep0_rx_data..... bab0: 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini bac0: 74000000 010e0223 6c00075f 56444553 t......#l.._VDES bad0: 43002400 0012d408 6e657874 5f646573 C.$.....next_des bae0: 63000000 12d40223 00086275 665f6164 c......#..buf_ad baf0: 64720000 0012e802 23040862 75665f73 dr......#..buf_s bb00: 697a6500 000012ef 02230808 64617461 ize......#..data bb10: 5f6f6666 73657400 000012ef 02230a08 _offset......#.. bb20: 64617461 5f73697a 65000000 12ef0223 data_size......# bb30: 0c08636f 6e74726f 6c000000 12ef0223 ..control......# bb40: 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... bb50: 12fd0223 10000300 00124804 0009415f ...#......H...A_ bb60: 55494e54 38000000 03220300 0012db04 UINT8...."...... bb70: 0009415f 55494e54 31360000 0001790f ..A_UINT16....y. bb80: 000012db 14000013 0a101300 03000012 ................ bb90: 48040009 56444553 43000000 12480300 H...VDESC....H.. bba0: 00131104 00060000 131c0103 00001323 ...............# bbb0: 04000600 0012e801 03000013 30040002 ............0... bbc0: 01030000 133d0400 07766465 73635f61 .....=...vdesc_a bbd0: 70690014 000013b5 085f696e 69740000 pi......._init.. bbe0: 000add02 2300085f 616c6c6f 635f7664 ....#.._alloc_vd bbf0: 65736300 00001329 02230408 5f676574 esc....).#.._get bc00: 5f68775f 64657363 00000013 36022308 _hw_desc....6.#. bc10: 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... bc20: 3f02230c 08705265 73657276 65640000 ?.#..pReserved.. bc30: 00040a02 23100007 5f564255 46002000 ....#..._VBUF. . bc40: 00141508 64657363 5f6c6973 74000000 ....desc_list... bc50: 131c0223 00086e65 78745f62 75660000 ...#..next_buf.. bc60: 00141502 23040862 75665f6c 656e6774 ....#..buf_lengt bc70: 68000000 12ef0223 08087265 73657276 h......#..reserv bc80: 65640000 00141c02 230a0863 74780000 ed......#..ctx.. bc90: 0012fd02 230c0003 000013b5 04000f00 ....#........... bca0: 0012db02 00001429 10010003 000013b5 .......)........ bcb0: 04000956 42554600 000013b5 03000014 ...VBUF......... bcc0: 30040006 0000143a 01030000 14410400 0......:.....A.. bcd0: 06000014 3a010300 00144e04 00020103 ....:.....N..... bce0: 0000145b 04000776 6275665f 61706900 ...[...vbuf_api. bcf0: 14000014 d9085f69 6e697400 00000add ......_init..... bd00: 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. bd10: 00001447 02230408 5f616c6c 6f635f76 ...G.#.._alloc_v bd20: 6275665f 77697468 5f73697a 65000000 buf_with_size... bd30: 14540223 08085f66 7265655f 76627566 .T.#.._free_vbuf bd40: 00000014 5d02230c 08705265 73657276 ....].#..pReserv bd50: 65640000 00040a02 23100007 5f5f6164 ed......#...__ad bd60: 665f6465 76696365 00040000 14fb0864 f_device.......d bd70: 756d6d79 00000001 15022300 00030000 ummy......#..... bd80: 09210400 075f5f61 64665f64 6d615f6d .!...__adf_dma_m bd90: 6170000c 00001542 08627566 00000014 ap.....B.buf.... bda0: 3a022300 0864735f 61646472 00000014 :.#..ds_addr.... bdb0: fb022304 0864735f 6c656e00 000012ef ..#..ds_len..... bdc0: 02230800 120c0000 157c085f 5f76615f .#.......|.__va_ bdd0: 73746b00 00000378 02230008 5f5f7661 stk....x.#..__va bde0: 5f726567 00000003 78022304 085f5f76 _reg....x.#..__v bdf0: 615f6e64 78000000 01150223 0800095f a_ndx......#..._ be00: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr be10: 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d be20: 6d615f61 6464725f 74000000 157c095f ma_addr_t....|._ be30: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size be40: 5f740000 00092109 6164665f 6f735f64 _t....!.adf_os_d be50: 6d615f73 697a655f 74000000 15ac075f ma_size_t......_ be60: 5f646d61 5f736567 73000800 00160808 _dma_segs....... be70: 70616464 72000000 15950223 00086c65 paddr......#..le be80: 6e000000 15c50223 0400095f 5f615f75 n......#...__a_u be90: 696e7433 325f7400 00000921 09615f75 int32_t....!.a_u bea0: 696e7433 325f7400 00001608 0f000015 int32_t......... beb0: dc080000 16371000 00076164 665f6f73 .....7....adf_os bec0: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... bed0: 1670086e 73656773 00000016 1a022300 .p.nsegs......#. bee0: 08646d61 5f736567 73000000 162a0223 .dma_segs....*.# bef0: 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. bf00: 0012db09 615f7569 6e74385f 74000000 ....a_uint8_t... bf10: 16700300 00168104 00075f5f 73675f73 .p........__sg_s bf20: 65677300 08000016 c2087661 64647200 egs.......vaddr. bf30: 00001690 02230008 6c656e00 0000161a .....#..len..... bf40: 02230400 0f000016 97200000 16cf1003 .#....... ...... bf50: 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. bf60: 24000017 02086e73 65677300 0000161a $.....nsegs..... bf70: 02230008 73675f73 65677300 000016c2 .#..sg_segs..... bf80: 02230400 12100000 174b0876 656e646f .#.......K.vendo bf90: 72000000 161a0223 00086465 76696365 r......#..device bfa0: 00000016 1a022304 08737562 76656e64 ......#..subvend bfb0: 6f720000 00161a02 23080873 75626465 or......#..subde bfc0: 76696365 00000016 1a02230c 00046c6f vice......#...lo bfd0: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned bfe0: 20696e74 00070809 415f5549 4e543634 int....A_UINT64 bff0: 00000017 4b095f5f 615f7569 6e743634 ....K.__a_uint64 c000: 5f740000 00176509 615f7569 6e743634 _t....e.a_uint64 c010: 5f740000 00177314 04000017 d10e4144 _t....s.......AD c020: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY c030: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ c040: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO c050: 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou c060: 7263655f 74797065 5f740000 00179512 rce_type_t...... c070: 18000018 1b087374 61727400 00001785 ......start..... c080: 02230008 656e6400 00001785 02230808 .#..end......#.. c090: 74797065 00000017 d1022310 00096164 type......#...ad c0a0: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ c0b0: 74000000 17020300 00181b04 00110400 t............... c0c0: 00185a08 70636900 00001834 02230008 ..Z.pci....4.#.. c0d0: 72617700 0000040a 02230000 11100000 raw......#...... c0e0: 18790870 63690000 00181b02 23000872 .y.pci......#..r c0f0: 61770000 00040a02 23000009 6164665f aw......#...adf_ c100: 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... c110: 0a096164 665f6f73 5f726573 6f757263 ..adf_os_resourc c120: 655f7400 000017ed 03000018 8f040009 e_t............. c130: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da c140: 74615f74 00000018 5a030000 18ad0400 ta_t....Z....... c150: 03000014 d9040009 5f5f6164 665f6f73 ........__adf_os c160: 5f646576 6963655f 74000000 18ce0961 _device_t......a c170: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. c180: 0018d506 00001879 01030000 19010400 .......y........ c190: 02010300 00190e04 00096164 665f6f73 ..........adf_os c1a0: 5f706d5f 74000000 040a0201 03000019 _pm_t........... c1b0: 28040014 04000019 680e4144 465f4f53 (.......h.ADF_OS c1c0: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... c1d0: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ c1e0: 47454e45 52494300 02000961 64665f6f GENERIC....adf_o c1f0: 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... c200: 31096164 665f6f73 5f627573 5f726567 1.adf_os_bus_reg c210: 5f646174 615f7400 0000183b 03000003 _data_t....;.... c220: 22040007 5f616466 5f647276 5f696e66 "..._adf_drv_inf c230: 6f002000 001a4508 6472765f 61747461 o. ...E.drv_atta c240: 63680000 00190702 23000864 72765f64 ch......#..drv_d c250: 65746163 68000000 19100223 04086472 etach......#..dr c260: 765f7375 7370656e 64000000 192a0223 v_suspend....*.# c270: 08086472 765f7265 73756d65 00000019 ..drv_resume.... c280: 1002230c 08627573 5f747970 65000000 ..#..bus_type... c290: 19680223 10086275 735f6461 74610000 .h.#..bus_data.. c2a0: 00197f02 2314086d 6f645f6e 616d6500 ....#..mod_name. c2b0: 0000199a 02231808 69666e61 6d650000 .....#..ifname.. c2c0: 00199a02 231c0009 6164665f 6f735f68 ....#...adf_os_h c2d0: 616e646c 655f7400 0000040a 03000016 andle_t......... c2e0: 70040002 01020109 5f5f6164 665f6f73 p.......__adf_os c2f0: 5f73697a 655f7400 0000040d 14040000 _size_t......... c300: 1a940e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T c310: 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. c320: 00001a7a 03000015 02040009 5f5f6164 ...z........__ad c330: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. c340: 001aa202 010d6164 665f6f73 5f636163 ......adf_os_cac c350: 68655f73 796e6300 0400001b 2c0e4144 he_sync.....,.AD c360: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. c370: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI c380: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO c390: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN c3a0: 435f504f 53545752 49544500 03000961 C_POSTWRITE....a c3b0: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync c3c0: 5f740000 001ac302 01096164 665f6f73 _t........adf_os c3d0: 5f73697a 655f7400 00001a65 0600001b _size_t....e.... c3e0: 47010961 64665f6f 735f646d 615f6d61 G..adf_os_dma_ma c3f0: 705f7400 00001aa9 0300001b 60040006 p_t.........`... c400: 0000040a 01030000 1aa90400 06000004 ................ c410: 0a010201 06000015 95010201 0473686f .............sho c420: 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 c430: 36000000 1b9a095f 5f615f69 6e743136 6......__a_int16 c440: 5f740000 001ba709 615f696e 7431365f _t......a_int16_ c450: 74000000 1bb40473 69676e65 64206368 t......signed ch c460: 61720005 0109415f 494e5438 0000001b ar....A_INT8.... c470: d4095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... c480: e309615f 696e7438 5f740000 001bef12 ..a_int8_t...... c490: 0c00001c 66087375 70706f72 74656400 ....f.supported. c4a0: 0000161a 02230008 61647665 7274697a .....#..advertiz c4b0: 65640000 00161a02 23040873 70656564 ed......#..speed c4c0: 0000001b c5022308 08647570 6c657800 ......#..duplex. c4d0: 00001bff 02230a08 6175746f 6e656700 .....#..autoneg. c4e0: 00001681 02230b00 0f000016 81060000 .....#.......... c4f0: 1c731005 00076164 665f6e65 745f6574 .s....adf_net_et c500: 68616464 72000600 001c9708 61646472 haddr.......addr c510: 0000001c 66022300 00095f5f 615f7569 ....f.#...__a_ui c520: 6e743136 5f740000 0012ef09 615f7569 nt16_t......a_ui c530: 6e743136 5f740000 001c9712 0e00001c nt16_t.......... c540: fb086574 6865725f 64686f73 74000000 ..ether_dhost... c550: 1c660223 00086574 6865725f 73686f73 .f.#..ether_shos c560: 74000000 1c660223 06086574 6865725f t....f.#..ether_ c570: 74797065 0000001c a902230c 00121400 type......#..... c580: 001dbc15 69705f76 65727369 6f6e0000 ....ip_version.. c590: 00168101 00040223 00156970 5f686c00 .......#..ip_hl. c5a0: 00001681 01040402 23000869 705f746f ........#..ip_to c5b0: 73000000 16810223 01086970 5f6c656e s......#..ip_len c5c0: 0000001c a9022302 0869705f 69640000 ......#..ip_id.. c5d0: 001ca902 23040869 705f6672 61675f6f ....#..ip_frag_o c5e0: 66660000 001ca902 23060869 705f7474 ff......#..ip_tt c5f0: 6c000000 16810223 08086970 5f70726f l......#..ip_pro c600: 746f0000 00168102 23090869 705f6368 to......#..ip_ch c610: 65636b00 00001ca9 02230a08 69705f73 eck......#..ip_s c620: 61646472 00000016 1a02230c 0869705f addr......#..ip_ c630: 64616464 72000000 161a0223 10000761 daddr......#...a c640: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. c650: 00001e0e 08747069 64000000 1ca90223 .....tpid......# c660: 00157072 696f0000 00168101 00030223 ..prio.........# c670: 02156366 69000000 16810103 01022302 ..cfi.........#. c680: 15766964 0000001c a902040c 02230200 .vid.........#.. c690: 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... c6a0: 1e3f1572 65730000 00168101 00040223 .?.res.........# c6b0: 00157661 6c000000 1ca90204 0c022300 ..val.........#. c6c0: 00120c00 001e7b08 72785f62 75667369 ......{.rx_bufsi c6d0: 7a650000 00161a02 23000872 785f6e64 ze......#..rx_nd c6e0: 65736300 0000161a 02230408 74785f6e esc......#..tx_n c6f0: 64657363 00000016 1a022308 00120800 desc......#..... c700: 001ea108 706f6c6c 65640000 001a9402 ....polled...... c710: 23000870 6f6c6c5f 77740000 00161a02 #..poll_wt...... c720: 2304000f 00001681 4000001e ae103f00 #.......@.....?. c730: 12460000 1ed60869 665f6e61 6d650000 .F.....if_name.. c740: 001ea102 23000864 65765f61 64647200 ....#..dev_addr. c750: 00001c66 02234000 14040000 1f0d0e41 ...f.#@........A c760: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 c770: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM c780: 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... c790: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ c7a0: 74000000 1ed60761 64665f64 6d615f69 t......adf_dma_i c7b0: 6e666f00 0800001f 5a08646d 615f6d61 nfo.....Z.dma_ma c7c0: 736b0000 001f0d02 23000873 675f6e73 sk......#..sg_ns c7d0: 65677300 0000161a 02230400 14040000 egs......#...... c7e0: 1fb00e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM c7f0: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ c800: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP c810: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS c820: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. c830: 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum c840: 5f747970 655f7400 00001f5a 12080000 _type_t....Z.... c850: 1ff30874 785f636b 73756d00 00001fb0 ...tx_cksum..... c860: 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... c870: b0022304 00096164 665f6e65 745f636b ..#...adf_net_ck c880: 73756d5f 696e666f 5f740000 001fca14 sum_info_t...... c890: 04000020 4c0e4144 465f4e45 545f5453 ... L.ADF_NET_TS c8a0: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET c8b0: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ c8c0: 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a c8d0: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ c8e0: 74000000 200d1210 000020a0 08636b73 t... ..... ..cks c8f0: 756d5f63 61700000 001ff302 23000874 um_cap......#..t c900: 736f0000 00204c02 23080876 6c616e5f so... L.#..vlan_ c910: 73757070 6f727465 64000000 16810223 supported......# c920: 0c001220 00002139 0874785f 7061636b ... ..!9.tx_pack c930: 65747300 0000161a 02230008 72785f70 ets......#..rx_p c940: 61636b65 74730000 00161a02 23040874 ackets......#..t c950: 785f6279 74657300 0000161a 02230808 x_bytes......#.. c960: 72785f62 79746573 00000016 1a02230c rx_bytes......#. c970: 0874785f 64726f70 70656400 0000161a .tx_dropped..... c980: 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. c990: 00161a02 23140872 785f6572 726f7273 ....#..rx_errors c9a0: 00000016 1a022318 0874785f 6572726f ......#..tx_erro c9b0: 72730000 00161a02 231c0009 6164665f rs......#...adf_ c9c0: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... c9d0: 1c731600 00213903 00000021 5e107f00 .s...!9....!^... c9e0: 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca c9f0: 64647200 03040000 2195086e 656c656d ddr.....!..nelem ca00: 00000016 1a022300 086d6361 73740000 ......#..mcast.. ca10: 00215002 23040009 6164665f 6e65745f .!P.#...adf_net_ ca20: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. ca30: 00001c0d 09616466 5f6e6574 5f636d64 .....adf_net_cmd ca40: 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... ca50: 7b096164 665f6e65 745f636d 645f636b {.adf_net_cmd_ck ca60: 73756d5f 696e666f 5f740000 001ff309 sum_info_t...... ca70: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring ca80: 5f696e66 6f5f7400 00001e3f 09616466 _info_t....?.adf ca90: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf caa0: 6f5f7400 00001f24 09616466 5f6e6574 o_t....$.adf_net cab0: 5f636d64 5f766964 5f740000 001ca909 _cmd_vid_t...... cac0: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl cad0: 6f61645f 6361705f 74000000 20640961 oad_cap_t... d.a cae0: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats caf0: 5f740000 0020a009 6164665f 6e65745f _t... ..adf_net_ cb00: 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! cb10: 5e0d6164 665f6e65 745f636d 645f6d63 ^.adf_net_cmd_mc cb20: 6173745f 63617000 04000022 d70e4144 ast_cap...."..AD cb30: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. cb40: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ cb50: 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne cb60: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ cb70: 74000000 228f1803 04000023 a9086c69 t..."......#..li cb80: 6e6b5f69 6e666f00 00002195 02230008 nk_info...!..#.. cb90: 706f6c6c 5f696e66 6f000000 21b20223 poll_info...!..# cba0: 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! cbb0: cf022300 0872696e 675f696e 666f0000 ..#..ring_info.. cbc0: 0021ed02 23000864 6d615f69 6e666f00 .!..#..dma_info. cbd0: 0000220a 02230008 76696400 00002226 .."..#..vid..."& cbe0: 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. cbf0: 0000223d 02230008 73746174 73000000 .."=.#..stats... cc00: 225c0223 00086d63 6173745f 696e666f "\.#..mcast_info cc10: 00000022 75022300 086d6361 73745f63 ..."u.#..mcast_c cc20: 61700000 0022d702 23000014 04000024 ap..."..#......$ cc30: 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK cc40: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N cc50: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. cc60: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK cc70: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. cc80: 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c cc90: 6b73756d 5f747970 655f7400 000023a9 ksum_type_t...#. cca0: 12080000 24400872 6573756c 74000000 ....$@.result... ccb0: 24000223 00087661 6c000000 161a0223 $..#..val......# ccc0: 04001208 00002470 08747970 65000000 ......$p.type... ccd0: 204c0223 00086d73 73000000 1ca90223 L.#..mss......# cce0: 04086864 725f6f66 66000000 16810223 ..hdr_off......# ccf0: 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh cd00: 65616400 0c000024 af086865 61640000 ead....$..head.. cd10: 00143a02 23000874 61696c00 0000143a ..:.#..tail....: cd20: 02230408 716c656e 00000016 1a022308 .#..qlen......#. cd30: 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. cd40: 00143a03 00001690 04000300 00161a04 ..:............. cd50: 00020106 0000131c 01060000 161a0106 ................ cd60: 00001690 01060000 16900103 000012fd ................ cd70: 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh cd80: 6561645f 74000000 2470095f 5f616466 ead_t...$p.__adf cd90: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... cda0: 24f00300 00250804 00060000 24af0106 $....%......$... cdb0: 000024af 01140400 0026280e 415f5354 ..$......&(.A_ST cdc0: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT cdd0: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST cde0: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ cdf0: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... ce00: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. ce10: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR ce20: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU ce30: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S ce40: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ ce50: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A ce60: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT ce70: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS ce80: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU ce90: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA cea0: 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta ceb0: 7475735f 74000000 25330600 00262801 tus_t...%3...&(. cec0: 06000001 15010201 09616466 5f6e6275 .........adf_nbu ced0: 665f7400 000024af 14040000 268d0e41 f_t...$.....&..A cee0: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV cef0: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA cf00: 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... cf10: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t cf20: 00000026 56060000 26280102 01096164 ...&V...&(....ad cf30: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info cf40: 5f740000 00163703 000026ab 04000201 _t....7...&..... cf50: 02010600 00264601 06000024 af010201 .....&F....$.... cf60: 02010600 00264601 06000024 af010600 .....&F....$.... cf70: 00264601 06000024 af010600 00264601 .&F....$.....&F. cf80: 02010201 06000016 1a010600 00169001 ................ cf90: 02010201 0600001b 47010600 001a9401 ........G....... cfa0: 0600001a 94010961 64665f6f 735f7367 .......adf_os_sg cfb0: 6c697374 5f740000 0016cf03 00002724 list_t........'$ cfc0: 04000201 02010201 06000016 90010961 ...............a cfd0: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. cfe0: 00002508 03000027 4c040002 01030000 ..%....'L....... cff0: 24f00400 02010201 02010600 00264601 $............&F. d000: 06000024 af010600 00161a01 06000016 ...$............ d010: 1a010600 001a9401 0600001a 94010600 ................ d020: 001fb001 06000016 1a010961 64665f6e ...........adf_n d030: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. d040: 00241e03 000027a8 04000201 02010961 .$....'........a d050: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... d060: 24400300 0027cc04 00020102 01096164 $@...'........ad d070: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. d080: 00040a09 6164665f 6e65745f 766c616e ....adf_net_vlan d090: 6864725f 74000000 1dbc0300 00280104 hdr_t........(.. d0a0: 00060000 26280106 00002628 01020102 ....&(....&(.... d0b0: 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... d0c0: 00285008 64756d6d 79000000 01150223 .(P.dummy......# d0d0: 00000201 03000028 50040002 01030000 .......(P....... d0e0: 28590400 075f4849 465f4341 4c4c4241 (Y..._HIF_CALLBA d0f0: 434b000c 000028ae 0873656e 645f6275 CK....(..send_bu d100: 665f646f 6e650000 00285202 23000872 f_done...(R.#..r d110: 6563765f 62756600 0000285b 02230408 ecv_buf...([.#.. d120: 636f6e74 65787400 0000040a 02230800 context......#.. d130: 09686966 5f68616e 646c655f 74000000 .hif_handle_t... d140: 040a0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... d150: 282f0300 0028c004 00060000 28ae0103 (/...(......(... d160: 000028d7 04000201 03000028 e4040009 ..(........(.... d170: 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( d180: 62030000 28ed0400 02010300 00290604 b...(........).. d190: 00060000 01150103 0000290f 04000201 ..........)..... d1a0: 03000029 1c040006 00000115 01030000 ...)............ d1b0: 29250400 02010300 00293204 00060000 )%.......)2..... d1c0: 01150103 0000293b 04000201 03000029 ......);.......) d1d0: 48040007 6869665f 61706900 3800002a H...hif_api.8..* d1e0: a1085f69 6e697400 000028dd 02230008 .._init...(..#.. d1f0: 5f736875 74646f77 6e000000 28e60223 _shutdown...(..# d200: 04085f72 65676973 7465725f 63616c6c .._register_call d210: 6261636b 00000029 08022308 085f6765 back...)..#.._ge d220: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c d230: 6f756e74 00000029 1502230c 085f7374 ount...)..#.._st d240: 61727400 000028e6 02231008 5f636f6e art...(..#.._con d250: 6669675f 70697065 00000029 1e022314 fig_pipe...)..#. d260: 085f7365 6e645f62 75666665 72000000 ._send_buffer... d270: 292b0223 18085f72 65747572 6e5f7265 )+.#.._return_re d280: 63765f62 75660000 00293402 231c085f cv_buf...)4.#.._ d290: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte d2a0: 64000000 29410223 20085f67 65745f6d d...)A.# ._get_m d2b0: 61785f6d 73675f6c 656e0000 00294102 ax_msg_len...)A. d2c0: 2324085f 6765745f 72657365 72766564 #$._get_reserved d2d0: 5f686561 64726f6f 6d000000 29150223 _headroom...)..# d2e0: 28085f69 73725f68 616e646c 65720000 (._isr_handler.. d2f0: 0028e602 232c085f 6765745f 64656661 .(..#,._get_defa d300: 756c745f 70697065 00000029 4a022330 ult_pipe...)J.#0 d310: 08705265 73657276 65640000 00040a02 .pReserved...... d320: 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. d330: 00002b2a 0e444d41 5f454e47 494e455f ..+*.DMA_ENGINE_ d340: 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE d350: 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN d360: 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI d370: 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG d380: 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN d390: 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E d3a0: 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma d3b0: 5f656e67 696e655f 74000000 2aa10d64 _engine_t...*..d d3c0: 6d615f69 66747970 65000400 002b770e ma_iftype....+w. d3d0: 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM d3e0: 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I d3f0: 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if d400: 74797065 5f740000 002b3c06 000012ef type_t...+<..... d410: 01030000 2b890400 02010300 002b9604 ....+........+.. d420: 00020103 00002b9f 04000600 00092101 ......+.......!. d430: 0300002b a8040006 000012ef 01030000 ...+............ d440: 2bb50400 06000012 ef010300 002bc204 +............+.. d450: 00060000 143a0103 00002bcf 04000201 .....:....+..... d460: 0300002b dc040007 646d615f 6c69625f ...+....dma_lib_ d470: 61706900 3400002c e3087478 5f696e69 api.4..,..tx_ini d480: 74000000 2b8f0223 00087478 5f737461 t...+..#..tx_sta d490: 72740000 002b9802 23040872 785f696e rt...+..#..rx_in d4a0: 69740000 002b8f02 23080872 785f636f it...+..#..rx_co d4b0: 6e666967 0000002b a102230c 0872785f nfig...+..#..rx_ d4c0: 73746172 74000000 2b980223 1008696e start...+..#..in d4d0: 74725f73 74617475 73000000 2bae0223 tr_status...+..# d4e0: 14086861 72645f78 6d697400 00002bbb ..hard_xmit...+. d4f0: 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. d500: 002b9802 231c0878 6d69745f 646f6e65 .+..#..xmit_done d510: 0000002b c8022320 08726561 705f786d ...+..# .reap_xm d520: 69747465 64000000 2bd50223 24087265 itted...+..#$.re d530: 61705f72 65637600 00002bd5 02232808 ap_recv...+..#(. d540: 72657475 726e5f72 65637600 00002bde return_recv...+. d550: 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ d560: c8022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft d570: 63000c00 002d0108 73770000 0028ed02 c....-..sw...(.. d580: 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ d590: 74000000 2ce30300 002d0104 00020103 t...,....-...... d5a0: 00002d1b 04000600 0012db01 0300002d ..-............- d5b0: 2404000d 6869665f 7063695f 70697065 $...hif_pci_pipe d5c0: 5f747800 0400002d 840e4849 465f5043 _tx....-..HIF_PC d5d0: 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF d5e0: 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... d5f0: 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ d600: 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p d610: 6970655f 74785f74 0000002d 31060000 ipe_tx_t...-1... d620: 2b2a0103 00002d9b 04000d68 69665f70 +*....-....hif_p d630: 63695f70 6970655f 72780004 00002e21 ci_pipe_rx.....! d640: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX d650: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE d660: 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P d670: 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC d680: 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF d690: 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX d6a0: 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe d6b0: 5f72785f 74000000 2da80600 002b2a01 _rx_t...-....+*. d6c0: 0300002e 38040007 6869665f 7063695f ....8...hif_pci_ d6d0: 61706900 2400002f 16087063 695f626f api.$../..pci_bo d6e0: 6f745f69 6e697400 0000010e 02230008 ot_init......#.. d6f0: 7063695f 696e6974 00000028 dd022304 pci_init...(..#. d700: 08706369 5f726573 65740000 00010e02 .pci_reset...... d710: 23080870 63695f65 6e61626c 65000000 #..pci_enable... d720: 010e0223 0c087063 695f7265 61705f78 ...#..pci_reap_x d730: 6d697474 65640000 002d1d02 23100870 mitted...-..#..p d740: 63695f72 6561705f 72656376 0000002d ci_reap_recv...- d750: 1d022314 08706369 5f676574 5f706970 ..#..pci_get_pip d760: 65000000 2d2a0223 18087063 695f6765 e...-*.#..pci_ge d770: 745f7478 5f656e67 0000002d a102231c t_tx_eng...-..#. d780: 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. d790: 00002e3e 02232000 07676d61 635f6170 ...>.# ..gmac_ap d7a0: 69000400 002f3d08 676d6163 5f626f6f i..../=.gmac_boo d7b0: 745f696e 69740000 00010e02 2300000f t_init......#... d7c0: 00000322 0600002f 4a100500 075f5f65 ...".../J....__e d7d0: 74686864 72000e00 002f8008 64737400 thhdr..../..dst. d7e0: 00002f3d 02230008 73726300 00002f3d ../=.#..src.../= d7f0: 02230608 65747970 65000000 12ef0223 .#..etype......# d800: 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ d810: ce157265 73000000 12db0100 02022300 ..res.........#. d820: 1570726f 746f0000 0012db01 02060223 .proto.........# d830: 00087265 735f6c6f 00000012 db022301 ..res_lo......#. d840: 08726573 5f686900 000012ef 02230200 .res_hi......#.. d850: 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 d860: 0a086574 68000000 2f4a0223 00086174 ..eth.../J.#..at d870: 68000000 2f800223 0e08616c 69676e5f h.../..#..align_ d880: 70616400 000012ef 02231200 095f5f67 pad......#...__g d890: 6d61635f 6864725f 74000000 2fce075f mac_hdr_t.../.._ d8a0: 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 d8b0: 54086864 72000000 300a0223 00086772 T.hdr...0..#..gr d8c0: 616e0000 0012ef02 23140873 77000000 an......#..sw... d8d0: 28ed0223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin d8e0: 6b616765 5f636865 636b0008 0000308d kage_check....0. d8f0: 08766572 73696f6e 00000001 15022300 .version......#. d900: 08746162 6c650000 00011502 23040003 .table......#... d910: 00003054 04000600 00011501 03000030 ..0T...........0 d920: 94040003 0000040d 0400175f 415f636d ..........._A_cm d930: 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ d940: 7461626c 650001b8 000031e4 0868616c table.....1..hal d950: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. d960: 00309a02 23000873 74617274 5f627373 .0..#..start_bss d970: 00000030 a1022304 08617070 5f737461 ...0..#..app_sta d980: 72740000 00010e02 2308086d 656d0000 rt......#..mem.. d990: 00044d02 230c086d 69736300 0000056c ..M.#..misc....l d9a0: 02232008 7072696e 74660000 00014202 .# .printf....B. d9b0: 23440875 61727400 0000020b 02234c08 #D.uart......#L. d9c0: 676d6163 0000002f 1602236c 08757362 gmac.../..#l.usb d9d0: 0000000f 9b022370 08636c6f 636b0000 ......#p.clock.. d9e0: 000ae403 23e00108 74696d65 72000000 ....#...timer... d9f0: 07840323 84020869 6e747200 00000c34 ...#...intr....4 da00: 03239802 08616c6c 6f637261 6d000000 .#...allocram... da10: 093c0323 c4020872 6f6d7000 0000082e .<.#...romp..... da20: 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. da30: 000e1103 23e00208 65657000 00000f3f ....#...eep....? da40: 0323fc02 08737472 696e6700 00000690 .#...string..... da50: 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... da60: 390323a4 0300075f 5553425f 4649464f 9.#...._USB_FIFO da70: 5f434f4e 46494700 10000032 57086765 _CONFIG....2W.ge da80: 745f636f 6d6d616e 645f6275 66000000 t_command_buf... da90: 14470223 00087265 63765f63 6f6d6d61 .G.#..recv_comma daa0: 6e640000 00145d02 23040867 65745f65 nd....].#..get_e dab0: 76656e74 5f627566 00000014 47022308 vent_buf....G.#. dac0: 0873656e 645f6576 656e745f 646f6e65 .send_event_done dad0: 00000014 5d02230c 00095553 425f4649 ....].#...USB_FI dae0: 464f5f43 4f4e4649 47000000 31e40300 FO_CONFIG...1... daf0: 00325704 00020103 00003273 04000775 .2W.......2s...u db00: 73626669 666f5f61 7069000c 000032c9 sbfifo_api....2. db10: 085f696e 69740000 00327502 2300085f ._init...2u.#.._ db20: 656e6162 6c655f65 76656e74 5f697372 enable_event_isr db30: 00000001 0e022304 08705265 73657276 ......#..pReserv db40: 65640000 00040a02 2308000f 00001681 ed......#....... db50: 02000032 d6100100 075f4854 435f4652 ...2....._HTC_FR db60: 414d455f 48445200 08000033 4808456e AME_HDR....3H.En db70: 64706f69 6e744944 00000016 81022300 dpointID......#. db80: 08466c61 67730000 00168102 23010850 .Flags......#..P db90: 61796c6f 61644c65 6e000000 1ca90223 ayloadLen......# dba0: 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. dbb0: 0032c902 23040848 6f737453 65714e75 .2..#..HostSeqNu dbc0: 6d000000 1ca90223 06001202 00003361 m......#......3a dbd0: 084d6573 73616765 49440000 001ca902 .MessageID...... dbe0: 23000012 08000033 c4084d65 73736167 #......3..Messag dbf0: 65494400 00001ca9 02230008 43726564 eID......#..Cred dc00: 6974436f 756e7400 00001ca9 02230208 itCount......#.. dc10: 43726564 69745369 7a650000 001ca902 CreditSize...... dc20: 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. dc30: 00001681 02230608 5f506164 31000000 .....#.._Pad1... dc40: 16810223 0700120a 0000345b 084d6573 ...#......4[.Mes dc50: 73616765 49440000 001ca902 23000853 sageID......#..S dc60: 65727669 63654944 0000001c a9022302 erviceID......#. dc70: 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags dc80: 0000001c a9022304 08446f77 6e4c696e ......#..DownLin dc90: 6b506970 65494400 00001681 02230608 kPipeID......#.. dca0: 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... dcb0: 81022307 08536572 76696365 4d657461 ..#..ServiceMeta dcc0: 4c656e67 74680000 00168102 2308085f Length......#.._ dcd0: 50616431 00000016 81022309 00120a00 Pad1......#..... dce0: 0034e308 4d657373 61676549 44000000 .4..MessageID... dcf0: 1ca90223 00085365 72766963 65494400 ...#..ServiceID. dd00: 00001ca9 02230208 53746174 75730000 .....#..Status.. dd10: 00168102 23040845 6e64706f 696e7449 ....#..EndpointI dd20: 44000000 16810223 05084d61 784d7367 D......#..MaxMsg dd30: 53697a65 0000001c a9022306 08536572 Size......#..Ser dd40: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. dd50: 00168102 2308085f 50616431 00000016 ....#.._Pad1.... dd60: 81022309 00120200 0034fc08 4d657373 ..#......4..Mess dd70: 61676549 44000000 1ca90223 00001204 ageID......#.... dd80: 00003538 084d6573 73616765 49440000 ..58.MessageID.. dd90: 001ca902 23000850 69706549 44000000 ....#..PipeID... dda0: 16810223 02084372 65646974 436f756e ...#..CreditCoun ddb0: 74000000 16810223 03001204 0000356f t......#......5o ddc0: 084d6573 73616765 49440000 001ca902 .MessageID...... ddd0: 23000850 69706549 44000000 16810223 #..PipeID......# dde0: 02085374 61747573 00000016 81022303 ..Status......#. ddf0: 00120200 00359608 5265636f 72644944 .....5..RecordID de00: 00000016 81022300 084c656e 67746800 ......#..Length. de10: 00001681 02230100 12020000 35c00845 .....#......5..E de20: 6e64706f 696e7449 44000000 16810223 ndpointID......# de30: 00084372 65646974 73000000 16810223 ..Credits......# de40: 01001204 00003601 08456e64 706f696e ......6..Endpoin de50: 74494400 00001681 02230008 43726564 tID......#..Cred de60: 69747300 00001681 02230108 54677443 its......#..TgtC de70: 72656469 74536571 4e6f0000 001ca902 reditSeqNo...... de80: 2302000f 00001681 04000036 0e100300 #..........6.... de90: 12060000 364a0850 72655661 6c696400 ....6J.PreValid. dea0: 00001681 02230008 4c6f6f6b 41686561 .....#..LookAhea deb0: 64000000 36010223 0108506f 73745661 d...6..#..PostVa dec0: 6c696400 00001681 02230500 09706f6f lid......#...poo ded0: 6c5f6861 6e646c65 5f740000 00040a06 l_handle_t...... dee0: 0000364a 01030000 365d0400 02010300 ..6J....6]...... def0: 00366a04 00140400 0036e80e 504f4f4c .6j......6..POOL df00: 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. df10: 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV df20: 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO df30: 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV df40: 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL df50: 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL df60: 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P df70: 4f4f4c5f 49440000 00367302 01030000 OOL_ID...6s..... df80: 36f90400 06000026 46010300 00370204 6......&F....7.. df90: 00060000 26460103 0000370f 04000201 ....&F....7..... dfa0: 03000037 1c040007 6275665f 706f6f6c ...7....buf_pool dfb0: 5f617069 001c0000 37be085f 696e6974 _api....7.._init dfc0: 00000036 63022300 085f7368 7574646f ...6c.#.._shutdo dfd0: 776e0000 00366c02 2304085f 63726561 wn...6l.#.._crea dfe0: 74655f70 6f6f6c00 000036fb 02230808 te_pool...6..#.. dff0: 5f616c6c 6f635f62 75660000 00370802 _alloc_buf...7.. e000: 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al e010: 69676e00 00003715 02231008 5f667265 ign...7..#.._fre e020: 655f6275 66000000 371e0223 14087052 e_buf...7..#..pR e030: 65736572 76656400 0000040a 02231800 eserved......#.. e040: 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... e050: 00389d08 704e6578 74000000 389d0223 .8..pNext...8..# e060: 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg e070: 00000039 52022304 0850726f 63657373 ...9R.#..Process e080: 53656e64 42756666 6572436f 6d706c65 SendBufferComple e090: 74650000 00395b02 23080850 726f6365 te...9[.#..Proce e0a0: 7373436f 6e6e6563 74000000 396f0223 ssConnect...9o.# e0b0: 0c085365 72766963 65494400 000012ef ..ServiceID..... e0c0: 02231008 53657276 69636546 6c616773 .#..ServiceFlags e0d0: 00000012 ef022312 084d6178 5376634d ......#..MaxSvcM e0e0: 73675369 7a650000 0012ef02 23140854 sgSize......#..T e0f0: 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi e100: 6d697400 000012ef 02231608 53657276 mit......#..Serv e110: 69636543 74780000 00040a02 23180003 iceCtx......#... e120: 000037be 04001404 0000393b 19454e44 ..7.......9;.END e130: 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... e140: ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN e150: 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI e160: 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. e170: 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN e180: 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI e190: 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. e1a0: 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN e1b0: 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT e1c0: 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... e1d0: 38a40201 03000039 50040002 01030000 8......9P....... e1e0: 39590400 03000001 15040006 000012db 9Y.............. e1f0: 01030000 39690400 03000037 be040007 ....9i.....7.... e200: 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 e210: ee084372 65646974 53697a65 00000001 ..CreditSize.... e220: 15022300 08437265 6469744e 756d6265 ..#..CreditNumbe e230: 72000000 01150223 04084f53 48616e64 r......#..OSHand e240: 6c650000 001a4502 23080848 49464861 le....E.#..HIFHa e250: 6e646c65 00000028 ae02230c 08506f6f ndle...(..#..Poo e260: 6c48616e 646c6500 0000364a 02231000 lHandle...6J.#.. e270: 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX e280: 54000200 003a2a08 656e645f 706f696e T....:*.end_poin e290: 74000000 12db0223 00086874 635f666c t......#..htc_fl e2a0: 61677300 000012db 02230100 09687463 ags......#...htc e2b0: 5f68616e 646c655f 74000000 040a0948 _handle_t......H e2c0: 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET e2d0: 455f4342 00000001 0e094854 435f434f E_CB......HTC_CO e2e0: 4e464947 00000039 7d030000 3a570400 NFIG...9}...:W.. e2f0: 0600003a 2a010300 003a6e04 00020103 ...:*....:n..... e300: 00003a7b 04000948 54435f53 45525649 ..:{...HTC_SERVI e310: 43450000 0037be03 00003a84 04000201 CE...7....:..... e320: 0300003a 9c040002 01030000 3aa50400 ...:........:... e330: 02010300 003aae04 00060000 01150103 .....:.......... e340: 00003ab7 04000768 74635f61 70697300 ..:....htc_apis. e350: 3400003c 34085f48 54435f49 6e697400 4..<4._HTC_Init. e360: 00003a74 02230008 5f485443 5f536875 ..:t.#.._HTC_Shu e370: 74646f77 6e000000 3a7d0223 04085f48 tdown...:}.#.._H e380: 54435f52 65676973 74657253 65727669 TC_RegisterServi e390: 63650000 003a9e02 2308085f 4854435f ce...:..#.._HTC_ e3a0: 52656164 79000000 3a7d0223 0c085f48 Ready...:}.#.._H e3b0: 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers e3c0: 0000003a a7022310 085f4854 435f5265 ...:..#.._HTC_Re e3d0: 7475726e 42756666 6572734c 69737400 turnBuffersList. e3e0: 00003ab0 02231408 5f485443 5f53656e ..:..#.._HTC_Sen e3f0: 644d7367 0000003a a7022318 085f4854 dMsg...:..#.._HT e400: 435f4765 74526573 65727665 64486561 C_GetReservedHea e410: 64726f6f 6d000000 3abd0223 1c085f48 droom...:..#.._H e420: 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle e430: 72000000 285b0223 20085f48 54435f53 r...([.# ._HTC_S e440: 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. e450: 00285202 2324085f 4854435f 436f6e74 .(R.#$._HTC_Cont e460: 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg e470: 00000039 52022328 085f4854 435f436f ...9R.#(._HTC_Co e480: 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS e490: 656e6443 6f6d706c 65746500 0000395b endComplete...9[ e4a0: 02232c08 70526573 65727665 64000000 .#,.pReserved... e4b0: 040a0223 30000768 6f73745f 6170705f ...#0..host_app_ e4c0: 61726561 5f730004 00003c64 08776d69 area_s......tu e660: 706c654e 756d4c00 00001ca9 02230008 pleNumL......#.. e670: 7475706c 654e756d 48000000 1ca90223 tupleNumH......# e680: 02086176 74000000 3dc80223 04001201 ..avt...=..#.... e690: 00003e2e 08626561 636f6e50 656e6469 ..>..beaconPendi e6a0: 6e67436f 756e7400 00001681 02230000 ngCount......#.. e6b0: 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG e6c0: 00100000 3e970848 74634861 6e646c65 ....>..HtcHandle e6d0: 0000003a 2a022300 08506f6f 6c48616e ...:*.#..PoolHan e6e0: 646c6500 0000364a 02230408 4d617843 dle...6J.#..MaxC e6f0: 6d645265 706c7945 76747300 00000115 mdReplyEvts..... e700: 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts e710: 00000001 1502230c 00020103 00003e97 ......#.......>. e720: 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL e730: 45520000 003e9907 5f574d49 5f444953 ER...>.._WMI_DIS e740: 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? e750: 00087043 6d644861 6e646c65 72000000 ..pCmdHandler... e760: 3ea00223 0008436d 64494400 000012ef >..#..CmdID..... e770: 02230408 466c6167 73000000 12ef0223 .#..Flags......# e780: 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH e790: 5f544142 4c450010 00003f61 08704e65 _TABLE....?a.pNe e7a0: 78740000 003f6102 23000870 436f6e74 xt...?a.#..pCont e7b0: 65787400 0000040a 02230408 4e756d62 ext......#..Numb e7c0: 65724f66 456e7472 69657300 00000115 erOfEntries..... e7d0: 02230808 70546162 6c650000 003f8002 .#..pTable...?.. e7e0: 230c0003 00003f00 04000957 4d495f44 #.....?....WMI_D e7f0: 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... e800: 3eb50300 003f6804 00030000 3f000400 >....?h.....?... e810: 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT e820: 00000039 ee0d574d 495f4556 545f434c ...9..WMI_EVT_CL e830: 41535300 04000040 1819574d 495f4556 ASS....@..WMI_EV e840: 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... e850: ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ e860: 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ e870: 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE e880: 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL e890: 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E e8a0: 56545f43 4c415353 0000003f a3075f57 VT_CLASS...?.._W e8b0: 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. e8c0: 00004076 08487463 42756643 74780000 ..@v.HtcBufCtx.. e8d0: 003f8e02 23000845 76656e74 436c6173 .?..#..EventClas e8e0: 73000000 40180223 0408466c 61677300 s...@..#..Flags. e8f0: 000012ef 02230800 09776d69 5f68616e .....#...wmi_han e900: 646c655f 74000000 040a0957 4d495f53 dle_t......WMI_S e910: 56435f43 4f4e4649 47000000 3e2e0300 VC_CONFIG...>... e920: 00408804 00060000 40760103 000040a3 .@......@v....@. e930: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ e940: 5441424c 45000000 3f000300 0040b004 TABLE...?....@.. e950: 00020103 000040cf 04000600 00264601 ......@......&F. e960: 03000040 d8040002 01030000 40e50400 ...@........@... e970: 06000001 15010300 0040ee04 00020103 .........@...... e980: 000040fb 04000600 0012db01 03000041 ..@............A e990: 04040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api e9a0: 73002c00 00424c08 5f574d49 5f496e69 s.,..BL._WMI_Ini e9b0: 74000000 40a90223 00085f57 4d495f52 t...@..#.._WMI_R e9c0: 65676973 74657244 69737061 74636854 egisterDispatchT e9d0: 61626c65 00000040 d1022304 085f574d able...@..#.._WM e9e0: 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ e9f0: de022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv ea00: 656e7400 000040e7 02230c08 5f574d49 ent...@..#.._WMI ea10: 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent ea20: 73436f75 6e740000 0040f402 2310085f sCount...@..#.._ ea30: 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete ea40: 48616e64 6c657200 0000395b 02231408 Handler...9[.#.. ea50: 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE ea60: 70000000 40f40223 18085f57 4d495f53 p...@..#.._WMI_S ea70: 68757464 6f776e00 000040fd 02231c08 hutdown...@..#.. ea80: 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage ea90: 48616e64 6c657200 00003952 02232008 Handler...9R.# . eaa0: 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn eab0: 65637400 0000410a 02232408 70526573 ect...A..#$.pRes eac0: 65727665 64000000 040a0223 2800077a erved......#(..z ead0: 73446d61 44657363 00140000 42ce0863 sDmaDesc....B..c eae0: 74726c00 00000179 02230008 73746174 trl....y.#..stat eaf0: 75730000 00017902 23020874 6f74616c us....y.#..total eb00: 4c656e00 00000179 02230408 64617461 Len....y.#..data eb10: 53697a65 00000001 79022306 086c6173 Size....y.#..las eb20: 74416464 72000000 42ce0223 08086461 tAddr...B..#..da eb30: 74614164 64720000 00019d02 230c086e taAddr......#..n eb40: 65787441 64647200 000042ce 02231000 extAddr...B..#.. eb50: 03000042 4c040003 0000424c 0400077a ...BL.....BL...z eb60: 73446d61 51756575 65000800 00430e08 sDmaQueue....C.. eb70: 68656164 00000042 d5022300 08746572 head...B..#..ter eb80: 6d696e61 746f7200 000042d5 02230400 minator...B..#.. eb90: 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... eba0: 00437208 68656164 00000042 d5022300 .Cr.head...B..#. ebb0: 08746572 6d696e61 746f7200 000042d5 .terminator...B. ebc0: 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h ebd0: 65616400 0000143a 02230808 786d6974 ead....:.#..xmit ebe0: 65645f62 75665f74 61696c00 0000143a ed_buf_tail....: ebf0: 02230c00 02010300 00437204 00030000 .#.......Cr..... ec00: 42dc0400 02010300 00438204 00030000 B........C...... ec10: 430e0400 02010300 00439204 00020103 C........C...... ec20: 0000439b 04000201 03000043 a4040006 ..C........C.... ec30: 0000143a 01030000 43ad0400 02010300 ...:....C....... ec40: 0043ba04 00060000 143a0103 000043c3 .C.......:....C. ec50: 04000201 03000043 d0040006 00000115 .......C........ ec60: 01030000 43d90400 06000042 d5010300 ....C......B.... ec70: 0043e604 00020103 000043f3 04000764 .C........C....d ec80: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. ec90: 00456908 5f696e69 74000000 43740223 .Ei._init...Ct.# eca0: 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue ecb0: 00000043 84022304 085f696e 69745f74 ...C..#.._init_t ecc0: 785f7175 65756500 00004394 02230808 x_queue...C..#.. ecd0: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue ece0: 00000043 9d02230c 085f786d 69745f62 ...C..#.._xmit_b ecf0: 75660000 0043a602 2310085f 666c7573 uf...C..#.._flus ed00: 685f786d 69740000 00438402 2314085f h_xmit...C..#.._ ed10: 72656170 5f726563 765f6275 66000000 reap_recv_buf... ed20: 43b30223 18085f72 65747572 6e5f7265 C..#.._return_re ed30: 63765f62 75660000 0043bc02 231c085f cv_buf...C..#.._ ed40: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. ed50: 000043c9 02232008 5f737761 705f6461 ..C..# ._swap_da ed60: 74610000 0043d202 2324085f 6861735f ta...C..#$._has_ ed70: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... ed80: 43df0223 28085f64 6573635f 64756d70 C..#(._desc_dump ed90: 00000043 8402232c 085f6765 745f7061 ...C..#,._get_pa eda0: 636b6574 00000043 ec022330 085f7265 cket...C..#0._re edb0: 636c6169 6d5f7061 636b6574 00000043 claim_packet...C edc0: f5022334 085f7075 745f7061 636b6574 ..#4._put_packet edd0: 00000043 f5022338 08705265 73657276 ...C..#8.pReserv ede0: 65640000 00040a02 233c0009 5f415f63 ed......#<.._A_c edf0: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection ee00: 5f746162 6c655f74 00000030 a809574d _table_t...0..WM ee10: 495f5356 435f4150 49530000 00411117 I_SVC_APIS...A.. ee20: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire ee30: 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. ee40: 46970863 6d6e6f73 00000045 69022300 F..cmnos...Ei.#. ee50: 08646267 00000003 d70323b8 03086869 .dbg......#...hi ee60: 66000000 29510323 c0030868 74630000 f...)Q.#...htc.. ee70: 003ac403 23f80308 776d695f 7376635f .:..#...wmi_svc_ ee80: 61706900 0000458b 0323ac04 08757362 api...E..#...usb ee90: 6669666f 5f617069 00000032 7c0323d8 fifo_api...2|.#. eea0: 04086275 665f706f 6f6c0000 00372503 ..buf_pool...7%. eeb0: 23e40408 76627566 00000014 64032380 #...vbuf....d.#. eec0: 05087664 65736300 00001346 03239405 ..vdesc....F.#.. eed0: 08616c6c 6f637261 6d000000 093c0323 .allocram....<.# eee0: a8050864 6d615f65 6e67696e 65000000 ...dma_engine... eef0: 43fc0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. ef00: 002be503 23f40508 6869665f 70636900 .+..#...hif_pci. ef10: 00002e45 0323a806 00095f41 5f6d6167 ...E.#...._A_mag ef20: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ ef30: 7461626c 655f7400 0000459d 1a637469 table_t...E..cti ef40: 636b7300 00000921 05030050 095c011a cks....!...P.\.. ef50: 63757272 5f62616e 64000000 01150503 curr_band....... ef60: 00500960 01140400 00474e19 5359535f .P.`.....GN.SYS_ ef70: 4346475f 52454643 4c4b5f55 4e4b4e4f CFG_REFCLK_UNKNO ef80: 574e00ff ffffff0e 5359535f 4346475f WN......SYS_CFG_ ef90: 52454643 4c4b5f31 305f4d48 5a00000e REFCLK_10_MHZ... efa0: 5359535f 4346475f 52454643 4c4b5f32 SYS_CFG_REFCLK_2 efb0: 305f4d48 5a00010e 5359535f 4346475f 0_MHZ...SYS_CFG_ efc0: 52454643 4c4b5f34 305f4d48 5a000200 REFCLK_40_MHZ... efd0: 09415f72 6566636c 6b5f7370 6565645f .A_refclk_speed_ efe0: 74000000 46e30763 6d6e6f73 5f636c6f t...F..cmnos_clo eff0: 636b5f73 00140000 47eb0872 6566636c ck_s....G..refcl f000: 6b5f7370 65656400 0000474e 02230008 k_speed...GN.#.. f010: 7469636b 735f7065 725f7365 63000000 ticks_per_sec... f020: 09210223 0408706c 6c5f6374 726c5f35 .!.#..pll_ctrl_5 f030: 67687a00 00000921 02230808 706c6c5f ghz....!.#..pll_ f040: 6374726c 5f323467 687a0000 00092102 ctrl_24ghz....!. f050: 230c0870 6c6c5f73 6574746c 696e675f #..pll_settling_ f060: 74696d65 00000009 21022310 00050000 time....!.#..... f070: 47640500 0047eb1b 000047f0 01000048 Gd...G....G....H f080: 011c0005 000047f5 05000047 eb1b0000 ......G....G.... f090: 48060100 0048171c 001a636d 6e6f735f H....H....cmnos_ f0a0: 636c6f63 6b696e67 5f746162 6c650000 clocking_table.. f0b0: 00480105 03004e87 50010300 00476404 .H....N.P....Gd. f0c0: 001a636c 6f636b5f 696e666f 00000048 ..clock_info...H f0d0: 38050300 50096401 1a636d6e 6f735f73 8...P.d..cmnos_s f0e0: 6b69705f 706c6c5f 696e6974 00000001 kip_pll_init.... f0f0: 15050300 50096801 1a706c6c 5f637472 ....P.h..pll_ctr f100: 6c5f7365 7474696e 675f3234 67687a00 l_setting_24ghz. f110: 00000921 05030050 096c011a 706c6c5f ...!...P.l..pll_ f120: 6374726c 5f736574 74696e67 5f356768 ctrl_setting_5gh f130: 7a000000 09210503 00500970 01050000 z....!...P.p.... f140: 47eb0300 0048bb04 00030000 0ae40400 G....H.......... f150: 02011d01 6d636d6e 6f735f64 656c6179 ....mcmnos_delay f160: 5f757300 01010392 01200290 00008e1c _us...... ...... f170: ac008e1c cc000049 301e016d 75730000 .......I0..mus.. f180: 00011501 521f7265 665f636c 6b000000 ....R.ref_clk... f190: 09211f73 74617274 5f74696d 65000000 .!.start_time... f1a0: 09211f6e 756d5f74 69636b73 00000004 .!.num_ticks.... f1b0: 0d002001 81636d6e 6f735f6d 696c6c69 .. ..cmnos_milli f1c0: 7365636f 6e647300 00000921 01010392 seconds....!.... f1d0: 01200290 00008e1c cc008e1c d920019a . ........... .. f1e0: 636d6e6f 735f7265 66636c6b 5f737065 cmnos_refclk_spe f1f0: 65645f67 65740000 00092101 01039201 ed_get....!..... f200: 20029000 008e1cdc 008e1ce8 2001a163 ........... ..c f210: 6d6e6f73 5f756172 745f6672 65717565 mnos_uart_freque f220: 6e637900 00000921 01010392 01200290 ncy....!..... .. f230: 00008e1c e8008e1c ed2101b5 636d6e6f .........!..cmno f240: 735f7379 73636c6b 5f636861 6e676500 s_sysclk_change. f250: 01010392 01200290 00008e1c f0008e1c ..... .......... f260: f52101bc 636d6e6f 735f636c 6f636b72 .!..cmnos_clockr f270: 6567735f 696e6974 00010103 92012002 egs_init...... . f280: 9000008e 1cf8008e 1cfd1d01 c9636d6e .............cmn f290: 6f735f77 6c616e5f 62616e64 5f736574 os_wlan_band_set f2a0: 00010103 92012002 9000008e 1d00008e ...... ......... f2b0: 1d050000 4a491e01 c9776869 63685f62 ....JI...which_b f2c0: 616e6400 00000115 01520021 01d0636d and......R.!..cm f2d0: 6e6f735f 706c6c5f 696e6974 00010103 nos_pll_init.... f2e0: 92012002 9000008e 1d08008e 1d0d1d01 .. ............. f2f0: d7636d6e 6f735f63 6c6f636b 5f696e69 .cmnos_clock_ini f300: 74000101 03920120 02900000 8e1d1000 t...... ........ f310: 8e1d4300 004ac11e 01d77265 665f636c ..C..J....ref_cl f320: 6b000000 09210152 1f690000 00040d1f k....!.R.i...... f330: 7469636b 735f7065 725f7365 63000000 ticks_per_sec... f340: 0921001d 01f4636d 6e6f735f 7469636b .!....cmnos_tick f350: 00010103 92012002 9000008e 1d44008e ...... ......D.. f360: 1d6a0000 4b1c226c 6173745f 7469636b .j..K."last_tick f370: 00000009 21050300 5009741f 63757272 ....!...P.t.curr f380: 656e745f 7469636b 00000009 211f6465 ent_tick....!.de f390: 6c74615f 7469636b 00000009 21002301 lta_tick....!.#. f3a0: 0115636d 6e6f735f 636c6f63 6b5f6d6f ..cmnos_clock_mo f3b0: 64756c65 5f696e73 74616c6c 00010103 dule_install.... f3c0: 92012002 9000008e 1d6c008e 1d9e2401 .. ......l....$. f3d0: 01157462 6c000000 48c70152 00000000 ..tbl...H..R.... f3e0: 004a0400 02000007 5404012f 726f6f74 .J......T../root f3f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ f400: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m f410: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ f420: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ f430: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie f440: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e f450: 6570726f 6d2f7372 632f636d 6e6f735f eprom/src/cmnos_ f460: 65657072 6f6d2e63 002f726f 6f742f57 eeprom.c./root/W f470: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 f480: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag f490: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno f4a0: 732f6565 70726f6d 0078742d 78636320 s/eeprom.xt-xcc f4b0: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a f4c0: 6c69676e 5f696e73 74727563 74696f6e lign_instruction f4d0: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP f4e0: 543a7370 61636500 01000000 41b00201 T:space.....A... f4f0: 03000001 0f040004 696e7400 05040463 ........int....c f500: 68617200 07010500 00011f05 0000011f har............. f510: 03000001 2c040006 00000118 01030000 ....,........... f520: 01380400 07707269 6e74665f 61706900 .8...printf_api. f530: 08000001 7c085f70 72696e74 665f696e ....|._printf_in f540: 69740000 00011102 2300085f 7072696e it......#.._prin f550: 74660000 00013e02 23040004 73686f72 tf....>.#...shor f560: 7420756e 7369676e 65642069 6e740007 t unsigned int.. f570: 02097569 6e743136 5f740000 00017c04 ..uint16_t....|. f580: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in f590: 74000704 0975696e 7433325f 74000000 t....uint32_t... f5a0: 01a00775 6172745f 6669666f 00080000 ...uart_fifo.... f5b0: 020e0873 74617274 5f696e64 65780000 ...start_index.. f5c0: 00019202 23000865 6e645f69 6e646578 ....#..end_index f5d0: 00000001 92022302 086f7665 7272756e ......#..overrun f5e0: 5f657272 00000001 b5022304 00077561 _err......#...ua f5f0: 72745f61 70690020 000002c7 085f7561 rt_api. ....._ua f600: 72745f69 6e697400 0000031e 02230008 rt_init......#.. f610: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. f620: 00034502 2304085f 75617274 5f636861 ..E.#.._uart_cha f630: 725f6765 74000000 03590223 08085f75 r_get....Y.#.._u f640: 6172745f 7374725f 6f757400 00000362 art_str_out....b f650: 02230c08 5f756172 745f7461 736b0000 .#.._uart_task.. f660: 00011102 2310085f 75617274 5f737461 ....#.._uart_sta f670: 74757300 0000031e 02231408 5f756172 tus......#.._uar f680: 745f636f 6e666967 00000003 6b022318 t_config....k.#. f690: 085f7561 72745f68 77696e69 74000000 ._uart_hwinit... f6a0: 03740223 1c000300 00020e04 00077561 .t.#..........ua f6b0: 72745f62 6c6b0010 00000318 08646562 rt_blk.......deb f6c0: 75675f6d 6f646500 00000192 02230008 ug_mode......#.. f6d0: 62617564 00000001 92022302 085f7561 baud......#.._ua f6e0: 72740000 0002c702 2304085f 74780000 rt......#.._tx.. f6f0: 0001c302 23080006 000001b5 01030000 ....#........... f700: 03180400 04756e73 69676e65 64206368 .....unsigned ch f710: 61720007 01097569 6e74385f 74000000 ar....uint8_t... f720: 03250201 03000003 43040003 00000336 .%......C......6 f730: 04000600 00019201 03000003 53040002 ............S... f740: 01030000 03600400 02010300 00036904 .....`........i. f750: 00020103 00000372 04000300 00011f04 .......r........ f760: 00060000 01180103 00000382 04000744 ...............D f770: 425f434f 4d4d414e 445f5354 52554354 B_COMMAND_STRUCT f780: 000c0000 03da0863 6d645f73 74720000 .......cmd_str.. f790: 00037b02 23000868 656c705f 73747200 ..{.#..help_str. f7a0: 0000037b 02230408 636d645f 66756e63 ...{.#..cmd_func f7b0: 00000003 88022308 00076462 675f6170 ......#...dbg_ap f7c0: 69000800 00040d08 5f646267 5f696e69 i......._dbg_ini f7d0: 74000000 01110223 00085f64 62675f74 t......#.._dbg_t f7e0: 61736b00 00000111 02230400 0a040004 ask......#...... f7f0: 756e7369 676e6564 20696e74 00070406 unsigned int.... f800: 0000040d 01030000 04200400 0b0b0300 ......... ...... f810: 00042e04 00060000 040d0103 00000436 ...............6 f820: 04000600 00011801 03000004 43040007 ............C... f830: 6d656d5f 61706900 14000004 b2085f6d mem_api......._m f840: 656d5f69 6e697400 00000111 02230008 em_init......#.. f850: 5f6d656d 73657400 00000426 02230408 _memset....&.#.. f860: 5f6d656d 63707900 0000043c 02230808 _memcpy....<.#.. f870: 5f6d656d 6d6f7665 00000004 3c02230c _memmove....<.#. f880: 085f6d65 6d636d70 00000004 49022310 ._memcmp....I.#. f890: 000c7265 67697374 65725f64 756d705f ..register_dump_ f8a0: 73000001 03000004 b2040002 01030000 s............... f8b0: 04cc0400 02010300 0004d504 00060000 ................ f8c0: 01180103 000004de 04000d68 6f737469 ...........hosti f8d0: 665f7300 04000005 3a0e4849 465f5553 f_s.....:.HIF_US f8e0: 4200000e 4849465f 50434945 00010e48 B...HIF_PCIE...H f8f0: 49465f47 4d414300 020e4849 465f5043 IF_GMAC...HIF_PC f900: 4900030e 4849465f 4e554d00 040e4849 I...HIF_NUM...HI f910: 465f4e4f 4e450005 0009415f 484f5354 F_NONE....A_HOST f920: 49460000 0004eb06 0000053a 01030000 IF.........:.... f930: 05480400 06000003 36010300 00055504 .H......6.....U. f940: 00060000 01920103 00000562 0400076d ...........b...m f950: 6973635f 61706900 24000006 52085f73 isc_api.$...R._s f960: 79737465 6d5f7265 73657400 00000111 ystem_reset..... f970: 02230008 5f6d6163 5f726573 65740000 .#.._mac_reset.. f980: 00011102 2304085f 61737366 61696c00 ....#.._assfail. f990: 000004ce 02230808 5f6d6973 616c6967 .....#.._misalig f9a0: 6e65645f 6c6f6164 5f68616e 646c6572 ned_load_handler f9b0: 00000004 ce02230c 085f7265 706f7274 ......#.._report f9c0: 5f666169 6c757265 5f746f5f 686f7374 _failure_to_host f9d0: 00000004 d7022310 085f7461 72676574 ......#.._target f9e0: 5f69645f 67657400 000004e4 02231408 _id_get......#.. f9f0: 5f69735f 686f7374 5f707265 73656e74 _is_host_present fa00: 00000005 4e022318 085f6b62 68697400 ....N.#.._kbhit. fa10: 0000055b 02231c08 5f726f6d 5f766572 ...[.#.._rom_ver fa20: 73696f6e 5f676574 00000005 68022320 sion_get....h.# fa30: 00060000 037b0103 00000652 04000600 .....{.....R.... fa40: 00037b01 03000006 5f040006 00000118 ..{....._....... fa50: 01030000 066c0400 06000001 18010300 .....l.......... fa60: 00067904 00060000 01180103 00000686 ..y............. fa70: 04000773 7472696e 675f6170 69001800 ...string_api... fa80: 00070c08 5f737472 696e675f 696e6974 ...._string_init fa90: 00000001 11022300 085f7374 72637079 ......#.._strcpy faa0: 00000006 58022304 085f7374 726e6370 ....X.#.._strncp fab0: 79000000 06650223 08085f73 74726c65 y....e.#.._strle fac0: 6e000000 06720223 0c085f73 7472636d n....r.#.._strcm fad0: 70000000 067f0223 10085f73 74726e63 p......#.._strnc fae0: 6d700000 00068c02 2314000f 00000410 mp......#....... faf0: 14000007 19100400 095f415f 54494d45 ........._A_TIME fb00: 525f5350 41434500 0000070c 09415f74 R_SPACE......A_t fb10: 696d6572 5f740000 00071903 0000072d imer_t.........- fb20: 04000201 03000007 43040002 01030000 ........C....... fb30: 074c0400 09415f48 414e444c 45000000 .L...A_HANDLE... fb40: 04100201 09415f54 494d4552 5f46554e .....A_TIMER_FUN fb50: 43000000 07630300 00076504 00020103 C....c....e..... fb60: 0000077e 04000774 696d6572 5f617069 ...~...timer_api fb70: 00140000 07fd085f 74696d65 725f696e ......._timer_in fb80: 69740000 00011102 2300085f 74696d65 it......#.._time fb90: 725f6172 6d000000 07450223 04085f74 r_arm....E.#.._t fba0: 696d6572 5f646973 61726d00 0000074e imer_disarm....N fbb0: 02230808 5f74696d 65725f73 6574666e .#.._timer_setfn fbc0: 00000007 8002230c 085f7469 6d65725f ......#.._timer_ fbd0: 72756e00 00000111 02231000 09424f4f run......#...BOO fbe0: 4c45414e 00000001 92060000 07fd0103 LEAN............ fbf0: 0000080a 04000600 0007fd01 03000008 ................ fc00: 17040006 000007fd 01030000 08240400 .............$.. fc10: 07726f6d 705f6170 69001000 00089608 .romp_api....... fc20: 5f726f6d 705f696e 69740000 00011102 _romp_init...... fc30: 2300085f 726f6d70 5f646f77 6e6c6f61 #.._romp_downloa fc40: 64000000 08100223 04085f72 6f6d705f d......#.._romp_ fc50: 696e7374 616c6c00 0000081d 02230808 install......#.. fc60: 5f726f6d 705f6465 636f6465 00000008 _romp_decode.... fc70: 2a02230c 0007726f 6d5f7061 7463685f *.#...rom_patch_ fc80: 73740010 000008f2 08637263 31360000 st.......crc16.. fc90: 00019202 2300086c 656e0000 00019202 ....#..len...... fca0: 2302086c 645f6164 64720000 0001b502 #..ld_addr...... fcb0: 23040866 756e5f61 64647200 000001b5 #..fun_addr..... fcc0: 02230808 7066756e 00000003 4c02230c .#..pfun....L.#. fcd0: 00076565 705f7265 6469725f 61646472 ..eep_redir_addr fce0: 00040000 0924086f 66667365 74000000 .....$.offset... fcf0: 01920223 00087369 7a650000 00019202 ...#..size...... fd00: 23020009 415f5549 4e543332 00000004 #...A_UINT32.... fd10: 10060000 040d0103 00000932 04000761 ...........2...a fd20: 6c6c6f63 72616d5f 61706900 0c000009 llocram_api..... fd30: a308636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram fd40: 5f696e69 74000000 09380223 0008636d _init....8.#..cm fd50: 6e6f735f 616c6c6f 6372616d 00000009 nos_allocram.... fd60: 38022304 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc fd70: 72616d5f 64656275 67000000 01110223 ram_debug......# fd80: 08000201 03000009 a3040009 415f5441 ............A_TA fd90: 534b4c45 545f4655 4e430000 0009a507 SKLET_FUNC...... fda0: 5f746173 6b6c6574 00100000 0a040866 _tasklet.......f fdb0: 756e6300 000009ac 02230008 61726700 unc......#..arg. fdc0: 0000040d 02230408 73746174 65000000 .....#..state... fdd0: 01180223 08086e65 78740000 000a0402 ...#..next...... fde0: 230c0003 000009c0 04000300 0009c004 #............... fdf0: 0009415f 7461736b 6c65745f 74000000 ..A_tasklet_t... fe00: 09c00300 000a1204 00020103 00000a2a ...............* fe10: 04000201 0300000a 33040007 7461736b ........3...task fe20: 6c65745f 61706900 1400000a c8085f74 let_api......._t fe30: 61736b6c 65745f69 6e697400 00000111 asklet_init..... fe40: 02230008 5f746173 6b6c6574 5f696e69 .#.._tasklet_ini fe50: 745f7461 736b0000 000a2c02 2304085f t_task....,.#.._ fe60: 7461736b 6c65745f 64697361 626c6500 tasklet_disable. fe70: 00000a35 02230808 5f746173 6b6c6574 ...5.#.._tasklet fe80: 5f736368 6564756c 65000000 0a350223 _schedule....5.# fe90: 0c085f74 61736b6c 65745f72 756e0000 .._tasklet_run.. fea0: 00011102 23100002 01030000 0ac80400 ....#........... feb0: 06000009 24010300 000ad104 00020103 ....$........... fec0: 00000ade 04000763 6c6f636b 5f617069 .......clock_api fed0: 00240000 0bc0085f 636c6f63 6b5f696e .$....._clock_in fee0: 69740000 000aca02 2300085f 636c6f63 it......#.._cloc fef0: 6b726567 735f696e 69740000 00011102 kregs_init...... ff00: 2304085f 75617274 5f667265 7175656e #.._uart_frequen ff10: 63790000 000ad702 2308085f 64656c61 cy......#.._dela ff20: 795f7573 0000000a e002230c 085f776c y_us......#.._wl ff30: 616e5f62 616e645f 73657400 00000ae0 an_band_set..... ff40: 02231008 5f726566 636c6b5f 73706565 .#.._refclk_spee ff50: 645f6765 74000000 0ad70223 14085f6d d_get......#.._m ff60: 696c6c69 7365636f 6e647300 00000ad7 illiseconds..... ff70: 02231808 5f737973 636c6b5f 6368616e .#.._sysclk_chan ff80: 67650000 00011102 231c085f 636c6f63 ge......#.._cloc ff90: 6b5f7469 636b0000 00011102 23200006 k_tick......# .. ffa0: 000001b5 01030000 0bc00400 09415f6f .............A_o ffb0: 6c645f69 6e74725f 74000000 01b50600 ld_intr_t....... ffc0: 000bcd01 0300000b df040002 01030000 ................ ffd0: 0bec0400 02010300 000bf504 00060000 ................ ffe0: 01b50103 00000bfe 04000941 5f697372 ...........A_isr fff0: 5f740000 000c0402 01030000 0c180400 _t.............. 10000: 06000004 10010300 000c2104 00020103 ..........!..... 10010: 00000c2e 04000769 6e74725f 61706900 .......intr_api. 10020: 2c00000d 50085f69 6e74725f 696e6974 ,...P._intr_init 10030: 00000001 11022300 085f696e 74725f69 ......#.._intr_i 10040: 6e766f6b 655f6973 72000000 0bc60223 nvoke_isr......# 10050: 04085f69 6e74725f 64697361 626c6500 .._intr_disable. 10060: 00000be5 02230808 5f696e74 725f7265 .....#.._intr_re 10070: 73746f72 65000000 0bee0223 0c085f69 store......#.._i 10080: 6e74725f 6d61736b 5f696e75 6d000000 ntr_mask_inum... 10090: 0bf70223 10085f69 6e74725f 756e6d61 ...#.._intr_unma 100a0: 736b5f69 6e756d00 00000bf7 02231408 sk_inum......#.. 100b0: 5f696e74 725f6174 74616368 5f697372 _intr_attach_isr 100c0: 0000000c 1a022318 085f6765 745f696e ......#.._get_in 100d0: 7472656e 61626c65 0000000c 2702231c trenable....'.#. 100e0: 085f7365 745f696e 7472656e 61626c65 ._set_intrenable 100f0: 0000000c 30022320 085f6765 745f696e ....0.# ._get_in 10100: 74727065 6e64696e 67000000 0c270223 trpending....'.# 10110: 24085f75 6e626c6f 636b5f61 6c6c5f69 $._unblock_all_i 10120: 6e74726c 766c0000 00011102 23280011 ntrlvl......#(.. 10130: 0400000d 76087469 6d656f75 74000000 ....v.timeout... 10140: 01b50223 00086163 74696f6e 00000001 ...#..action.... 10150: b5022300 00120800 000d9108 636d6400 ..#.........cmd. 10160: 000001b5 02230013 00000d50 02230400 .....#.....P.#.. 10170: 09545f57 44545f43 4d440000 000d7602 .T_WDT_CMD....v. 10180: 01030000 0da00400 14040000 0df60e45 ...............E 10190: 4e554d5f 5744545f 424f4f54 00010e45 NUM_WDT_BOOT...E 101a0: 4e554d5f 434f4c44 5f424f4f 5400020e NUM_COLD_BOOT... 101b0: 454e554d 5f535553 505f424f 4f540003 ENUM_SUSP_BOOT.. 101c0: 0e454e55 4d5f554e 4b4e4f57 4e5f424f .ENUM_UNKNOWN_BO 101d0: 4f540004 0009545f 424f4f54 5f545950 OT....T_BOOT_TYP 101e0: 45000000 0da90600 000df601 0300000e E............... 101f0: 07040007 7764745f 61706900 1c00000e ....wdt_api..... 10200: ab085f77 64745f69 6e697400 00000111 .._wdt_init..... 10210: 02230008 5f776474 5f656e61 626c6500 .#.._wdt_enable. 10220: 00000111 02230408 5f776474 5f646973 .....#.._wdt_dis 10230: 61626c65 00000001 11022308 085f7764 able......#.._wd 10240: 745f7365 74000000 0da20223 0c085f77 t_set......#.._w 10250: 64745f74 61736b00 00000111 02231008 dt_task......#.. 10260: 5f776474 5f726573 65740000 00011102 _wdt_reset...... 10270: 2314085f 7764745f 6c617374 5f626f6f #.._wdt_last_boo 10280: 74000000 0e0d0223 18001404 00000f12 t......#........ 10290: 0e524554 5f535543 43455353 00000e52 .RET_SUCCESS...R 102a0: 45545f4e 4f545f49 4e495400 010e5245 ET_NOT_INIT...RE 102b0: 545f4e4f 545f4558 49535400 020e5245 T_NOT_EXIST...RE 102c0: 545f4545 505f434f 52525550 5400030e T_EEP_CORRUPT... 102d0: 5245545f 4545505f 4f564552 464c4f57 RET_EEP_OVERFLOW 102e0: 00040e52 45545f55 4e4b4e4f 574e0005 ...RET_UNKNOWN.. 102f0: 0009545f 4545505f 52455400 00000eab ..T_EEP_RET..... 10300: 03000001 92040006 00000f12 01030000 ................ 10310: 0f280400 0600000f 12010300 000f3504 .(............5. 10320: 00076565 705f6170 69001000 000f9e08 ..eep_api....... 10330: 5f656570 5f696e69 74000000 01110223 _eep_init......# 10340: 00085f65 65705f72 65616400 00000f2e .._eep_read..... 10350: 02230408 5f656570 5f777269 74650000 .#.._eep_write.. 10360: 000f2e02 2308085f 6565705f 69735f65 ....#.._eep_is_e 10370: 78697374 0000000f 3b02230c 00077573 xist....;.#...us 10380: 625f6170 69007000 00124b08 5f757362 b_api.p...K._usb 10390: 5f696e69 74000000 01110223 00085f75 _init......#.._u 103a0: 73625f72 6f6d5f74 61736b00 00000111 sb_rom_task..... 103b0: 02230408 5f757362 5f66775f 7461736b .#.._usb_fw_task 103c0: 00000001 11022308 085f7573 625f696e ......#.._usb_in 103d0: 69745f70 68790000 00011102 230c085f it_phy......#.._ 103e0: 7573625f 6570305f 73657475 70000000 usb_ep0_setup... 103f0: 01110223 10085f75 73625f65 70305f74 ...#.._usb_ep0_t 10400: 78000000 01110223 14085f75 73625f65 x......#.._usb_e 10410: 70305f72 78000000 01110223 18085f75 p0_rx......#.._u 10420: 73625f67 65745f69 6e746572 66616365 sb_get_interface 10430: 00000008 1d02231c 085f7573 625f7365 ......#.._usb_se 10440: 745f696e 74657266 61636500 0000081d t_interface..... 10450: 02232008 5f757362 5f676574 5f636f6e .# ._usb_get_con 10460: 66696775 72617469 6f6e0000 00081d02 figuration...... 10470: 2324085f 7573625f 7365745f 636f6e66 #$._usb_set_conf 10480: 69677572 6174696f 6e000000 081d0223 iguration......# 10490: 28085f75 73625f73 74616e64 6172645f (._usb_standard_ 104a0: 636d6400 0000081d 02232c08 5f757362 cmd......#,._usb 104b0: 5f76656e 646f725f 636d6400 00000111 _vendor_cmd..... 104c0: 02233008 5f757362 5f706f77 65725f6f .#0._usb_power_o 104d0: 66660000 00011102 2334085f 7573625f ff......#4._usb_ 104e0: 72657365 745f6669 666f0000 00011102 reset_fifo...... 104f0: 2338085f 7573625f 67656e5f 77647400 #8._usb_gen_wdt. 10500: 00000111 02233c08 5f757362 5f6a756d .....#<._usb_jum 10510: 705f626f 6f740000 00011102 2340085f p_boot......#@._ 10520: 7573625f 636c725f 66656174 75726500 usb_clr_feature. 10530: 0000081d 02234408 5f757362 5f736574 .....#D._usb_set 10540: 5f666561 74757265 00000008 1d022348 _feature......#H 10550: 085f7573 625f7365 745f6164 64726573 ._usb_set_addres 10560: 73000000 081d0223 4c085f75 73625f67 s......#L._usb_g 10570: 65745f64 65736372 6970746f 72000000 et_descriptor... 10580: 081d0223 50085f75 73625f67 65745f73 ...#P._usb_get_s 10590: 74617475 73000000 081d0223 54085f75 tatus......#T._u 105a0: 73625f73 65747570 5f646573 63000000 sb_setup_desc... 105b0: 01110223 58085f75 73625f72 65675f6f ...#X._usb_reg_o 105c0: 75740000 00011102 235c085f 7573625f ut......#\._usb_ 105d0: 73746174 75735f69 6e000000 01110223 status_in......# 105e0: 60085f75 73625f65 70305f74 785f6461 `._usb_ep0_tx_da 105f0: 74610000 00011102 2364085f 7573625f ta......#d._usb_ 10600: 6570305f 72785f64 61746100 00000111 ep0_rx_data..... 10610: 02236808 5f757362 5f636c6b 5f696e69 .#h._usb_clk_ini 10620: 74000000 01110223 6c00075f 56444553 t......#l.._VDES 10630: 43002400 0012d708 6e657874 5f646573 C.$.....next_des 10640: 63000000 12d70223 00086275 665f6164 c......#..buf_ad 10650: 64720000 0012eb02 23040862 75665f73 dr......#..buf_s 10660: 697a6500 000012f2 02230808 64617461 ize......#..data 10670: 5f6f6666 73657400 000012f2 02230a08 _offset......#.. 10680: 64617461 5f73697a 65000000 12f20223 data_size......# 10690: 0c08636f 6e74726f 6c000000 12f20223 ..control......# 106a0: 0e086877 5f646573 635f6275 66000000 ..hw_desc_buf... 106b0: 13000223 10000300 00124b04 0009415f ...#......K...A_ 106c0: 55494e54 38000000 03250300 0012de04 UINT8....%...... 106d0: 0009415f 55494e54 31360000 00017c0f ..A_UINT16....|. 106e0: 000012de 14000013 0d101300 03000012 ................ 106f0: 4b040009 56444553 43000000 124b0300 K...VDESC....K.. 10700: 00131404 00060000 131f0103 00001326 ...............& 10710: 04000600 0012eb01 03000013 33040002 ............3... 10720: 01030000 13400400 07766465 73635f61 .....@...vdesc_a 10730: 70690014 000013b8 085f696e 69740000 pi......._init.. 10740: 000ae002 2300085f 616c6c6f 635f7664 ....#.._alloc_vd 10750: 65736300 0000132c 02230408 5f676574 esc....,.#.._get 10760: 5f68775f 64657363 00000013 39022308 _hw_desc....9.#. 10770: 085f7377 61705f76 64657363 00000013 ._swap_vdesc.... 10780: 4202230c 08705265 73657276 65640000 B.#..pReserved.. 10790: 00040d02 23100007 5f564255 46002000 ....#..._VBUF. . 107a0: 00141808 64657363 5f6c6973 74000000 ....desc_list... 107b0: 131f0223 00086e65 78745f62 75660000 ...#..next_buf.. 107c0: 00141802 23040862 75665f6c 656e6774 ....#..buf_lengt 107d0: 68000000 12f20223 08087265 73657276 h......#..reserv 107e0: 65640000 00141f02 230a0863 74780000 ed......#..ctx.. 107f0: 00130002 230c0003 000013b8 04000f00 ....#........... 10800: 0012de02 0000142c 10010003 000013b8 .......,........ 10810: 04000956 42554600 000013b8 03000014 ...VBUF......... 10820: 33040006 0000143d 01030000 14440400 3......=.....D.. 10830: 06000014 3d010300 00145104 00020103 ....=.....Q..... 10840: 0000145e 04000776 6275665f 61706900 ...^...vbuf_api. 10850: 14000014 dc085f69 6e697400 00000ae0 ......_init..... 10860: 02230008 5f616c6c 6f635f76 62756600 .#.._alloc_vbuf. 10870: 0000144a 02230408 5f616c6c 6f635f76 ...J.#.._alloc_v 10880: 6275665f 77697468 5f73697a 65000000 buf_with_size... 10890: 14570223 08085f66 7265655f 76627566 .W.#.._free_vbuf 108a0: 00000014 6002230c 08705265 73657276 ....`.#..pReserv 108b0: 65640000 00040d02 23100007 5f5f6164 ed......#...__ad 108c0: 665f6465 76696365 00040000 14fe0864 f_device.......d 108d0: 756d6d79 00000001 18022300 00030000 ummy......#..... 108e0: 09240400 075f5f61 64665f64 6d615f6d .$...__adf_dma_m 108f0: 6170000c 00001545 08627566 00000014 ap.....E.buf.... 10900: 3d022300 0864735f 61646472 00000014 =.#..ds_addr.... 10910: fe022304 0864735f 6c656e00 000012f2 ..#..ds_len..... 10920: 02230800 120c0000 157f085f 5f76615f .#.........__va_ 10930: 73746b00 0000037b 02230008 5f5f7661 stk....{.#..__va 10940: 5f726567 00000003 7b022304 085f5f76 _reg....{.#..__v 10950: 615f6e64 78000000 01180223 0800095f a_ndx......#..._ 10960: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr 10970: 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d 10980: 6d615f61 6464725f 74000000 157f095f ma_addr_t......_ 10990: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size 109a0: 5f740000 00092409 6164665f 6f735f64 _t....$.adf_os_d 109b0: 6d615f73 697a655f 74000000 15af075f ma_size_t......_ 109c0: 5f646d61 5f736567 73000800 00160b08 _dma_segs....... 109d0: 70616464 72000000 15980223 00086c65 paddr......#..le 109e0: 6e000000 15c80223 0400095f 5f615f75 n......#...__a_u 109f0: 696e7433 325f7400 00000924 09615f75 int32_t....$.a_u 10a00: 696e7433 325f7400 0000160b 0f000015 int32_t......... 10a10: df080000 163a1000 00076164 665f6f73 .....:....adf_os 10a20: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... 10a30: 1673086e 73656773 00000016 1d022300 .s.nsegs......#. 10a40: 08646d61 5f736567 73000000 162d0223 .dma_segs....-.# 10a50: 0400095f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. 10a60: 0012de09 615f7569 6e74385f 74000000 ....a_uint8_t... 10a70: 16730300 00168404 00075f5f 73675f73 .s........__sg_s 10a80: 65677300 08000016 c5087661 64647200 egs.......vaddr. 10a90: 00001693 02230008 6c656e00 0000161d .....#..len..... 10aa0: 02230400 0f000016 9a200000 16d21003 .#....... ...... 10ab0: 00076164 665f6f73 5f73676c 69737400 ..adf_os_sglist. 10ac0: 24000017 05086e73 65677300 0000161d $.....nsegs..... 10ad0: 02230008 73675f73 65677300 000016c5 .#..sg_segs..... 10ae0: 02230400 12100000 174e0876 656e646f .#.......N.vendo 10af0: 72000000 161d0223 00086465 76696365 r......#..device 10b00: 00000016 1d022304 08737562 76656e64 ......#..subvend 10b10: 6f720000 00161d02 23080873 75626465 or......#..subde 10b20: 76696365 00000016 1d02230c 00046c6f vice......#...lo 10b30: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned 10b40: 20696e74 00070809 415f5549 4e543634 int....A_UINT64 10b50: 00000017 4e095f5f 615f7569 6e743634 ....N.__a_uint64 10b60: 5f740000 00176809 615f7569 6e743634 _t....h.a_uint64 10b70: 5f740000 00177614 04000017 d40e4144 _t....v.......AD 10b80: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY 10b90: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ 10ba0: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO 10bb0: 00010009 6164665f 6f735f72 65736f75 ....adf_os_resou 10bc0: 7263655f 74797065 5f740000 00179812 rce_type_t...... 10bd0: 18000018 1e087374 61727400 00001788 ......start..... 10be0: 02230008 656e6400 00001788 02230808 .#..end......#.. 10bf0: 74797065 00000017 d4022310 00096164 type......#...ad 10c00: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ 10c10: 74000000 17050300 00181e04 00110400 t............... 10c20: 00185d08 70636900 00001837 02230008 ..].pci....7.#.. 10c30: 72617700 0000040d 02230000 11100000 raw......#...... 10c40: 187c0870 63690000 00181e02 23000872 .|.pci......#..r 10c50: 61770000 00040d02 23000009 6164665f aw......#...adf_ 10c60: 6472765f 68616e64 6c655f74 00000004 drv_handle_t.... 10c70: 0d096164 665f6f73 5f726573 6f757263 ..adf_os_resourc 10c80: 655f7400 000017f0 03000018 92040009 e_t............. 10c90: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da 10ca0: 74615f74 00000018 5d030000 18b00400 ta_t....]....... 10cb0: 03000014 dc040009 5f5f6164 665f6f73 ........__adf_os 10cc0: 5f646576 6963655f 74000000 18d10961 _device_t......a 10cd0: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. 10ce0: 0018d806 0000187c 01030000 19040400 .......|........ 10cf0: 02010300 00191104 00096164 665f6f73 ..........adf_os 10d00: 5f706d5f 74000000 040d0201 03000019 _pm_t........... 10d10: 2b040014 04000019 6b0e4144 465f4f53 +.......k.ADF_OS 10d20: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... 10d30: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 10d40: 47454e45 52494300 02000961 64665f6f GENERIC....adf_o 10d50: 735f6275 735f7479 70655f74 00000019 s_bus_type_t.... 10d60: 34096164 665f6f73 5f627573 5f726567 4.adf_os_bus_reg 10d70: 5f646174 615f7400 0000183e 03000003 _data_t....>.... 10d80: 25040007 5f616466 5f647276 5f696e66 %..._adf_drv_inf 10d90: 6f002000 001a4808 6472765f 61747461 o. ...H.drv_atta 10da0: 63680000 00190a02 23000864 72765f64 ch......#..drv_d 10db0: 65746163 68000000 19130223 04086472 etach......#..dr 10dc0: 765f7375 7370656e 64000000 192d0223 v_suspend....-.# 10dd0: 08086472 765f7265 73756d65 00000019 ..drv_resume.... 10de0: 1302230c 08627573 5f747970 65000000 ..#..bus_type... 10df0: 196b0223 10086275 735f6461 74610000 .k.#..bus_data.. 10e00: 00198202 2314086d 6f645f6e 616d6500 ....#..mod_name. 10e10: 0000199d 02231808 69666e61 6d650000 .....#..ifname.. 10e20: 00199d02 231c0009 6164665f 6f735f68 ....#...adf_os_h 10e30: 616e646c 655f7400 0000040d 03000016 andle_t......... 10e40: 73040002 01020109 5f5f6164 665f6f73 s.......__adf_os 10e50: 5f73697a 655f7400 00000410 14040000 _size_t......... 10e60: 1a970e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T 10e70: 52554500 01000961 5f626f6f 6c5f7400 RUE....a_bool_t. 10e80: 00001a7d 03000015 05040009 5f5f6164 ...}........__ad 10e90: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 10ea0: 001aa502 010d6164 665f6f73 5f636163 ......adf_os_cac 10eb0: 68655f73 796e6300 0400001b 2f0e4144 he_sync...../.AD 10ec0: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. 10ed0: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI 10ee0: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO 10ef0: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN 10f00: 435f504f 53545752 49544500 03000961 C_POSTWRITE....a 10f10: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 10f20: 5f740000 001ac602 01096164 665f6f73 _t........adf_os 10f30: 5f73697a 655f7400 00001a68 0600001b _size_t....h.... 10f40: 4a010961 64665f6f 735f646d 615f6d61 J..adf_os_dma_ma 10f50: 705f7400 00001aac 0300001b 63040006 p_t.........c... 10f60: 0000040d 01030000 1aac0400 06000004 ................ 10f70: 0d010201 06000015 98010201 0473686f .............sho 10f80: 72742069 6e740005 0209415f 494e5431 rt int....A_INT1 10f90: 36000000 1b9d095f 5f615f69 6e743136 6......__a_int16 10fa0: 5f740000 001baa09 615f696e 7431365f _t......a_int16_ 10fb0: 74000000 1bb70473 69676e65 64206368 t......signed ch 10fc0: 61720005 0109415f 494e5438 0000001b ar....A_INT8.... 10fd0: d7095f5f 615f696e 74385f74 0000001b ..__a_int8_t.... 10fe0: e609615f 696e7438 5f740000 001bf212 ..a_int8_t...... 10ff0: 0c00001c 69087375 70706f72 74656400 ....i.supported. 11000: 0000161d 02230008 61647665 7274697a .....#..advertiz 11010: 65640000 00161d02 23040873 70656564 ed......#..speed 11020: 0000001b c8022308 08647570 6c657800 ......#..duplex. 11030: 00001c02 02230a08 6175746f 6e656700 .....#..autoneg. 11040: 00001684 02230b00 0f000016 84060000 .....#.......... 11050: 1c761005 00076164 665f6e65 745f6574 .v....adf_net_et 11060: 68616464 72000600 001c9a08 61646472 haddr.......addr 11070: 0000001c 69022300 00095f5f 615f7569 ....i.#...__a_ui 11080: 6e743136 5f740000 0012f209 615f7569 nt16_t......a_ui 11090: 6e743136 5f740000 001c9a12 0e00001c nt16_t.......... 110a0: fe086574 6865725f 64686f73 74000000 ..ether_dhost... 110b0: 1c690223 00086574 6865725f 73686f73 .i.#..ether_shos 110c0: 74000000 1c690223 06086574 6865725f t....i.#..ether_ 110d0: 74797065 0000001c ac02230c 00121400 type......#..... 110e0: 001dbf15 69705f76 65727369 6f6e0000 ....ip_version.. 110f0: 00168401 00040223 00156970 5f686c00 .......#..ip_hl. 11100: 00001684 01040402 23000869 705f746f ........#..ip_to 11110: 73000000 16840223 01086970 5f6c656e s......#..ip_len 11120: 0000001c ac022302 0869705f 69640000 ......#..ip_id.. 11130: 001cac02 23040869 705f6672 61675f6f ....#..ip_frag_o 11140: 66660000 001cac02 23060869 705f7474 ff......#..ip_tt 11150: 6c000000 16840223 08086970 5f70726f l......#..ip_pro 11160: 746f0000 00168402 23090869 705f6368 to......#..ip_ch 11170: 65636b00 00001cac 02230a08 69705f73 eck......#..ip_s 11180: 61646472 00000016 1d02230c 0869705f addr......#..ip_ 11190: 64616464 72000000 161d0223 10000761 daddr......#...a 111a0: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. 111b0: 00001e11 08747069 64000000 1cac0223 .....tpid......# 111c0: 00157072 696f0000 00168401 00030223 ..prio.........# 111d0: 02156366 69000000 16840103 01022302 ..cfi.........#. 111e0: 15766964 0000001c ac02040c 02230200 .vid.........#.. 111f0: 07616466 5f6e6574 5f766964 00020000 .adf_net_vid.... 11200: 1e421572 65730000 00168401 00040223 .B.res.........# 11210: 00157661 6c000000 1cac0204 0c022300 ..val.........#. 11220: 00120c00 001e7e08 72785f62 75667369 ......~.rx_bufsi 11230: 7a650000 00161d02 23000872 785f6e64 ze......#..rx_nd 11240: 65736300 0000161d 02230408 74785f6e esc......#..tx_n 11250: 64657363 00000016 1d022308 00120800 desc......#..... 11260: 001ea408 706f6c6c 65640000 001a9702 ....polled...... 11270: 23000870 6f6c6c5f 77740000 00161d02 #..poll_wt...... 11280: 2304000f 00001684 4000001e b1103f00 #.......@.....?. 11290: 12460000 1ed90869 665f6e61 6d650000 .F.....if_name.. 112a0: 001ea402 23000864 65765f61 64647200 ....#..dev_addr. 112b0: 00001c69 02234000 14040000 1f100e41 ...i.#@........A 112c0: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 112d0: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM 112e0: 415f4d41 534b5f36 34424954 00010009 A_MASK_64BIT.... 112f0: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ 11300: 74000000 1ed90761 64665f64 6d615f69 t......adf_dma_i 11310: 6e666f00 0800001f 5d08646d 615f6d61 nfo.....].dma_ma 11320: 736b0000 001f1002 23000873 675f6e73 sk......#..sg_ns 11330: 65677300 0000161d 02230400 14040000 egs......#...... 11340: 1fb30e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 11350: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ 11360: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 11370: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS 11380: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. 11390: 02000961 64665f6e 65745f63 6b73756d ...adf_net_cksum 113a0: 5f747970 655f7400 00001f5d 12080000 _type_t....].... 113b0: 1ff60874 785f636b 73756d00 00001fb3 ...tx_cksum..... 113c0: 02230008 72785f63 6b73756d 0000001f .#..rx_cksum.... 113d0: b3022304 00096164 665f6e65 745f636b ..#...adf_net_ck 113e0: 73756d5f 696e666f 5f740000 001fcd14 sum_info_t...... 113f0: 04000020 4f0e4144 465f4e45 545f5453 ... O.ADF_NET_TS 11400: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET 11410: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ 11420: 4e45545f 54534f5f 414c4c00 02000961 NET_TSO_ALL....a 11430: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ 11440: 74000000 20101210 000020a3 08636b73 t... ..... ..cks 11450: 756d5f63 61700000 001ff602 23000874 um_cap......#..t 11460: 736f0000 00204f02 23080876 6c616e5f so... O.#..vlan_ 11470: 73757070 6f727465 64000000 16840223 supported......# 11480: 0c001220 0000213c 0874785f 7061636b ... ..!<.tx_pack 11490: 65747300 0000161d 02230008 72785f70 ets......#..rx_p 114a0: 61636b65 74730000 00161d02 23040874 ackets......#..t 114b0: 785f6279 74657300 0000161d 02230808 x_bytes......#.. 114c0: 72785f62 79746573 00000016 1d02230c rx_bytes......#. 114d0: 0874785f 64726f70 70656400 0000161d .tx_dropped..... 114e0: 02231008 72785f64 726f7070 65640000 .#..rx_dropped.. 114f0: 00161d02 23140872 785f6572 726f7273 ....#..rx_errors 11500: 00000016 1d022318 0874785f 6572726f ......#..tx_erro 11510: 72730000 00161d02 231c0009 6164665f rs......#...adf_ 11520: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... 11530: 1c761600 00213c03 00000021 61107f00 .v...!<....!a... 11540: 17616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 11550: 64647200 03040000 2198086e 656c656d ddr.....!..nelem 11560: 00000016 1d022300 086d6361 73740000 ......#..mcast.. 11570: 00215302 23040009 6164665f 6e65745f .!S.#...adf_net_ 11580: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. 11590: 00001c10 09616466 5f6e6574 5f636d64 .....adf_net_cmd 115a0: 5f706f6c 6c5f696e 666f5f74 0000001e _poll_info_t.... 115b0: 7e096164 665f6e65 745f636d 645f636b ~.adf_net_cmd_ck 115c0: 73756d5f 696e666f 5f740000 001ff609 sum_info_t...... 115d0: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring 115e0: 5f696e66 6f5f7400 00001e42 09616466 _info_t....B.adf 115f0: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf 11600: 6f5f7400 00001f27 09616466 5f6e6574 o_t....'.adf_net 11610: 5f636d64 5f766964 5f740000 001cac09 _cmd_vid_t...... 11620: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl 11630: 6f61645f 6361705f 74000000 20670961 oad_cap_t... g.a 11640: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats 11650: 5f740000 0020a309 6164665f 6e65745f _t... ..adf_net_ 11660: 636d645f 6d636164 64725f74 00000021 cmd_mcaddr_t...! 11670: 610d6164 665f6e65 745f636d 645f6d63 a.adf_net_cmd_mc 11680: 6173745f 63617000 04000022 da0e4144 ast_cap...."..AD 11690: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. 116a0: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 116b0: 4e4f5453 55500001 00096164 665f6e65 NOTSUP....adf_ne 116c0: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ 116d0: 74000000 22921803 04000023 ac086c69 t..."......#..li 116e0: 6e6b5f69 6e666f00 00002198 02230008 nk_info...!..#.. 116f0: 706f6c6c 5f696e66 6f000000 21b50223 poll_info...!..# 11700: 0008636b 73756d5f 696e666f 00000021 ..cksum_info...! 11710: d2022300 0872696e 675f696e 666f0000 ..#..ring_info.. 11720: 0021f002 23000864 6d615f69 6e666f00 .!..#..dma_info. 11730: 0000220d 02230008 76696400 00002229 .."..#..vid...") 11740: 02230008 6f66666c 6f61645f 63617000 .#..offload_cap. 11750: 00002240 02230008 73746174 73000000 .."@.#..stats... 11760: 225f0223 00086d63 6173745f 696e666f "_.#..mcast_info 11770: 00000022 78022300 086d6361 73745f63 ..."x.#..mcast_c 11780: 61700000 0022da02 23000014 04000024 ap..."..#......$ 11790: 030e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 117a0: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 117b0: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. 117c0: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 117d0: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. 117e0: 02000961 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c 117f0: 6b73756d 5f747970 655f7400 000023ac ksum_type_t...#. 11800: 12080000 24430872 6573756c 74000000 ....$C.result... 11810: 24030223 00087661 6c000000 161d0223 $..#..val......# 11820: 04001208 00002473 08747970 65000000 ......$s.type... 11830: 204f0223 00086d73 73000000 1cac0223 O.#..mss......# 11840: 04086864 725f6f66 66000000 16840223 ..hdr_off......# 11850: 0600075f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 11860: 65616400 0c000024 b2086865 61640000 ead....$..head.. 11870: 00143d02 23000874 61696c00 0000143d ..=.#..tail....= 11880: 02230408 716c656e 00000016 1d022308 .#..qlen......#. 11890: 00095f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. 118a0: 00143d03 00001693 04000300 00161d04 ..=............. 118b0: 00020106 0000131f 01060000 161d0106 ................ 118c0: 00001693 01060000 16930103 00001300 ................ 118d0: 0400095f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 118e0: 6561645f 74000000 2473095f 5f616466 ead_t...$s.__adf 118f0: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 11900: 24f30300 00250b04 00060000 24b20106 $....%......$... 11910: 000024b2 01140400 00262b0e 415f5354 ..$......&+.A_ST 11920: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT 11930: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST 11940: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ 11950: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... 11960: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. 11970: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR 11980: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU 11990: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S 119a0: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ 119b0: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A 119c0: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT 119d0: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS 119e0: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU 119f0: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA 11a00: 5455535f 45494f00 0c000961 5f737461 TUS_EIO....a_sta 11a10: 7475735f 74000000 25360600 00262b01 tus_t...%6...&+. 11a20: 06000001 18010201 09616466 5f6e6275 .........adf_nbu 11a30: 665f7400 000024b2 14040000 26900e41 f_t...$.....&..A 11a40: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV 11a50: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA 11a60: 5f46524f 4d5f4445 56494345 00010009 _FROM_DEVICE.... 11a70: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t 11a80: 00000026 59060000 262b0102 01096164 ...&Y...&+....ad 11a90: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 11aa0: 5f740000 00163a03 000026ae 04000201 _t....:...&..... 11ab0: 02010600 00264901 06000024 b2010201 .....&I....$.... 11ac0: 02010600 00264901 06000024 b2010600 .....&I....$.... 11ad0: 00264901 06000024 b2010600 00264901 .&I....$.....&I. 11ae0: 02010201 06000016 1d010600 00169301 ................ 11af0: 02010201 0600001b 4a010600 001a9701 ........J....... 11b00: 0600001a 97010961 64665f6f 735f7367 .......adf_os_sg 11b10: 6c697374 5f740000 0016d203 00002727 list_t........'' 11b20: 04000201 02010201 06000016 93010961 ...............a 11b30: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 11b40: 0000250b 03000027 4f040002 01030000 ..%....'O....... 11b50: 24f30400 02010201 02010600 00264901 $............&I. 11b60: 06000024 b2010600 00161d01 06000016 ...$............ 11b70: 1d010600 001a9701 0600001a 97010600 ................ 11b80: 001fb301 06000016 1d010961 64665f6e ...........adf_n 11b90: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. 11ba0: 00242103 000027ab 04000201 02010961 .$!...'........a 11bb0: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... 11bc0: 24430300 0027cf04 00020102 01096164 $C...'........ad 11bd0: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. 11be0: 00040d09 6164665f 6e65745f 766c616e ....adf_net_vlan 11bf0: 6864725f 74000000 1dbf0300 00280404 hdr_t........(.. 11c00: 00060000 262b0106 0000262b 01020102 ....&+....&+.... 11c10: 01075f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... 11c20: 00285308 64756d6d 79000000 01180223 .(S.dummy......# 11c30: 00000201 03000028 53040002 01030000 .......(S....... 11c40: 285c0400 075f4849 465f4341 4c4c4241 (\..._HIF_CALLBA 11c50: 434b000c 000028b1 0873656e 645f6275 CK....(..send_bu 11c60: 665f646f 6e650000 00285502 23000872 f_done...(U.#..r 11c70: 6563765f 62756600 0000285e 02230408 ecv_buf...(^.#.. 11c80: 636f6e74 65787400 0000040d 02230800 context......#.. 11c90: 09686966 5f68616e 646c655f 74000000 .hif_handle_t... 11ca0: 040d0948 49465f43 4f4e4649 47000000 ...HIF_CONFIG... 11cb0: 28320300 0028c304 00060000 28b10103 (2...(......(... 11cc0: 000028da 04000201 03000028 e7040009 ..(........(.... 11cd0: 4849465f 43414c4c 4241434b 00000028 HIF_CALLBACK...( 11ce0: 65030000 28f00400 02010300 00290904 e...(........).. 11cf0: 00060000 01180103 00002912 04000201 ..........)..... 11d00: 03000029 1f040006 00000118 01030000 ...)............ 11d10: 29280400 02010300 00293504 00060000 )(.......)5..... 11d20: 01180103 0000293e 04000201 03000029 ......)>.......) 11d30: 4b040007 6869665f 61706900 3800002a K...hif_api.8..* 11d40: a4085f69 6e697400 000028e0 02230008 .._init...(..#.. 11d50: 5f736875 74646f77 6e000000 28e90223 _shutdown...(..# 11d60: 04085f72 65676973 7465725f 63616c6c .._register_call 11d70: 6261636b 00000029 0b022308 085f6765 back...)..#.._ge 11d80: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c 11d90: 6f756e74 00000029 1802230c 085f7374 ount...)..#.._st 11da0: 61727400 000028e9 02231008 5f636f6e art...(..#.._con 11db0: 6669675f 70697065 00000029 21022314 fig_pipe...)!.#. 11dc0: 085f7365 6e645f62 75666665 72000000 ._send_buffer... 11dd0: 292e0223 18085f72 65747572 6e5f7265 )..#.._return_re 11de0: 63765f62 75660000 00293702 231c085f cv_buf...)7.#.._ 11df0: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte 11e00: 64000000 29440223 20085f67 65745f6d d...)D.# ._get_m 11e10: 61785f6d 73675f6c 656e0000 00294402 ax_msg_len...)D. 11e20: 2324085f 6765745f 72657365 72766564 #$._get_reserved 11e30: 5f686561 64726f6f 6d000000 29180223 _headroom...)..# 11e40: 28085f69 73725f68 616e646c 65720000 (._isr_handler.. 11e50: 0028e902 232c085f 6765745f 64656661 .(..#,._get_defa 11e60: 756c745f 70697065 00000029 4d022330 ult_pipe...)M.#0 11e70: 08705265 73657276 65640000 00040d02 .pReserved...... 11e80: 2334000d 646d615f 656e6769 6e650004 #4..dma_engine.. 11e90: 00002b2d 0e444d41 5f454e47 494e455f ..+-.DMA_ENGINE_ 11ea0: 52583000 000e444d 415f454e 47494e45 RX0...DMA_ENGINE 11eb0: 5f525831 00010e44 4d415f45 4e47494e _RX1...DMA_ENGIN 11ec0: 455f5258 3200020e 444d415f 454e4749 E_RX2...DMA_ENGI 11ed0: 4e455f52 58330003 0e444d41 5f454e47 NE_RX3...DMA_ENG 11ee0: 494e455f 54583000 040e444d 415f454e INE_TX0...DMA_EN 11ef0: 47494e45 5f545831 00050e44 4d415f45 GINE_TX1...DMA_E 11f00: 4e47494e 455f4d41 58000600 09646d61 NGINE_MAX....dma 11f10: 5f656e67 696e655f 74000000 2aa40d64 _engine_t...*..d 11f20: 6d615f69 66747970 65000400 002b7a0e ma_iftype....+z. 11f30: 444d415f 49465f47 4d414300 000e444d DMA_IF_GMAC...DM 11f40: 415f4946 5f504349 00010e44 4d415f49 A_IF_PCI...DMA_I 11f50: 465f5043 49450002 0009646d 615f6966 F_PCIE....dma_if 11f60: 74797065 5f740000 002b3f06 000012f2 type_t...+?..... 11f70: 01030000 2b8c0400 02010300 002b9904 ....+........+.. 11f80: 00020103 00002ba2 04000600 00092401 ......+.......$. 11f90: 0300002b ab040006 000012f2 01030000 ...+............ 11fa0: 2bb80400 06000012 f2010300 002bc504 +............+.. 11fb0: 00060000 143d0103 00002bd2 04000201 .....=....+..... 11fc0: 0300002b df040007 646d615f 6c69625f ...+....dma_lib_ 11fd0: 61706900 3400002c e6087478 5f696e69 api.4..,..tx_ini 11fe0: 74000000 2b920223 00087478 5f737461 t...+..#..tx_sta 11ff0: 72740000 002b9b02 23040872 785f696e rt...+..#..rx_in 12000: 69740000 002b9202 23080872 785f636f it...+..#..rx_co 12010: 6e666967 0000002b a402230c 0872785f nfig...+..#..rx_ 12020: 73746172 74000000 2b9b0223 1008696e start...+..#..in 12030: 74725f73 74617475 73000000 2bb10223 tr_status...+..# 12040: 14086861 72645f78 6d697400 00002bbe ..hard_xmit...+. 12050: 02231808 666c7573 685f786d 69740000 .#..flush_xmit.. 12060: 002b9b02 231c0878 6d69745f 646f6e65 .+..#..xmit_done 12070: 0000002b cb022320 08726561 705f786d ...+..# .reap_xm 12080: 69747465 64000000 2bd80223 24087265 itted...+..#$.re 12090: 61705f72 65637600 00002bd8 02232808 ap_recv...+..#(. 120a0: 72657475 726e5f72 65637600 00002be1 return_recv...+. 120b0: 02232c08 72656376 5f706b74 0000002b .#,.recv_pkt...+ 120c0: cb022330 00075f5f 7063695f 736f6674 ..#0..__pci_soft 120d0: 63000c00 002d0408 73770000 0028f002 c....-..sw...(.. 120e0: 23000009 5f5f7063 695f736f 6674635f #...__pci_softc_ 120f0: 74000000 2ce60300 002d0404 00020103 t...,....-...... 12100: 00002d1e 04000600 0012de01 0300002d ..-............- 12110: 2704000d 6869665f 7063695f 70697065 '...hif_pci_pipe 12120: 5f747800 0400002d 870e4849 465f5043 _tx....-..HIF_PC 12130: 495f5049 50455f54 58300000 0e484946 I_PIPE_TX0...HIF 12140: 5f504349 5f504950 455f5458 3100010e _PCI_PIPE_TX1... 12150: 4849465f 5043495f 50495045 5f54585f HIF_PCI_PIPE_TX_ 12160: 4d415800 02000968 69665f70 63695f70 MAX....hif_pci_p 12170: 6970655f 74785f74 0000002d 34060000 ipe_tx_t...-4... 12180: 2b2d0103 00002d9e 04000d68 69665f70 +-....-....hif_p 12190: 63695f70 6970655f 72780004 00002e24 ci_pipe_rx.....$ 121a0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 121b0: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE 121c0: 5f525831 00010e48 49465f50 43495f50 _RX1...HIF_PCI_P 121d0: 4950455f 52583200 020e4849 465f5043 IPE_RX2...HIF_PC 121e0: 495f5049 50455f52 58330003 0e484946 I_PIPE_RX3...HIF 121f0: 5f504349 5f504950 455f5258 5f4d4158 _PCI_PIPE_RX_MAX 12200: 00040009 6869665f 7063695f 70697065 ....hif_pci_pipe 12210: 5f72785f 74000000 2dab0600 002b2d01 _rx_t...-....+-. 12220: 0300002e 3b040007 6869665f 7063695f ....;...hif_pci_ 12230: 61706900 2400002f 19087063 695f626f api.$../..pci_bo 12240: 6f745f69 6e697400 00000111 02230008 ot_init......#.. 12250: 7063695f 696e6974 00000028 e0022304 pci_init...(..#. 12260: 08706369 5f726573 65740000 00011102 .pci_reset...... 12270: 23080870 63695f65 6e61626c 65000000 #..pci_enable... 12280: 01110223 0c087063 695f7265 61705f78 ...#..pci_reap_x 12290: 6d697474 65640000 002d2002 23100870 mitted...- .#..p 122a0: 63695f72 6561705f 72656376 0000002d ci_reap_recv...- 122b0: 20022314 08706369 5f676574 5f706970 .#..pci_get_pip 122c0: 65000000 2d2d0223 18087063 695f6765 e...--.#..pci_ge 122d0: 745f7478 5f656e67 0000002d a402231c t_tx_eng...-..#. 122e0: 08706369 5f676574 5f72785f 656e6700 .pci_get_rx_eng. 122f0: 00002e41 02232000 07676d61 635f6170 ...A.# ..gmac_ap 12300: 69000400 002f4008 676d6163 5f626f6f i..../@.gmac_boo 12310: 745f696e 69740000 00011102 2300000f t_init......#... 12320: 00000325 0600002f 4d100500 075f5f65 ...%.../M....__e 12330: 74686864 72000e00 002f8308 64737400 thhdr..../..dst. 12340: 00002f40 02230008 73726300 00002f40 ../@.#..src.../@ 12350: 02230608 65747970 65000000 12f20223 .#..etype......# 12360: 0c00075f 5f617468 68647200 0400002f ...__athhdr..../ 12370: d1157265 73000000 12de0100 02022300 ..res.........#. 12380: 1570726f 746f0000 0012de01 02060223 .proto.........# 12390: 00087265 735f6c6f 00000012 de022301 ..res_lo......#. 123a0: 08726573 5f686900 000012f2 02230200 .res_hi......#.. 123b0: 075f5f67 6d61635f 68647200 14000030 .__gmac_hdr....0 123c0: 0d086574 68000000 2f4d0223 00086174 ..eth.../M.#..at 123d0: 68000000 2f830223 0e08616c 69676e5f h.../..#..align_ 123e0: 70616400 000012f2 02231200 095f5f67 pad......#...__g 123f0: 6d61635f 6864725f 74000000 2fd1075f mac_hdr_t.../.._ 12400: 5f676d61 635f736f 66746300 24000030 _gmac_softc.$..0 12410: 57086864 72000000 300d0223 00086772 W.hdr...0..#..gr 12420: 616e0000 0012f202 23140873 77000000 an......#..sw... 12430: 28f00223 1800075f 415f6f73 5f6c696e (..#..._A_os_lin 12440: 6b616765 5f636865 636b0008 00003090 kage_check....0. 12450: 08766572 73696f6e 00000001 18022300 .version......#. 12460: 08746162 6c650000 00011802 23040003 .table......#... 12470: 00003057 04000600 00011801 03000030 ..0W...........0 12480: 97040003 00000410 0400175f 415f636d ..........._A_cm 12490: 6e6f735f 696e6469 72656374 696f6e5f nos_indirection_ 124a0: 7461626c 650001b8 000031e7 0868616c table.....1..hal 124b0: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 124c0: 00309d02 23000873 74617274 5f627373 .0..#..start_bss 124d0: 00000030 a4022304 08617070 5f737461 ...0..#..app_sta 124e0: 72740000 00011102 2308086d 656d0000 rt......#..mem.. 124f0: 00045002 230c086d 69736300 0000056f ..P.#..misc....o 12500: 02232008 7072696e 74660000 00014502 .# .printf....E. 12510: 23440875 61727400 0000020e 02234c08 #D.uart......#L. 12520: 676d6163 0000002f 1902236c 08757362 gmac.../..#l.usb 12530: 0000000f 9e022370 08636c6f 636b0000 ......#p.clock.. 12540: 000ae703 23e00108 74696d65 72000000 ....#...timer... 12550: 07870323 84020869 6e747200 00000c37 ...#...intr....7 12560: 03239802 08616c6c 6f637261 6d000000 .#...allocram... 12570: 093f0323 c4020872 6f6d7000 00000831 .?.#...romp....1 12580: 0323d002 08776474 5f74696d 65720000 .#...wdt_timer.. 12590: 000e1403 23e00208 65657000 00000f42 ....#...eep....B 125a0: 0323fc02 08737472 696e6700 00000693 .#...string..... 125b0: 03238c03 08746173 6b6c6574 0000000a .#...tasklet.... 125c0: 3c0323a4 0300075f 5553425f 4649464f <.#...._USB_FIFO 125d0: 5f434f4e 46494700 10000032 5a086765 _CONFIG....2Z.ge 125e0: 745f636f 6d6d616e 645f6275 66000000 t_command_buf... 125f0: 144a0223 00087265 63765f63 6f6d6d61 .J.#..recv_comma 12600: 6e640000 00146002 23040867 65745f65 nd....`.#..get_e 12610: 76656e74 5f627566 00000014 4a022308 vent_buf....J.#. 12620: 0873656e 645f6576 656e745f 646f6e65 .send_event_done 12630: 00000014 6002230c 00095553 425f4649 ....`.#...USB_FI 12640: 464f5f43 4f4e4649 47000000 31e70300 FO_CONFIG...1... 12650: 00325a04 00020103 00003276 04000775 .2Z.......2v...u 12660: 73626669 666f5f61 7069000c 000032cc sbfifo_api....2. 12670: 085f696e 69740000 00327802 2300085f ._init...2x.#.._ 12680: 656e6162 6c655f65 76656e74 5f697372 enable_event_isr 12690: 00000001 11022304 08705265 73657276 ......#..pReserv 126a0: 65640000 00040d02 2308000f 00001684 ed......#....... 126b0: 02000032 d9100100 075f4854 435f4652 ...2....._HTC_FR 126c0: 414d455f 48445200 08000033 4b08456e AME_HDR....3K.En 126d0: 64706f69 6e744944 00000016 84022300 dpointID......#. 126e0: 08466c61 67730000 00168402 23010850 .Flags......#..P 126f0: 61796c6f 61644c65 6e000000 1cac0223 ayloadLen......# 12700: 0208436f 6e74726f 6c427974 65730000 ..ControlBytes.. 12710: 0032cc02 23040848 6f737453 65714e75 .2..#..HostSeqNu 12720: 6d000000 1cac0223 06001202 00003364 m......#......3d 12730: 084d6573 73616765 49440000 001cac02 .MessageID...... 12740: 23000012 08000033 c7084d65 73736167 #......3..Messag 12750: 65494400 00001cac 02230008 43726564 eID......#..Cred 12760: 6974436f 756e7400 00001cac 02230208 itCount......#.. 12770: 43726564 69745369 7a650000 001cac02 CreditSize...... 12780: 2304084d 6178456e 64706f69 6e747300 #..MaxEndpoints. 12790: 00001684 02230608 5f506164 31000000 .....#.._Pad1... 127a0: 16840223 0700120a 0000345e 084d6573 ...#......4^.Mes 127b0: 73616765 49440000 001cac02 23000853 sageID......#..S 127c0: 65727669 63654944 0000001c ac022302 erviceID......#. 127d0: 08436f6e 6e656374 696f6e46 6c616773 .ConnectionFlags 127e0: 0000001c ac022304 08446f77 6e4c696e ......#..DownLin 127f0: 6b506970 65494400 00001684 02230608 kPipeID......#.. 12800: 55704c69 6e6b5069 70654944 00000016 UpLinkPipeID.... 12810: 84022307 08536572 76696365 4d657461 ..#..ServiceMeta 12820: 4c656e67 74680000 00168402 2308085f Length......#.._ 12830: 50616431 00000016 84022309 00120a00 Pad1......#..... 12840: 0034e608 4d657373 61676549 44000000 .4..MessageID... 12850: 1cac0223 00085365 72766963 65494400 ...#..ServiceID. 12860: 00001cac 02230208 53746174 75730000 .....#..Status.. 12870: 00168402 23040845 6e64706f 696e7449 ....#..EndpointI 12880: 44000000 16840223 05084d61 784d7367 D......#..MaxMsg 12890: 53697a65 0000001c ac022306 08536572 Size......#..Ser 128a0: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. 128b0: 00168402 2308085f 50616431 00000016 ....#.._Pad1.... 128c0: 84022309 00120200 0034ff08 4d657373 ..#......4..Mess 128d0: 61676549 44000000 1cac0223 00001204 ageID......#.... 128e0: 0000353b 084d6573 73616765 49440000 ..5;.MessageID.. 128f0: 001cac02 23000850 69706549 44000000 ....#..PipeID... 12900: 16840223 02084372 65646974 436f756e ...#..CreditCoun 12910: 74000000 16840223 03001204 00003572 t......#......5r 12920: 084d6573 73616765 49440000 001cac02 .MessageID...... 12930: 23000850 69706549 44000000 16840223 #..PipeID......# 12940: 02085374 61747573 00000016 84022303 ..Status......#. 12950: 00120200 00359908 5265636f 72644944 .....5..RecordID 12960: 00000016 84022300 084c656e 67746800 ......#..Length. 12970: 00001684 02230100 12020000 35c30845 .....#......5..E 12980: 6e64706f 696e7449 44000000 16840223 ndpointID......# 12990: 00084372 65646974 73000000 16840223 ..Credits......# 129a0: 01001204 00003604 08456e64 706f696e ......6..Endpoin 129b0: 74494400 00001684 02230008 43726564 tID......#..Cred 129c0: 69747300 00001684 02230108 54677443 its......#..TgtC 129d0: 72656469 74536571 4e6f0000 001cac02 reditSeqNo...... 129e0: 2302000f 00001684 04000036 11100300 #..........6.... 129f0: 12060000 364d0850 72655661 6c696400 ....6M.PreValid. 12a00: 00001684 02230008 4c6f6f6b 41686561 .....#..LookAhea 12a10: 64000000 36040223 0108506f 73745661 d...6..#..PostVa 12a20: 6c696400 00001684 02230500 09706f6f lid......#...poo 12a30: 6c5f6861 6e646c65 5f740000 00040d06 l_handle_t...... 12a40: 0000364d 01030000 36600400 02010300 ..6M....6`...... 12a50: 00366d04 00140400 0036eb0e 504f4f4c .6m......6..POOL 12a60: 5f49445f 4854435f 434f4e54 524f4c00 _ID_HTC_CONTROL. 12a70: 000e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV 12a80: 435f434d 445f5245 504c5900 010e504f C_CMD_REPLY...PO 12a90: 4f4c5f49 445f574d 495f5356 435f4556 OL_ID_WMI_SVC_EV 12aa0: 454e5400 020e504f 4f4c5f49 445f574c ENT...POOL_ID_WL 12ab0: 414e5f52 585f4255 4600030e 504f4f4c AN_RX_BUF...POOL 12ac0: 5f49445f 4d415800 0a000942 55465f50 _ID_MAX....BUF_P 12ad0: 4f4f4c5f 49440000 00367602 01030000 OOL_ID...6v..... 12ae0: 36fc0400 06000026 49010300 00370504 6......&I....7.. 12af0: 00060000 26490103 00003712 04000201 ....&I....7..... 12b00: 03000037 1f040007 6275665f 706f6f6c ...7....buf_pool 12b10: 5f617069 001c0000 37c1085f 696e6974 _api....7.._init 12b20: 00000036 66022300 085f7368 7574646f ...6f.#.._shutdo 12b30: 776e0000 00366f02 2304085f 63726561 wn...6o.#.._crea 12b40: 74655f70 6f6f6c00 000036fe 02230808 te_pool...6..#.. 12b50: 5f616c6c 6f635f62 75660000 00370b02 _alloc_buf...7.. 12b60: 230c085f 616c6c6f 635f6275 665f616c #.._alloc_buf_al 12b70: 69676e00 00003718 02231008 5f667265 ign...7..#.._fre 12b80: 655f6275 66000000 37210223 14087052 e_buf...7!.#..pR 12b90: 65736572 76656400 0000040d 02231800 eserved......#.. 12ba0: 075f4854 435f5345 52564943 45001c00 ._HTC_SERVICE... 12bb0: 0038a008 704e6578 74000000 38a00223 .8..pNext...8..# 12bc0: 00085072 6f636573 73526563 764d7367 ..ProcessRecvMsg 12bd0: 00000039 55022304 0850726f 63657373 ...9U.#..Process 12be0: 53656e64 42756666 6572436f 6d706c65 SendBufferComple 12bf0: 74650000 00395e02 23080850 726f6365 te...9^.#..Proce 12c00: 7373436f 6e6e6563 74000000 39720223 ssConnect...9r.# 12c10: 0c085365 72766963 65494400 000012f2 ..ServiceID..... 12c20: 02231008 53657276 69636546 6c616773 .#..ServiceFlags 12c30: 00000012 f2022312 084d6178 5376634d ......#..MaxSvcM 12c40: 73675369 7a650000 0012f202 23140854 sgSize......#..T 12c50: 7261696c 65725370 63436865 636b4c69 railerSpcCheckLi 12c60: 6d697400 000012f2 02231608 53657276 mit......#..Serv 12c70: 69636543 74780000 00040d02 23180003 iceCtx......#... 12c80: 000037c1 04001404 0000393e 19454e44 ..7.......9>.END 12c90: 504f494e 545f554e 55534544 00ffffff POINT_UNUSED.... 12ca0: ff0e454e 44504f49 4e543000 000e454e ..ENDPOINT0...EN 12cb0: 44504f49 4e543100 010e454e 44504f49 DPOINT1...ENDPOI 12cc0: 4e543200 020e454e 44504f49 4e543300 NT2...ENDPOINT3. 12cd0: 030e454e 44504f49 4e543400 040e454e ..ENDPOINT4...EN 12ce0: 44504f49 4e543500 050e454e 44504f49 DPOINT5...ENDPOI 12cf0: 4e543600 060e454e 44504f49 4e543700 NT6...ENDPOINT7. 12d00: 070e454e 44504f49 4e543800 080e454e ..ENDPOINT8...EN 12d10: 44504f49 4e545f4d 41580016 00094854 DPOINT_MAX....HT 12d20: 435f454e 44504f49 4e545f49 44000000 C_ENDPOINT_ID... 12d30: 38a70201 03000039 53040002 01030000 8......9S....... 12d40: 395c0400 03000001 18040006 000012de 9\.............. 12d50: 01030000 396c0400 03000037 c1040007 ....9l.....7.... 12d60: 5f485443 5f434f4e 46494700 14000039 _HTC_CONFIG....9 12d70: f1084372 65646974 53697a65 00000001 ..CreditSize.... 12d80: 18022300 08437265 6469744e 756d6265 ..#..CreditNumbe 12d90: 72000000 01180223 04084f53 48616e64 r......#..OSHand 12da0: 6c650000 001a4802 23080848 49464861 le....H.#..HIFHa 12db0: 6e646c65 00000028 b102230c 08506f6f ndle...(..#..Poo 12dc0: 6c48616e 646c6500 0000364d 02231000 lHandle...6M.#.. 12dd0: 075f4854 435f4255 465f434f 4e544558 ._HTC_BUF_CONTEX 12de0: 54000200 003a2d08 656e645f 706f696e T....:-.end_poin 12df0: 74000000 12de0223 00086874 635f666c t......#..htc_fl 12e00: 61677300 000012de 02230100 09687463 ags......#...htc 12e10: 5f68616e 646c655f 74000000 040d0948 _handle_t......H 12e20: 54435f53 45545550 5f434f4d 504c4554 TC_SETUP_COMPLET 12e30: 455f4342 00000001 11094854 435f434f E_CB......HTC_CO 12e40: 4e464947 00000039 80030000 3a5a0400 NFIG...9....:Z.. 12e50: 0600003a 2d010300 003a7104 00020103 ...:-....:q..... 12e60: 00003a7e 04000948 54435f53 45525649 ..:~...HTC_SERVI 12e70: 43450000 0037c103 00003a87 04000201 CE...7....:..... 12e80: 0300003a 9f040002 01030000 3aa80400 ...:........:... 12e90: 02010300 003ab104 00060000 01180103 .....:.......... 12ea0: 00003aba 04000768 74635f61 70697300 ..:....htc_apis. 12eb0: 3400003c 37085f48 54435f49 6e697400 4..<7._HTC_Init. 12ec0: 00003a77 02230008 5f485443 5f536875 ..:w.#.._HTC_Shu 12ed0: 74646f77 6e000000 3a800223 04085f48 tdown...:..#.._H 12ee0: 54435f52 65676973 74657253 65727669 TC_RegisterServi 12ef0: 63650000 003aa102 2308085f 4854435f ce...:..#.._HTC_ 12f00: 52656164 79000000 3a800223 0c085f48 Ready...:..#.._H 12f10: 54435f52 65747572 6e427566 66657273 TC_ReturnBuffers 12f20: 0000003a aa022310 085f4854 435f5265 ...:..#.._HTC_Re 12f30: 7475726e 42756666 6572734c 69737400 turnBuffersList. 12f40: 00003ab3 02231408 5f485443 5f53656e ..:..#.._HTC_Sen 12f50: 644d7367 0000003a aa022318 085f4854 dMsg...:..#.._HT 12f60: 435f4765 74526573 65727665 64486561 C_GetReservedHea 12f70: 64726f6f 6d000000 3ac00223 1c085f48 droom...:..#.._H 12f80: 54435f4d 73675265 63764861 6e646c65 TC_MsgRecvHandle 12f90: 72000000 285e0223 20085f48 54435f53 r...(^.# ._HTC_S 12fa0: 656e6444 6f6e6548 616e646c 65720000 endDoneHandler.. 12fb0: 00285502 2324085f 4854435f 436f6e74 .(U.#$._HTC_Cont 12fc0: 726f6c53 76635072 6f636573 734d7367 rolSvcProcessMsg 12fd0: 00000039 55022328 085f4854 435f436f ...9U.#(._HTC_Co 12fe0: 6e74726f 6c537663 50726f63 65737353 ntrolSvcProcessS 12ff0: 656e6443 6f6d706c 65746500 0000395e endComplete...9^ 13000: 02232c08 70526573 65727665 64000000 .#,.pReserved... 13010: 040d0223 30000768 6f73745f 6170705f ...#0..host_app_ 13020: 61726561 5f730004 00003c67 08776d69 area_s......tu 131c0: 706c654e 756d4c00 00001cac 02230008 pleNumL......#.. 131d0: 7475706c 654e756d 48000000 1cac0223 tupleNumH......# 131e0: 02086176 74000000 3dcb0223 04001201 ..avt...=..#.... 131f0: 00003e31 08626561 636f6e50 656e6469 ..>1.beaconPendi 13200: 6e67436f 756e7400 00001684 02230000 ngCount......#.. 13210: 075f574d 495f5356 435f434f 4e464947 ._WMI_SVC_CONFIG 13220: 00100000 3e9a0848 74634861 6e646c65 ....>..HtcHandle 13230: 0000003a 2d022300 08506f6f 6c48616e ...:-.#..PoolHan 13240: 646c6500 0000364d 02230408 4d617843 dle...6M.#..MaxC 13250: 6d645265 706c7945 76747300 00000118 mdReplyEvts..... 13260: 02230808 4d617845 76656e74 45767473 .#..MaxEventEvts 13270: 00000001 1802230c 00020103 00003e9a ......#.......>. 13280: 04000957 4d495f43 4d445f48 414e444c ...WMI_CMD_HANDL 13290: 45520000 003e9c07 5f574d49 5f444953 ER...>.._WMI_DIS 132a0: 50415443 485f454e 54525900 0800003f PATCH_ENTRY....? 132b0: 03087043 6d644861 6e646c65 72000000 ..pCmdHandler... 132c0: 3ea30223 0008436d 64494400 000012f2 >..#..CmdID..... 132d0: 02230408 466c6167 73000000 12f20223 .#..Flags......# 132e0: 0600075f 574d495f 44495350 41544348 ..._WMI_DISPATCH 132f0: 5f544142 4c450010 00003f64 08704e65 _TABLE....?d.pNe 13300: 78740000 003f6402 23000870 436f6e74 xt...?d.#..pCont 13310: 65787400 0000040d 02230408 4e756d62 ext......#..Numb 13320: 65724f66 456e7472 69657300 00000118 erOfEntries..... 13330: 02230808 70546162 6c650000 003f8302 .#..pTable...?.. 13340: 230c0003 00003f03 04000957 4d495f44 #.....?....WMI_D 13350: 49535041 5443485f 454e5452 59000000 ISPATCH_ENTRY... 13360: 3eb80300 003f6b04 00030000 3f030400 >....?k.....?... 13370: 09485443 5f425546 5f434f4e 54455854 .HTC_BUF_CONTEXT 13380: 00000039 f10d574d 495f4556 545f434c ...9..WMI_EVT_CL 13390: 41535300 04000040 1b19574d 495f4556 ASS....@..WMI_EV 133a0: 545f434c 4153535f 4e4f4e45 00ffffff T_CLASS_NONE.... 133b0: ff0e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 133c0: 434d445f 4556454e 5400000e 574d495f CMD_EVENT...WMI_ 133d0: 4556545f 434c4153 535f434d 445f5245 EVT_CLASS_CMD_RE 133e0: 504c5900 010e574d 495f4556 545f434c PLY...WMI_EVT_CL 133f0: 4153535f 4d415800 02000957 4d495f45 ASS_MAX....WMI_E 13400: 56545f43 4c415353 0000003f a6075f57 VT_CLASS...?.._W 13410: 4d495f42 55465f43 4f4e5445 5854000c MI_BUF_CONTEXT.. 13420: 00004079 08487463 42756643 74780000 ..@y.HtcBufCtx.. 13430: 003f9102 23000845 76656e74 436c6173 .?..#..EventClas 13440: 73000000 401b0223 0408466c 61677300 s...@..#..Flags. 13450: 000012f2 02230800 09776d69 5f68616e .....#...wmi_han 13460: 646c655f 74000000 040d0957 4d495f53 dle_t......WMI_S 13470: 56435f43 4f4e4649 47000000 3e310300 VC_CONFIG...>1.. 13480: 00408b04 00060000 40790103 000040a6 .@......@y....@. 13490: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ 134a0: 5441424c 45000000 3f030300 0040b304 TABLE...?....@.. 134b0: 00020103 000040d2 04000600 00264901 ......@......&I. 134c0: 03000040 db040002 01030000 40e80400 ...@........@... 134d0: 06000001 18010300 0040f104 00020103 .........@...... 134e0: 000040fe 04000600 0012de01 03000041 ..@............A 134f0: 07040007 5f776d69 5f737663 5f617069 ...._wmi_svc_api 13500: 73002c00 00424f08 5f574d49 5f496e69 s.,..BO._WMI_Ini 13510: 74000000 40ac0223 00085f57 4d495f52 t...@..#.._WMI_R 13520: 65676973 74657244 69737061 74636854 egisterDispatchT 13530: 61626c65 00000040 d4022304 085f574d able...@..#.._WM 13540: 495f416c 6c6f6345 76656e74 00000040 I_AllocEvent...@ 13550: e1022308 085f574d 495f5365 6e644576 ..#.._WMI_SendEv 13560: 656e7400 000040ea 02230c08 5f574d49 ent...@..#.._WMI 13570: 5f476574 50656e64 696e6745 76656e74 _GetPendingEvent 13580: 73436f75 6e740000 0040f702 2310085f sCount...@..#.._ 13590: 574d495f 53656e64 436f6d70 6c657465 WMI_SendComplete 135a0: 48616e64 6c657200 0000395e 02231408 Handler...9^.#.. 135b0: 5f574d49 5f476574 436f6e74 726f6c45 _WMI_GetControlE 135c0: 70000000 40f70223 18085f57 4d495f53 p...@..#.._WMI_S 135d0: 68757464 6f776e00 00004100 02231c08 hutdown...A..#.. 135e0: 5f574d49 5f526563 764d6573 73616765 _WMI_RecvMessage 135f0: 48616e64 6c657200 00003955 02232008 Handler...9U.# . 13600: 5f574d49 5f536572 76696365 436f6e6e _WMI_ServiceConn 13610: 65637400 0000410d 02232408 70526573 ect...A..#$.pRes 13620: 65727665 64000000 040d0223 2800077a erved......#(..z 13630: 73446d61 44657363 00140000 42d10863 sDmaDesc....B..c 13640: 74726c00 0000017c 02230008 73746174 trl....|.#..stat 13650: 75730000 00017c02 23020874 6f74616c us....|.#..total 13660: 4c656e00 0000017c 02230408 64617461 Len....|.#..data 13670: 53697a65 00000001 7c022306 086c6173 Size....|.#..las 13680: 74416464 72000000 42d10223 08086461 tAddr...B..#..da 13690: 74614164 64720000 0001a002 230c086e taAddr......#..n 136a0: 65787441 64647200 000042d1 02231000 extAddr...B..#.. 136b0: 03000042 4f040003 0000424f 0400077a ...BO.....BO...z 136c0: 73446d61 51756575 65000800 00431108 sDmaQueue....C.. 136d0: 68656164 00000042 d8022300 08746572 head...B..#..ter 136e0: 6d696e61 746f7200 000042d8 02230400 minator...B..#.. 136f0: 077a7354 78446d61 51756575 65001000 .zsTxDmaQueue... 13700: 00437508 68656164 00000042 d8022300 .Cu.head...B..#. 13710: 08746572 6d696e61 746f7200 000042d8 .terminator...B. 13720: 02230408 786d6974 65645f62 75665f68 .#..xmited_buf_h 13730: 65616400 0000143d 02230808 786d6974 ead....=.#..xmit 13740: 65645f62 75665f74 61696c00 0000143d ed_buf_tail....= 13750: 02230c00 02010300 00437504 00030000 .#.......Cu..... 13760: 42df0400 02010300 00438504 00030000 B........C...... 13770: 43110400 02010300 00439504 00020103 C........C...... 13780: 0000439e 04000201 03000043 a7040006 ..C........C.... 13790: 0000143d 01030000 43b00400 02010300 ...=....C....... 137a0: 0043bd04 00060000 143d0103 000043c6 .C.......=....C. 137b0: 04000201 03000043 d3040006 00000118 .......C........ 137c0: 01030000 43dc0400 06000042 d8010300 ....C......B.... 137d0: 0043e904 00020103 000043f6 04000764 .C........C....d 137e0: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. 137f0: 00456c08 5f696e69 74000000 43770223 .El._init...Cw.# 13800: 00085f69 6e69745f 72785f71 75657565 .._init_rx_queue 13810: 00000043 87022304 085f696e 69745f74 ...C..#.._init_t 13820: 785f7175 65756500 00004397 02230808 x_queue...C..#.. 13830: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue 13840: 00000043 a002230c 085f786d 69745f62 ...C..#.._xmit_b 13850: 75660000 0043a902 2310085f 666c7573 uf...C..#.._flus 13860: 685f786d 69740000 00438702 2314085f h_xmit...C..#.._ 13870: 72656170 5f726563 765f6275 66000000 reap_recv_buf... 13880: 43b60223 18085f72 65747572 6e5f7265 C..#.._return_re 13890: 63765f62 75660000 0043bf02 231c085f cv_buf...C..#.._ 138a0: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. 138b0: 000043cc 02232008 5f737761 705f6461 ..C..# ._swap_da 138c0: 74610000 0043d502 2324085f 6861735f ta...C..#$._has_ 138d0: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... 138e0: 43e20223 28085f64 6573635f 64756d70 C..#(._desc_dump 138f0: 00000043 8702232c 085f6765 745f7061 ...C..#,._get_pa 13900: 636b6574 00000043 ef022330 085f7265 cket...C..#0._re 13910: 636c6169 6d5f7061 636b6574 00000043 claim_packet...C 13920: f8022334 085f7075 745f7061 636b6574 ..#4._put_packet 13930: 00000043 f8022338 08705265 73657276 ...C..#8.pReserv 13940: 65640000 00040d02 233c0009 5f415f63 ed......#<.._A_c 13950: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 13960: 5f746162 6c655f74 00000030 ab09574d _table_t...0..WM 13970: 495f5356 435f4150 49530000 00411417 I_SVC_APIS...A.. 13980: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 13990: 6374696f 6e5f7461 626c6500 034c0000 ction_table..L.. 139a0: 469a0863 6d6e6f73 00000045 6c022300 F..cmnos...El.#. 139b0: 08646267 00000003 da0323b8 03086869 .dbg......#...hi 139c0: 66000000 29540323 c0030868 74630000 f...)T.#...htc.. 139d0: 003ac703 23f80308 776d695f 7376635f .:..#...wmi_svc_ 139e0: 61706900 0000458e 0323ac04 08757362 api...E..#...usb 139f0: 6669666f 5f617069 00000032 7f0323d8 fifo_api...2..#. 13a00: 04086275 665f706f 6f6c0000 00372803 ..buf_pool...7(. 13a10: 23e40408 76627566 00000014 67032380 #...vbuf....g.#. 13a20: 05087664 65736300 00001349 03239405 ..vdesc....I.#.. 13a30: 08616c6c 6f637261 6d000000 093f0323 .allocram....?.# 13a40: a8050864 6d615f65 6e67696e 65000000 ...dma_engine... 13a50: 43ff0323 b4050864 6d615f6c 69620000 C..#...dma_lib.. 13a60: 002be803 23f40508 6869665f 70636900 .+..#...hif_pci. 13a70: 00002e48 0323a806 00095f41 5f6d6167 ...H.#...._A_mag 13a80: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 13a90: 7461626c 655f7400 000045a0 06000007 table_t...E..... 13aa0: fd010300 0001b504 00060000 07fd011a ................ 13ab0: 6565705f 73746174 65000000 07fd0503 eep_state....... 13ac0: 00500978 011a6565 705f6578 69737400 .P.x..eep_exist. 13ad0: 000007fd 05030050 097a010f 0000011f .......P.z...... 13ae0: 06000047 09100500 03000046 fc040004 ...G.......F.... 13af0: 6c6f6e67 20696e74 00050409 696e7433 long int....int3 13b00: 325f7400 00004710 1b000001 181b0000 2_t...G......... 13b10: 01a01b00 0001a003 00004733 04000300 ..........G3.... 13b20: 000f4204 0002011c 012d636d 6e6f735f ..B......-cmnos_ 13b30: 65657072 6f6d5f77 72697465 5f68776f eeprom_write_hwo 13b40: 72640000 0007fd01 01039201 20029000 rd.......... ... 13b50: 008e1da0 008e1df7 0000479c 1d012d6f ..........G...-o 13b60: 66667365 74000000 01920152 1d012d64 ffset......R..-d 13b70: 61746100 00000192 0153001c 015a636d ata......S...Zcm 13b80: 6e6f735f 65657072 6f6d5f72 6561645f nos_eeprom_read_ 13b90: 68776f72 64000000 07fd0101 03920120 hword.......... 13ba0: 02900000 8e1df800 8e1e2300 0047fe1d ..........#..G.. 13bb0: 015a6f66 66736574 00000001 9201521d .Zoffset......R. 13bc0: 015a6d44 61746100 00000f21 01531e6d .ZmData....!.S.m 13bd0: 53747344 61746100 000001b5 001c017a StsData........z 13be0: 636d6e6f 735f6565 705f6973 5f657869 cmnos_eep_is_exi 13bf0: 73740000 000f1201 01039201 20029000 st.......... ... 13c00: 008e1e24 008e1e5b 00004839 1e6d4461 ...$...[..H9.mDa 13c10: 74610000 00019200 1c019963 6d6e6f73 ta.........cmnos 13c20: 5f656570 5f777269 74650000 000f1201 _eep_write...... 13c30: 01039201 20029000 008e1e5c 008e1ebc .... ......\.... 13c40: 000048dc 1d01996f 66667365 74000000 ..H....offset... 13c50: 01920152 1d01996c 656e0000 00019201 ...R...len...... 13c60: 531d0199 70427566 0000000f 2101541e S...pBuf....!.T. 13c70: 70446174 61000000 0f211e65 65705f73 pData....!.eep_s 13c80: 74617274 5f6f6673 74000000 01921e65 tart_ofst......e 13c90: 65705f65 6e645f6f 66737400 00000192 ep_end_ofst..... 13ca0: 1e726574 56616c00 00000f12 1e690000 .retVal......i.. 13cb0: 0001921e 6a000000 0192001c 01c7636d ....j.........cm 13cc0: 6e6f735f 6565705f 72656164 0000000f nos_eep_read.... 13cd0: 12010103 92012002 9000008e 1ebc008e ...... ......... 13ce0: 1f010000 49771d01 c76f6666 73657400 ....Iw...offset. 13cf0: 00000192 01521d01 c76c656e 00000001 .....R...len.... 13d00: 9201531d 01c77042 75660000 000f2101 ..S...pBuf....!. 13d10: 541e6d44 61746100 00000f21 1e656570 T.mData....!.eep 13d20: 5f737461 72745f6f 66737400 00000192 _start_ofst..... 13d30: 1e656570 5f656e64 5f6f6673 74000000 .eep_end_ofst... 13d40: 01921e72 65745661 6c000000 0f121e69 ...retVal......i 13d50: 00000001 92001f01 f9636d6e 6f735f65 .........cmnos_e 13d60: 65705f69 6e697400 01010392 01300290 ep_init......0.. 13d70: 00008e1f 04008e21 6c000049 c9206900 .......!l..I. i. 13d80: 0000471c 02915020 7265675f 76616c75 ..G...P reg_valu 13d90: 65000000 47290291 541e6d53 74734461 e...G)..T.mStsDa 13da0: 74610000 0001b500 210101a0 636d6e6f ta......!...cmno 13db0: 735f6565 705f6d6f 64756c65 5f696e73 s_eep_module_ins 13dc0: 74616c6c 00010103 92012002 9000008e tall...... ..... 13dd0: 216c008e 21852201 01a07462 6c000000 !l..!."...tbl... 13de0: 473f0152 00000000 004ae500 02000008 G?.R.....J...... 13df0: df04012f 726f6f74 2f576f72 6b737061 .../root/Workspa 13e00: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13e10: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 13e20: 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. 13e30: 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil 13e40: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 13e50: 2f636d6e 6f732f69 6e74722f 7372632f /cmnos/intr/src/ 13e60: 636d6e6f 735f696e 74722e63 002f726f cmnos_intr.c./ro 13e70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 13e80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 13e90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 13ea0: 636d6e6f 732f696e 74720078 742d7863 cmnos/intr.xt-xc 13eb0: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT 13ec0: 3a616c69 676e5f69 6e737472 75637469 :align_instructi 13ed0: 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - 13ee0: 4f50543a 73706163 65000100 000051dc OPT:space.....Q. 13ef0: 02010300 00010904 0004696e 74000504 ..........int... 13f00: 04636861 72000701 05000001 19050000 .char........... 13f10: 01190300 00012604 00060000 01120103 ......&......... 13f20: 00000132 04000770 72696e74 665f6170 ...2...printf_ap 13f30: 69000800 00017608 5f707269 6e74665f i.....v._printf_ 13f40: 696e6974 00000001 0b022300 085f7072 init......#.._pr 13f50: 696e7466 00000001 38022304 00047368 intf....8.#...sh 13f60: 6f727420 756e7369 676e6564 20696e74 ort unsigned int 13f70: 00070209 75696e74 31365f74 00000001 ....uint16_t.... 13f80: 76046c6f 6e672075 6e736967 6e656420 v.long unsigned 13f90: 696e7400 07040975 696e7433 325f7400 int....uint32_t. 13fa0: 0000019a 07756172 745f6669 666f0008 .....uart_fifo.. 13fb0: 00000208 08737461 72745f69 6e646578 .....start_index 13fc0: 00000001 8c022300 08656e64 5f696e64 ......#..end_ind 13fd0: 65780000 00018c02 2302086f 76657272 ex......#..overr 13fe0: 756e5f65 72720000 0001af02 23040007 un_err......#... 13ff0: 75617274 5f617069 00200000 02c1085f uart_api. ....._ 14000: 75617274 5f696e69 74000000 03180223 uart_init......# 14010: 00085f75 6172745f 63686172 5f707574 .._uart_char_put 14020: 00000003 3f022304 085f7561 72745f63 ....?.#.._uart_c 14030: 6861725f 67657400 00000353 02230808 har_get....S.#.. 14040: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... 14050: 035c0223 0c085f75 6172745f 7461736b .\.#.._uart_task 14060: 00000001 0b022310 085f7561 72745f73 ......#.._uart_s 14070: 74617475 73000000 03180223 14085f75 tatus......#.._u 14080: 6172745f 636f6e66 69670000 00036502 art_config....e. 14090: 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. 140a0: 0000036e 02231c00 03000002 08040007 ...n.#.......... 140b0: 75617274 5f626c6b 00100000 03120864 uart_blk.......d 140c0: 65627567 5f6d6f64 65000000 018c0223 ebug_mode......# 140d0: 00086261 75640000 00018c02 2302085f ..baud......#.._ 140e0: 75617274 00000002 c1022304 085f7478 uart......#.._tx 140f0: 00000001 bd022308 00060000 01af0103 ......#......... 14100: 00000312 04000475 6e736967 6e656420 .......unsigned 14110: 63686172 00070109 75696e74 385f7400 char....uint8_t. 14120: 0000031f 02010300 00033d04 00030000 ..........=..... 14130: 03300400 06000001 8c010300 00034d04 .0............M. 14140: 00020103 0000035a 04000201 03000003 .......Z........ 14150: 63040002 01030000 036c0400 03000001 c........l...... 14160: 19040006 00000112 01030000 037c0400 .............|.. 14170: 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU 14180: 4354000c 000003d4 08636d64 5f737472 CT.......cmd_str 14190: 00000003 75022300 0868656c 705f7374 ....u.#..help_st 141a0: 72000000 03750223 0408636d 645f6675 r....u.#..cmd_fu 141b0: 6e630000 00038202 23080007 6462675f nc......#...dbg_ 141c0: 61706900 08000004 07085f64 62675f69 api......._dbg_i 141d0: 6e697400 0000010b 02230008 5f646267 nit......#.._dbg 141e0: 5f746173 6b000000 010b0223 04000a04 _task......#.... 141f0: 0004756e 7369676e 65642069 6e740007 ..unsigned int.. 14200: 04060000 04070103 0000041a 04000b0b ................ 14210: 03000004 28040006 00000407 01030000 ....(........... 14220: 04300400 06000001 12010300 00043d04 .0............=. 14230: 00076d65 6d5f6170 69001400 0004ac08 ..mem_api....... 14240: 5f6d656d 5f696e69 74000000 010b0223 _mem_init......# 14250: 00085f6d 656d7365 74000000 04200223 .._memset.... .# 14260: 04085f6d 656d6370 79000000 04360223 .._memcpy....6.# 14270: 08085f6d 656d6d6f 76650000 00043602 .._memmove....6. 14280: 230c085f 6d656d63 6d700000 00044302 #.._memcmp....C. 14290: 23100007 72656769 73746572 5f64756d #...register_dum 142a0: 705f7300 90000005 13087461 72676574 p_s.......target 142b0: 5f696400 000001af 02230008 6173736c _id......#..assl 142c0: 696e6500 000001af 02230408 70630000 ine......#..pc.. 142d0: 0001af02 23080862 61647661 64647200 ....#..badvaddr. 142e0: 000001af 02230c08 6578635f 6672616d .....#..exc_fram 142f0: 65000000 482f0223 10000300 0004ac04 e...H/.#........ 14300: 00020103 0000051a 04000201 03000005 ................ 14310: 23040006 00000112 01030000 052c0400 #............,.. 14320: 0c686f73 7469665f 73000400 0005880d .hostif_s....... 14330: 4849465f 55534200 000d4849 465f5043 HIF_USB...HIF_PC 14340: 49450001 0d484946 5f474d41 4300020d IE...HIF_GMAC... 14350: 4849465f 50434900 030d4849 465f4e55 HIF_PCI...HIF_NU 14360: 4d00040d 4849465f 4e4f4e45 00050009 M...HIF_NONE.... 14370: 415f484f 53544946 00000005 39060000 A_HOSTIF....9... 14380: 05880103 00000596 04000600 00033001 ..............0. 14390: 03000005 a3040006 0000018c 01030000 ................ 143a0: 05b00400 076d6973 635f6170 69002400 .....misc_api.$. 143b0: 0006a008 5f737973 74656d5f 72657365 ...._system_rese 143c0: 74000000 010b0223 00085f6d 61635f72 t......#.._mac_r 143d0: 65736574 00000001 0b022304 085f6173 eset......#.._as 143e0: 73666169 6c000000 051c0223 08085f6d sfail......#.._m 143f0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h 14400: 616e646c 65720000 00051c02 230c085f andler......#.._ 14410: 7265706f 72745f66 61696c75 72655f74 report_failure_t 14420: 6f5f686f 73740000 00052502 2310085f o_host....%.#.._ 14430: 74617267 65745f69 645f6765 74000000 target_id_get... 14440: 05320223 14085f69 735f686f 73745f70 .2.#.._is_host_p 14450: 72657365 6e740000 00059c02 2318085f resent......#.._ 14460: 6b626869 74000000 05a90223 1c085f72 kbhit......#.._r 14470: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. 14480: 0005b602 23200006 00000375 01030000 ....# .....u.... 14490: 06a00400 06000003 75010300 0006ad04 ........u....... 144a0: 00060000 01120103 000006ba 04000600 ................ 144b0: 00011201 03000006 c7040006 00000112 ................ 144c0: 01030000 06d40400 07737472 696e675f .........string_ 144d0: 61706900 18000007 5a085f73 7472696e api.....Z._strin 144e0: 675f696e 69740000 00010b02 2300085f g_init......#.._ 144f0: 73747263 70790000 0006a602 2304085f strcpy......#.._ 14500: 7374726e 63707900 000006b3 02230808 strncpy......#.. 14510: 5f737472 6c656e00 000006c0 02230c08 _strlen......#.. 14520: 5f737472 636d7000 000006cd 02231008 _strcmp......#.. 14530: 5f737472 6e636d70 00000006 da022314 _strncmp......#. 14540: 000e0000 040a1400 0007670f 0400095f ..........g...._ 14550: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... 14560: 075a0941 5f74696d 65725f74 00000007 .Z.A_timer_t.... 14570: 67030000 077b0400 02010300 00079104 g....{.......... 14580: 00020103 0000079a 04000941 5f48414e ...........A_HAN 14590: 444c4500 0000040a 02010941 5f54494d DLE........A_TIM 145a0: 45525f46 554e4300 000007b1 03000007 ER_FUNC......... 145b0: b3040002 01030000 07cc0400 0774696d .............tim 145c0: 65725f61 70690014 0000084b 085f7469 er_api.....K._ti 145d0: 6d65725f 696e6974 00000001 0b022300 mer_init......#. 145e0: 085f7469 6d65725f 61726d00 00000793 ._timer_arm..... 145f0: 02230408 5f74696d 65725f64 69736172 .#.._timer_disar 14600: 6d000000 079c0223 08085f74 696d6572 m......#.._timer 14610: 5f736574 666e0000 0007ce02 230c085f _setfn......#.._ 14620: 74696d65 725f7275 6e000000 010b0223 timer_run......# 14630: 10000942 4f4f4c45 414e0000 00018c06 ...BOOLEAN...... 14640: 0000084b 01030000 08580400 06000008 ...K.....X...... 14650: 4b010300 00086504 00060000 084b0103 K.....e......K.. 14660: 00000872 04000772 6f6d705f 61706900 ...r...romp_api. 14670: 10000008 e4085f72 6f6d705f 696e6974 ......_romp_init 14680: 00000001 0b022300 085f726f 6d705f64 ......#.._romp_d 14690: 6f776e6c 6f616400 0000085e 02230408 ownload....^.#.. 146a0: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... 146b0: 086b0223 08085f72 6f6d705f 6465636f .k.#.._romp_deco 146c0: 64650000 00087802 230c0007 726f6d5f de....x.#...rom_ 146d0: 70617463 685f7374 00100000 09400863 patch_st.....@.c 146e0: 72633136 00000001 8c022300 086c656e rc16......#..len 146f0: 00000001 8c022302 086c645f 61646472 ......#..ld_addr 14700: 00000001 af022304 0866756e 5f616464 ......#..fun_add 14710: 72000000 01af0223 08087066 756e0000 r......#..pfun.. 14720: 00034602 230c0007 6565705f 72656469 ..F.#...eep_redi 14730: 725f6164 64720004 00000972 086f6666 r_addr.....r.off 14740: 73657400 0000018c 02230008 73697a65 set......#..size 14750: 00000001 8c022302 0009415f 55494e54 ......#...A_UINT 14760: 33320000 00040a06 00000407 01030000 32.............. 14770: 09800400 07616c6c 6f637261 6d5f6170 .....allocram_ap 14780: 69000c00 0009f108 636d6e6f 735f616c i.......cmnos_al 14790: 6c6f6372 616d5f69 6e697400 00000986 locram_init..... 147a0: 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr 147b0: 616d0000 00098602 23040863 6d6e6f73 am......#..cmnos 147c0: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. 147d0: 0000010b 02230800 02010300 0009f104 .....#.......... 147e0: 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC 147f0: 00000009 f3075f74 61736b6c 65740010 ......_tasklet.. 14800: 00000a52 0866756e 63000000 09fa0223 ...R.func......# 14810: 00086172 67000000 04070223 04087374 ..arg......#..st 14820: 61746500 00000112 02230808 6e657874 ate......#..next 14830: 0000000a 5202230c 00030000 0a0e0400 ....R.#......... 14840: 0300000a 0e040009 415f7461 736b6c65 ........A_taskle 14850: 745f7400 00000a0e 0300000a 60040002 t_t.........`... 14860: 01030000 0a780400 02010300 000a8104 .....x.......... 14870: 00077461 736b6c65 745f6170 69001400 ..tasklet_api... 14880: 000b1608 5f746173 6b6c6574 5f696e69 ...._tasklet_ini 14890: 74000000 010b0223 00085f74 61736b6c t......#.._taskl 148a0: 65745f69 6e69745f 7461736b 0000000a et_init_task.... 148b0: 7a022304 085f7461 736b6c65 745f6469 z.#.._tasklet_di 148c0: 7361626c 65000000 0a830223 08085f74 sable......#.._t 148d0: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. 148e0: 00000a83 02230c08 5f746173 6b6c6574 .....#.._tasklet 148f0: 5f72756e 00000001 0b022310 00020103 _run......#..... 14900: 00000b16 04000600 00097201 0300000b ..........r..... 14910: 1f040002 01030000 0b2c0400 07636c6f .........,...clo 14920: 636b5f61 70690024 00000c0e 085f636c ck_api.$....._cl 14930: 6f636b5f 696e6974 0000000b 18022300 ock_init......#. 14940: 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init 14950: 00000001 0b022304 085f7561 72745f66 ......#.._uart_f 14960: 72657175 656e6379 0000000b 25022308 requency....%.#. 14970: 085f6465 6c61795f 75730000 000b2e02 ._delay_us...... 14980: 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se 14990: 74000000 0b2e0223 10085f72 6566636c t......#.._refcl 149a0: 6b5f7370 6565645f 67657400 00000b25 k_speed_get....% 149b0: 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond 149c0: 73000000 0b250223 18085f73 7973636c s....%.#.._syscl 149d0: 6b5f6368 616e6765 00000001 0b02231c k_change......#. 149e0: 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... 149f0: 0b022320 00060000 01af0103 00000c0e ..# ............ 14a00: 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. 14a10: 000001af 0600000c 1b010300 000c2d04 ..............-. 14a20: 00020103 00000c3a 04000201 0300000c .......:........ 14a30: 43040006 000001af 01030000 0c4c0400 C............L.. 14a40: 09415f69 73725f74 0000000c 52020103 .A_isr_t....R... 14a50: 00000c66 04000600 00040a01 0300000c ...f............ 14a60: 6f040002 01030000 0c7c0400 07696e74 o........|...int 14a70: 725f6170 69002c00 000d9e08 5f696e74 r_api.,....._int 14a80: 725f696e 69740000 00010b02 2300085f r_init......#.._ 14a90: 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. 14aa0: 00000c14 02230408 5f696e74 725f6469 .....#.._intr_di 14ab0: 7361626c 65000000 0c330223 08085f69 sable....3.#.._i 14ac0: 6e74725f 72657374 6f726500 00000c3c ntr_restore....< 14ad0: 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i 14ae0: 6e756d00 00000c45 02231008 5f696e74 num....E.#.._int 14af0: 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... 14b00: 0c450223 14085f69 6e74725f 61747461 .E.#.._intr_atta 14b10: 63685f69 73720000 000c6802 2318085f ch_isr....h.#.._ 14b20: 6765745f 696e7472 656e6162 6c650000 get_intrenable.. 14b30: 000c7502 231c085f 7365745f 696e7472 ..u.#.._set_intr 14b40: 656e6162 6c650000 000c7e02 2320085f enable....~.# ._ 14b50: 6765745f 696e7472 70656e64 696e6700 get_intrpending. 14b60: 00000c75 02232408 5f756e62 6c6f636b ...u.#$._unblock 14b70: 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... 14b80: 0b022328 00100400 000dc408 74696d65 ..#(........time 14b90: 6f757400 000001af 02230008 61637469 out......#..acti 14ba0: 6f6e0000 0001af02 23000011 0800000d on......#....... 14bb0: df08636d 64000000 01af0223 00120000 ..cmd......#.... 14bc0: 0d9e0223 04000954 5f574454 5f434d44 ...#...T_WDT_CMD 14bd0: 0000000d c4020103 00000dee 04001304 ................ 14be0: 00000e44 0d454e55 4d5f5744 545f424f ...D.ENUM_WDT_BO 14bf0: 4f540001 0d454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B 14c00: 4f4f5400 020d454e 554d5f53 5553505f OOT...ENUM_SUSP_ 14c10: 424f4f54 00030d45 4e554d5f 554e4b4e BOOT...ENUM_UNKN 14c20: 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO 14c30: 4f545f54 59504500 00000df7 0600000e OT_TYPE......... 14c40: 44010300 000e5504 00077764 745f6170 D.....U...wdt_ap 14c50: 69001c00 000ef908 5f776474 5f696e69 i......._wdt_ini 14c60: 74000000 010b0223 00085f77 64745f65 t......#.._wdt_e 14c70: 6e61626c 65000000 010b0223 04085f77 nable......#.._w 14c80: 64745f64 69736162 6c650000 00010b02 dt_disable...... 14c90: 2308085f 7764745f 73657400 00000df0 #.._wdt_set..... 14ca0: 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... 14cb0: 010b0223 10085f77 64745f72 65736574 ...#.._wdt_reset 14cc0: 00000001 0b022314 085f7764 745f6c61 ......#.._wdt_la 14cd0: 73745f62 6f6f7400 00000e5b 02231800 st_boot....[.#.. 14ce0: 13040000 0f600d52 45545f53 55434345 .....`.RET_SUCCE 14cf0: 53530000 0d524554 5f4e4f54 5f494e49 SS...RET_NOT_INI 14d00: 5400010d 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS 14d10: 5400020d 5245545f 4545505f 434f5252 T...RET_EEP_CORR 14d20: 55505400 030d5245 545f4545 505f4f56 UPT...RET_EEP_OV 14d30: 4552464c 4f570004 0d524554 5f554e4b ERFLOW...RET_UNK 14d40: 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE 14d50: 54000000 0ef90300 00018c04 00060000 T............... 14d60: 0f600103 00000f76 04000600 000f6001 .`.....v......`. 14d70: 0300000f 83040007 6565705f 61706900 ........eep_api. 14d80: 1000000f ec085f65 65705f69 6e697400 ......_eep_init. 14d90: 0000010b 02230008 5f656570 5f726561 .....#.._eep_rea 14da0: 64000000 0f7c0223 04085f65 65705f77 d....|.#.._eep_w 14db0: 72697465 0000000f 7c022308 085f6565 rite....|.#.._ee 14dc0: 705f6973 5f657869 73740000 000f8902 p_is_exist...... 14dd0: 230c0007 7573625f 61706900 70000012 #...usb_api.p... 14de0: 99085f75 73625f69 6e697400 0000010b .._usb_init..... 14df0: 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas 14e00: 6b000000 010b0223 04085f75 73625f66 k......#.._usb_f 14e10: 775f7461 736b0000 00010b02 2308085f w_task......#.._ 14e20: 7573625f 696e6974 5f706879 00000001 usb_init_phy.... 14e30: 0b02230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se 14e40: 74757000 0000010b 02231008 5f757362 tup......#.._usb 14e50: 5f657030 5f747800 0000010b 02231408 _ep0_tx......#.. 14e60: 5f757362 5f657030 5f727800 0000010b _usb_ep0_rx..... 14e70: 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int 14e80: 65726661 63650000 00086b02 231c085f erface....k.#.._ 14e90: 7573625f 7365745f 696e7465 72666163 usb_set_interfac 14ea0: 65000000 086b0223 20085f75 73625f67 e....k.# ._usb_g 14eb0: 65745f63 6f6e6669 67757261 74696f6e et_configuration 14ec0: 00000008 6b022324 085f7573 625f7365 ....k.#$._usb_se 14ed0: 745f636f 6e666967 75726174 696f6e00 t_configuration. 14ee0: 0000086b 02232808 5f757362 5f737461 ...k.#(._usb_sta 14ef0: 6e646172 645f636d 64000000 086b0223 ndard_cmd....k.# 14f00: 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm 14f10: 64000000 010b0223 30085f75 73625f70 d......#0._usb_p 14f20: 6f776572 5f6f6666 00000001 0b022334 ower_off......#4 14f30: 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo 14f40: 00000001 0b022338 085f7573 625f6765 ......#8._usb_ge 14f50: 6e5f7764 74000000 010b0223 3c085f75 n_wdt......#<._u 14f60: 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... 14f70: 0b022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe 14f80: 61747572 65000000 086b0223 44085f75 ature....k.#D._u 14f90: 73625f73 65745f66 65617475 72650000 sb_set_feature.. 14fa0: 00086b02 2348085f 7573625f 7365745f ..k.#H._usb_set_ 14fb0: 61646472 65737300 0000086b 02234c08 address....k.#L. 14fc0: 5f757362 5f676574 5f646573 63726970 _usb_get_descrip 14fd0: 746f7200 0000086b 02235008 5f757362 tor....k.#P._usb 14fe0: 5f676574 5f737461 74757300 0000086b _get_status....k 14ff0: 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d 15000: 65736300 0000010b 02235808 5f757362 esc......#X._usb 15010: 5f726567 5f6f7574 00000001 0b02235c _reg_out......#\ 15020: 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. 15030: 0000010b 02236008 5f757362 5f657030 .....#`._usb_ep0 15040: 5f74785f 64617461 00000001 0b022364 _tx_data......#d 15050: 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat 15060: 61000000 010b0223 68085f75 73625f63 a......#h._usb_c 15070: 6c6b5f69 6e697400 0000010b 02236c00 lk_init......#l. 15080: 075f5644 45534300 24000013 25086e65 ._VDESC.$...%.ne 15090: 78745f64 65736300 00001325 02230008 xt_desc....%.#.. 150a0: 6275665f 61646472 00000013 39022304 buf_addr....9.#. 150b0: 08627566 5f73697a 65000000 13400223 .buf_size....@.# 150c0: 08086461 74615f6f 66667365 74000000 ..data_offset... 150d0: 13400223 0a086461 74615f73 697a6500 .@.#..data_size. 150e0: 00001340 02230c08 636f6e74 726f6c00 ...@.#..control. 150f0: 00001340 02230e08 68775f64 6573635f ...@.#..hw_desc_ 15100: 62756600 0000134e 02231000 03000012 buf....N.#...... 15110: 99040009 415f5549 4e543800 0000031f ....A_UINT8..... 15120: 03000013 2c040009 415f5549 4e543136 ....,...A_UINT16 15130: 00000001 760e0000 132c1400 00135b0f ....v....,....[. 15140: 13000300 00129904 00095644 45534300 ..........VDESC. 15150: 00001299 03000013 62040006 0000136d ........b......m 15160: 01030000 13740400 06000013 39010300 .....t......9... 15170: 00138104 00020103 0000138e 04000776 ...............v 15180: 64657363 5f617069 00140000 1406085f desc_api......._ 15190: 696e6974 0000000b 2e022300 085f616c init......#.._al 151a0: 6c6f635f 76646573 63000000 137a0223 loc_vdesc....z.# 151b0: 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. 151c0: 00138702 2308085f 73776170 5f766465 ....#.._swap_vde 151d0: 73630000 00139002 230c0870 52657365 sc......#..pRese 151e0: 72766564 00000004 07022310 00075f56 rved......#..._V 151f0: 42554600 20000014 66086465 73635f6c BUF. ...f.desc_l 15200: 69737400 0000136d 02230008 6e657874 ist....m.#..next 15210: 5f627566 00000014 66022304 08627566 _buf....f.#..buf 15220: 5f6c656e 67746800 00001340 02230808 _length....@.#.. 15230: 72657365 72766564 00000014 6d02230a reserved....m.#. 15240: 08637478 00000013 4e02230c 00030000 .ctx....N.#..... 15250: 14060400 0e000013 2c020000 147a0f01 ........,....z.. 15260: 00030000 14060400 09564255 46000000 .........VBUF... 15270: 14060300 00148104 00060000 148b0103 ................ 15280: 00001492 04000600 00148b01 03000014 ................ 15290: 9f040002 01030000 14ac0400 07766275 .............vbu 152a0: 665f6170 69001400 00152a08 5f696e69 f_api.....*._ini 152b0: 74000000 0b2e0223 00085f61 6c6c6f63 t......#.._alloc 152c0: 5f766275 66000000 14980223 04085f61 _vbuf......#.._a 152d0: 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s 152e0: 697a6500 000014a5 02230808 5f667265 ize......#.._fre 152f0: 655f7662 75660000 0014ae02 230c0870 e_vbuf......#..p 15300: 52657365 72766564 00000004 07022310 Reserved......#. 15310: 00075f5f 6164665f 64657669 63650004 ..__adf_device.. 15320: 0000154c 0864756d 6d790000 00011202 ...L.dummy...... 15330: 23000003 00000972 0400075f 5f616466 #......r...__adf 15340: 5f646d61 5f6d6170 000c0000 15930862 _dma_map.......b 15350: 75660000 00148b02 23000864 735f6164 uf......#..ds_ad 15360: 64720000 00154c02 23040864 735f6c65 dr....L.#..ds_le 15370: 6e000000 13400223 0800110c 000015cd n....@.#........ 15380: 085f5f76 615f7374 6b000000 03750223 .__va_stk....u.# 15390: 00085f5f 76615f72 65670000 00037502 ..__va_reg....u. 153a0: 2304085f 5f76615f 6e647800 00000112 #..__va_ndx..... 153b0: 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm 153c0: 615f6164 64725f74 00000009 72096164 a_addr_t....r.ad 153d0: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 153e0: 000015cd 095f5f61 64665f6f 735f646d .....__adf_os_dm 153f0: 615f7369 7a655f74 00000009 72096164 a_size_t....r.ad 15400: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 15410: 000015fd 075f5f64 6d615f73 65677300 .....__dma_segs. 15420: 08000016 59087061 64647200 000015e6 ....Y.paddr..... 15430: 02230008 6c656e00 00001616 02230400 .#..len......#.. 15440: 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... 15450: 09720961 5f75696e 7433325f 74000000 .r.a_uint32_t... 15460: 16590e00 00162d08 00001688 0f000007 .Y....-......... 15470: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in 15480: 666f000c 000016c1 086e7365 67730000 fo.......nsegs.. 15490: 00166b02 23000864 6d615f73 65677300 ..k.#..dma_segs. 154a0: 0000167b 02230400 095f5f61 5f75696e ...{.#...__a_uin 154b0: 74385f74 00000013 2c09615f 75696e74 t8_t....,.a_uint 154c0: 385f7400 000016c1 03000016 d2040007 8_t............. 154d0: 5f5f7367 5f736567 73000800 00171308 __sg_segs....... 154e0: 76616464 72000000 16e10223 00086c65 vaddr......#..le 154f0: 6e000000 166b0223 04000e00 0016e820 n....k.#....... 15500: 00001720 0f030007 6164665f 6f735f73 ... ....adf_os_s 15510: 676c6973 74002400 00175308 6e736567 glist.$...S.nseg 15520: 73000000 166b0223 00087367 5f736567 s....k.#..sg_seg 15530: 73000000 17130223 04001110 0000179c s......#........ 15540: 0876656e 646f7200 0000166b 02230008 .vendor....k.#.. 15550: 64657669 63650000 00166b02 23040873 device....k.#..s 15560: 75627665 6e646f72 00000016 6b022308 ubvendor....k.#. 15570: 08737562 64657669 63650000 00166b02 .subdevice....k. 15580: 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un 15590: 7369676e 65642069 6e740007 0809415f signed int....A_ 155a0: 55494e54 36340000 00179c09 5f5f615f UINT64......__a_ 155b0: 75696e74 36345f74 00000017 b609615f uint64_t......a_ 155c0: 75696e74 36345f74 00000017 c4130400 uint64_t........ 155d0: 0018220d 4144465f 4f535f52 45534f55 ..".ADF_OS_RESOU 155e0: 5243455f 54595045 5f4d454d 00000d41 RCE_TYPE_MEM...A 155f0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 15600: 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os 15610: 5f726573 6f757263 655f7479 70655f74 _resource_type_t 15620: 00000017 e6111800 00186c08 73746172 ..........l.star 15630: 74000000 17d60223 0008656e 64000000 t......#..end... 15640: 17d60223 08087479 70650000 00182202 ...#..type....". 15650: 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d 15660: 65765f69 645f7400 00001753 03000018 ev_id_t....S.... 15670: 6c040010 04000018 ab087063 69000000 l.........pci... 15680: 18850223 00087261 77000000 04070223 ...#..raw......# 15690: 00001010 000018ca 08706369 00000018 .........pci.... 156a0: 6c022300 08726177 00000004 07022300 l.#..raw......#. 156b0: 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle 156c0: 5f740000 00040709 6164665f 6f735f72 _t......adf_os_r 156d0: 65736f75 7263655f 74000000 183e0300 esource_t....>.. 156e0: 0018e004 00096164 665f6f73 5f617474 ......adf_os_att 156f0: 6163685f 64617461 5f740000 0018ab03 ach_data_t...... 15700: 000018fe 04000300 00152a04 00095f5f ..........*...__ 15710: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 15720: 0000191f 09616466 5f6f735f 64657669 .....adf_os_devi 15730: 63655f74 00000019 26060000 18ca0103 ce_t....&....... 15740: 00001952 04000201 03000019 5f040009 ...R........_... 15750: 6164665f 6f735f70 6d5f7400 00000407 adf_os_pm_t..... 15760: 02010300 00197904 00130400 0019b90d ......y......... 15770: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 15780: 50434900 010d4144 465f4f53 5f425553 PCI...ADF_OS_BUS 15790: 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... 157a0: 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type 157b0: 5f740000 00198209 6164665f 6f735f62 _t......adf_os_b 157c0: 75735f72 65675f64 6174615f 74000000 us_reg_data_t... 157d0: 188c0300 00031f04 00075f61 64665f64 .........._adf_d 157e0: 72765f69 6e666f00 2000001a 96086472 rv_info. .....dr 157f0: 765f6174 74616368 00000019 58022300 v_attach....X.#. 15800: 08647276 5f646574 61636800 00001961 .drv_detach....a 15810: 02230408 6472765f 73757370 656e6400 .#..drv_suspend. 15820: 0000197b 02230808 6472765f 72657375 ...{.#..drv_resu 15830: 6d650000 00196102 230c0862 75735f74 me....a.#..bus_t 15840: 79706500 000019b9 02231008 6275735f ype......#..bus_ 15850: 64617461 00000019 d0022314 086d6f64 data......#..mod 15860: 5f6e616d 65000000 19eb0223 18086966 _name......#..if 15870: 6e616d65 00000019 eb02231c 00096164 name......#...ad 15880: 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... 15890: 04070300 0016c104 00020102 01095f5f ..............__ 158a0: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 158b0: 040a1304 00001ae5 0d415f46 414c5345 .........A_FALSE 158c0: 00000d41 5f545255 45000100 09615f62 ...A_TRUE....a_b 158d0: 6f6f6c5f 74000000 1acb0300 00155304 ool_t.........S. 158e0: 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m 158f0: 61705f74 0000001a f302010c 6164665f ap_t........adf_ 15900: 6f735f63 61636865 5f73796e 63000400 os_cache_sync... 15910: 001b7d0d 4144465f 53594e43 5f505245 ..}.ADF_SYNC_PRE 15920: 52454144 00000d41 44465f53 594e435f READ...ADF_SYNC_ 15930: 50524557 52495445 00020d41 44465f53 PREWRITE...ADF_S 15940: 594e435f 504f5354 52454144 00010d41 YNC_POSTREAD...A 15950: 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT 15960: 45000300 09616466 5f6f735f 63616368 E....adf_os_cach 15970: 655f7379 6e635f74 0000001b 14020109 e_sync_t........ 15980: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 15990: 1ab60600 001b9801 09616466 5f6f735f .........adf_os_ 159a0: 646d615f 6d61705f 74000000 1afa0300 dma_map_t....... 159b0: 001bb104 00060000 04070103 00001afa ................ 159c0: 04000600 00040701 02010600 0015e601 ................ 159d0: 02010473 686f7274 20696e74 00050209 ...short int.... 159e0: 415f494e 54313600 00001beb 095f5f61 A_INT16......__a 159f0: 5f696e74 31365f74 0000001b f809615f _int16_t......a_ 15a00: 696e7431 365f7400 00001c05 04736967 int16_t......sig 15a10: 6e656420 63686172 00050109 415f494e ned char....A_IN 15a20: 54380000 001c2509 5f5f615f 696e7438 T8....%.__a_int8 15a30: 5f740000 001c3409 615f696e 74385f74 _t....4.a_int8_t 15a40: 0000001c 40110c00 001cb708 73757070 ....@.......supp 15a50: 6f727465 64000000 166b0223 00086164 orted....k.#..ad 15a60: 76657274 697a6564 00000016 6b022304 vertized....k.#. 15a70: 08737065 65640000 001c1602 23080864 .speed......#..d 15a80: 75706c65 78000000 1c500223 0a086175 uplex....P.#..au 15a90: 746f6e65 67000000 16d20223 0b000e00 toneg......#.... 15aa0: 0016d206 00001cc4 0f050007 6164665f ............adf_ 15ab0: 6e65745f 65746861 64647200 0600001c net_ethaddr..... 15ac0: e8086164 64720000 001cb702 23000009 ..addr......#... 15ad0: 5f5f615f 75696e74 31365f74 00000013 __a_uint16_t.... 15ae0: 4009615f 75696e74 31365f74 0000001c @.a_uint16_t.... 15af0: e8110e00 001d4c08 65746865 725f6468 ......L.ether_dh 15b00: 6f737400 00001cb7 02230008 65746865 ost......#..ethe 15b10: 725f7368 6f737400 00001cb7 02230608 r_shost......#.. 15b20: 65746865 725f7479 70650000 001cfa02 ether_type...... 15b30: 230c0011 1400001e 0d146970 5f766572 #.........ip_ver 15b40: 73696f6e 00000016 d2010004 02230014 sion.........#.. 15b50: 69705f68 6c000000 16d20104 04022300 ip_hl.........#. 15b60: 0869705f 746f7300 000016d2 02230108 .ip_tos......#.. 15b70: 69705f6c 656e0000 001cfa02 23020869 ip_len......#..i 15b80: 705f6964 0000001c fa022304 0869705f p_id......#..ip_ 15b90: 66726167 5f6f6666 0000001c fa022306 frag_off......#. 15ba0: 0869705f 74746c00 000016d2 02230808 .ip_ttl......#.. 15bb0: 69705f70 726f746f 00000016 d2022309 ip_proto......#. 15bc0: 0869705f 63686563 6b000000 1cfa0223 .ip_check......# 15bd0: 0a086970 5f736164 64720000 00166b02 ..ip_saddr....k. 15be0: 230c0869 705f6461 64647200 0000166b #..ip_daddr....k 15bf0: 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla 15c00: 6e686472 00040000 1e5f0874 70696400 nhdr....._.tpid. 15c10: 00001cfa 02230014 7072696f 00000016 .....#..prio.... 15c20: d2010003 02230214 63666900 000016d2 .....#..cfi..... 15c30: 01030102 23021476 69640000 001cfa02 ....#..vid...... 15c40: 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v 15c50: 69640002 00001e90 14726573 00000016 id.......res.... 15c60: d2010004 02230014 76616c00 00001cfa .....#..val..... 15c70: 02040c02 23000011 0c00001e cc087278 ....#.........rx 15c80: 5f627566 73697a65 00000016 6b022300 _bufsize....k.#. 15c90: 0872785f 6e646573 63000000 166b0223 .rx_ndesc....k.# 15ca0: 04087478 5f6e6465 73630000 00166b02 ..tx_ndesc....k. 15cb0: 23080011 0800001e f208706f 6c6c6564 #.........polled 15cc0: 0000001a e5022300 08706f6c 6c5f7774 ......#..poll_wt 15cd0: 00000016 6b022304 000e0000 16d24000 ....k.#.......@. 15ce0: 001eff0f 3f001146 00001f27 0869665f ....?..F...'.if_ 15cf0: 6e616d65 0000001e f2022300 08646576 name......#..dev 15d00: 5f616464 72000000 1cb70223 40001304 _addr......#@... 15d10: 00001f5e 0d414446 5f4f535f 444d415f ...^.ADF_OS_DMA_ 15d20: 4d41534b 5f333242 49540000 0d414446 MASK_32BIT...ADF 15d30: 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B 15d40: 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma 15d50: 5f6d6173 6b5f7400 00001f27 07616466 _mask_t....'.adf 15d60: 5f646d61 5f696e66 6f000800 001fab08 _dma_info....... 15d70: 646d615f 6d61736b 0000001f 5e022300 dma_mask....^.#. 15d80: 0873675f 6e736567 73000000 166b0223 .sg_nsegs....k.# 15d90: 04001304 00002001 0d414446 5f4e4554 ...... ..ADF_NET 15da0: 5f434b53 554d5f4e 4f4e4500 000d4144 _CKSUM_NONE...AD 15db0: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ 15dc0: 5544505f 49507634 00010d41 44465f4e UDP_IPv4...ADF_N 15dd0: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 15de0: 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net 15df0: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... 15e00: 1fab1108 00002044 0874785f 636b7375 ...... D.tx_cksu 15e10: 6d000000 20010223 00087278 5f636b73 m... ..#..rx_cks 15e20: 756d0000 00200102 23040009 6164665f um... ..#...adf_ 15e30: 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t 15e40: 00000020 1b130400 00209d0d 4144465f ... ..... ..ADF_ 15e50: 4e45545f 54534f5f 4e4f4e45 00000d41 NET_TSO_NONE...A 15e60: 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. 15e70: 010d4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL 15e80: 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso 15e90: 5f747970 655f7400 0000205e 11100000 _type_t... ^.... 15ea0: 20f10863 6b73756d 5f636170 00000020 ..cksum_cap... 15eb0: 44022300 0874736f 00000020 9d022308 D.#..tso... ..#. 15ec0: 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. 15ed0: 000016d2 02230c00 11200000 218a0874 .....#... ..!..t 15ee0: 785f7061 636b6574 73000000 166b0223 x_packets....k.# 15ef0: 00087278 5f706163 6b657473 00000016 ..rx_packets.... 15f00: 6b022304 0874785f 62797465 73000000 k.#..tx_bytes... 15f10: 166b0223 08087278 5f627974 65730000 .k.#..rx_bytes.. 15f20: 00166b02 230c0874 785f6472 6f707065 ..k.#..tx_droppe 15f30: 64000000 166b0223 10087278 5f64726f d....k.#..rx_dro 15f40: 70706564 00000016 6b022314 0872785f pped....k.#..rx_ 15f50: 6572726f 72730000 00166b02 23180874 errors....k.#..t 15f60: 785f6572 726f7273 00000016 6b02231c x_errors....k.#. 15f70: 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd 15f80: 725f7400 00001cc4 15000021 8a030000 r_t........!.... 15f90: 0021af0f 7f001661 64665f6e 65745f63 .!.....adf_net_c 15fa0: 6d645f6d 63616464 72000304 000021e6 md_mcaddr.....!. 15fb0: 086e656c 656d0000 00166b02 2300086d .nelem....k.#..m 15fc0: 63617374 00000021 a1022304 00096164 cast...!..#...ad 15fd0: 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i 15fe0: 6e666f5f 74000000 1c5e0961 64665f6e nfo_t....^.adf_n 15ff0: 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info 16000: 5f740000 001ecc09 6164665f 6e65745f _t......adf_net_ 16010: 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t 16020: 00000020 44096164 665f6e65 745f636d ... D.adf_net_cm 16030: 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... 16040: 1e900961 64665f6e 65745f63 6d645f64 ...adf_net_cmd_d 16050: 6d615f69 6e666f5f 74000000 1f750961 ma_info_t....u.a 16060: 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t 16070: 0000001c fa096164 665f6e65 745f636d ......adf_net_cm 16080: 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. 16090: 000020b5 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd 160a0: 5f737461 74735f74 00000020 f1096164 _stats_t... ..ad 160b0: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 160c0: 5f740000 0021af0c 6164665f 6e65745f _t...!..adf_net_ 160d0: 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... 160e0: 0023280d 4144465f 4e45545f 4d434153 .#(.ADF_NET_MCAS 160f0: 545f5355 5000000d 4144465f 4e45545f T_SUP...ADF_NET_ 16100: 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... 16110: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas 16120: 745f6361 705f7400 000022e0 17030400 t_cap_t..."..... 16130: 0023fa08 6c696e6b 5f696e66 6f000000 .#..link_info... 16140: 21e60223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. 16150: 00002203 02230008 636b7375 6d5f696e .."..#..cksum_in 16160: 666f0000 00222002 23000872 696e675f fo..." .#..ring_ 16170: 696e666f 00000022 3e022300 08646d61 info...">.#..dma 16180: 5f696e66 6f000000 225b0223 00087669 _info..."[.#..vi 16190: 64000000 22770223 00086f66 666c6f61 d..."w.#..offloa 161a0: 645f6361 70000000 228e0223 00087374 d_cap..."..#..st 161b0: 61747300 000022ad 02230008 6d636173 ats..."..#..mcas 161c0: 745f696e 666f0000 0022c602 2300086d t_info..."..#..m 161d0: 63617374 5f636170 00000023 28022300 cast_cap...#(.#. 161e0: 00130400 0024510d 4144465f 4e425546 .....$Q.ADF_NBUF 161f0: 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. 16200: 0d414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 16210: 554d5f48 5700010d 4144465f 4e425546 UM_HW...ADF_NBUF 16220: 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE 16230: 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu 16240: 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ 16250: 74000000 23fa1108 00002491 08726573 t...#.....$..res 16260: 756c7400 00002451 02230008 76616c00 ult...$Q.#..val. 16270: 0000166b 02230400 11080000 24c10874 ...k.#......$..t 16280: 79706500 0000209d 02230008 6d737300 ype... ..#..mss. 16290: 00001cfa 02230408 6864725f 6f666600 .....#..hdr_off. 162a0: 000016d2 02230600 075f5f61 64665f6e .....#...__adf_n 162b0: 6275665f 71686561 64000c00 00250008 buf_qhead....%.. 162c0: 68656164 00000014 8b022300 08746169 head......#..tai 162d0: 6c000000 148b0223 0408716c 656e0000 l......#..qlen.. 162e0: 00166b02 23080009 5f5f6164 665f6e62 ..k.#...__adf_nb 162f0: 75665f74 00000014 8b030000 16e10400 uf_t............ 16300: 03000016 6b040002 01060000 136d0106 ....k........m.. 16310: 0000166b 01060000 16e10106 000016e1 ...k............ 16320: 01030000 134e0400 095f5f61 64665f6e .....N...__adf_n 16330: 6275665f 71686561 645f7400 000024c1 buf_qhead_t...$. 16340: 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu 16350: 655f7400 00002541 03000025 59040006 e_t...%A...%Y... 16360: 00002500 01060000 25000113 04000026 ..%.....%......& 16370: 790d415f 53544154 55535f4f 4b00000d y.A_STATUS_OK... 16380: 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. 16390: 010d415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN 163a0: 5400020d 415f5354 41545553 5f454e4f T...A_STATUS_ENO 163b0: 4d454d00 030d415f 53544154 55535f45 MEM...A_STATUS_E 163c0: 494e5641 4c00040d 415f5354 41545553 INVAL...A_STATUS 163d0: 5f45494e 50524f47 52455353 00050d41 _EINPROGRESS...A 163e0: 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP 163f0: 00060d41 5f535441 5455535f 45425553 ...A_STATUS_EBUS 16400: 5900070d 415f5354 41545553 5f453242 Y...A_STATUS_E2B 16410: 49470008 0d415f53 54415455 535f4541 IG...A_STATUS_EA 16420: 4444524e 4f544156 41494c00 090d415f DDRNOTAVAIL...A_ 16430: 53544154 55535f45 4e58494f 000a0d41 STATUS_ENXIO...A 16440: 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. 16450: 0d415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... 16460: 09615f73 74617475 735f7400 00002584 .a_status_t...%. 16470: 06000026 79010600 00011201 02010961 ...&y..........a 16480: 64665f6e 6275665f 74000000 25001304 df_nbuf_t...%... 16490: 000026de 0d414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ 164a0: 544f5f44 45564943 4500000d 4144465f TO_DEVICE...ADF_ 164b0: 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI 164c0: 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma 164d0: 5f646972 5f740000 0026a706 00002679 _dir_t...&....&y 164e0: 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama 164f0: 705f696e 666f5f74 00000016 88030000 p_info_t........ 16500: 26fc0400 02010201 06000026 97010600 &..........&.... 16510: 00250001 02010201 06000026 97010600 .%.........&.... 16520: 00250001 06000026 97010600 00250001 .%.....&.....%.. 16530: 06000026 97010201 02010600 00166b01 ...&..........k. 16540: 06000016 e1010201 02010600 001b9801 ................ 16550: 0600001a e5010600 001ae501 09616466 .............adf 16560: 5f6f735f 73676c69 73745f74 00000017 _os_sglist_t.... 16570: 20030000 27750400 02010201 02010600 ...'u.......... 16580: 0016e101 09616466 5f6e6275 665f7175 .....adf_nbuf_qu 16590: 6575655f 74000000 25590300 00279d04 eue_t...%Y...'.. 165a0: 00020103 00002541 04000201 02010201 ......%A........ 165b0: 06000026 97010600 00250001 06000016 ...&.....%...... 165c0: 6b010600 00166b01 0600001a e5010600 k.....k......... 165d0: 001ae501 06000020 01010600 00166b01 ....... ......k. 165e0: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks 165f0: 756d5f74 00000024 6f030000 27f90400 um_t...$o...'... 16600: 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts 16610: 6f5f7400 00002491 03000028 1d040002 o_t...$....(.... 16620: 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand 16630: 6c655f74 00000004 07096164 665f6e65 le_t......adf_ne 16640: 745f766c 616e6864 725f7400 00001e0d t_vlanhdr_t..... 16650: 03000028 52040006 00002679 01060000 ...(R.....&y.... 16660: 26790102 01020107 5f484946 5f434f4e &y......_HIF_CON 16670: 46494700 04000028 a1086475 6d6d7900 FIG....(..dummy. 16680: 00000112 02230000 02010300 0028a104 .....#.......(.. 16690: 00020103 000028aa 0400075f 4849465f ......(...._HIF_ 166a0: 43414c4c 4241434b 000c0000 28ff0873 CALLBACK....(..s 166b0: 656e645f 6275665f 646f6e65 00000028 end_buf_done...( 166c0: a3022300 08726563 765f6275 66000000 ..#..recv_buf... 166d0: 28ac0223 0408636f 6e746578 74000000 (..#..context... 166e0: 04070223 08000968 69665f68 616e646c ...#...hif_handl 166f0: 655f7400 00000407 09484946 5f434f4e e_t......HIF_CON 16700: 46494700 00002880 03000029 11040006 FIG...(....).... 16710: 000028ff 01030000 29280400 02010300 ..(.....)(...... 16720: 00293504 00094849 465f4341 4c4c4241 .)5...HIF_CALLBA 16730: 434b0000 0028b303 0000293e 04000201 CK...(....)>.... 16740: 03000029 57040006 00000112 01030000 ...)W........... 16750: 29600400 02010300 00296d04 00060000 )`.......)m..... 16760: 01120103 00002976 04000201 03000029 ......)v.......) 16770: 83040006 00000112 01030000 298c0400 ............)... 16780: 02010300 00299904 00076869 665f6170 .....)....hif_ap 16790: 69003800 002af208 5f696e69 74000000 i.8..*.._init... 167a0: 292e0223 00085f73 68757464 6f776e00 )..#.._shutdown. 167b0: 00002937 02230408 5f726567 69737465 ..)7.#.._registe 167c0: 725f6361 6c6c6261 636b0000 00295902 r_callback...)Y. 167d0: 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr 167e0: 65646974 5f636f75 6e740000 00296602 edit_count...)f. 167f0: 230c085f 73746172 74000000 29370223 #.._start...)7.# 16800: 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. 16810: 00296f02 2314085f 73656e64 5f627566 .)o.#.._send_buf 16820: 66657200 0000297c 02231808 5f726574 fer...)|.#.._ret 16830: 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) 16840: 8502231c 085f6973 5f706970 655f7375 ..#.._is_pipe_su 16850: 70706f72 74656400 00002992 02232008 pported...)..# . 16860: 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len 16870: 00000029 92022324 085f6765 745f7265 ...)..#$._get_re 16880: 73657276 65645f68 65616472 6f6f6d00 served_headroom. 16890: 00002966 02232808 5f697372 5f68616e ..)f.#(._isr_han 168a0: 646c6572 00000029 3702232c 085f6765 dler...)7.#,._ge 168b0: 745f6465 6661756c 745f7069 70650000 t_default_pipe.. 168c0: 00299b02 23300870 52657365 72766564 .)..#0.pReserved 168d0: 00000004 07022334 000c646d 615f656e ......#4..dma_en 168e0: 67696e65 00040000 2b7b0d44 4d415f45 gine....+{.DMA_E 168f0: 4e47494e 455f5258 3000000d 444d415f NGINE_RX0...DMA_ 16900: 454e4749 4e455f52 58310001 0d444d41 ENGINE_RX1...DMA 16910: 5f454e47 494e455f 52583200 020d444d _ENGINE_RX2...DM 16920: 415f454e 47494e45 5f525833 00030d44 A_ENGINE_RX3...D 16930: 4d415f45 4e47494e 455f5458 3000040d MA_ENGINE_TX0... 16940: 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. 16950: 0d444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. 16960: 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. 16970: 00002af2 0c646d61 5f696674 79706500 ..*..dma_iftype. 16980: 0400002b c80d444d 415f4946 5f474d41 ...+..DMA_IF_GMA 16990: 4300000d 444d415f 49465f50 43490001 C...DMA_IF_PCI.. 169a0: 0d444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... 169b0: 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ 169c0: 8d060000 13400103 00002bda 04000201 .....@....+..... 169d0: 0300002b e7040002 01030000 2bf00400 ...+........+... 169e0: 06000009 72010300 002bf904 00060000 ....r....+...... 169f0: 13400103 00002c06 04000600 00134001 .@....,.......@. 16a00: 0300002c 13040006 0000148b 01030000 ...,............ 16a10: 2c200400 02010300 002c2d04 0007646d , .......,-...dm 16a20: 615f6c69 625f6170 69003400 002d3408 a_lib_api.4..-4. 16a30: 74785f69 6e697400 00002be0 02230008 tx_init...+..#.. 16a40: 74785f73 74617274 0000002b e9022304 tx_start...+..#. 16a50: 0872785f 696e6974 0000002b e0022308 .rx_init...+..#. 16a60: 0872785f 636f6e66 69670000 002bf202 .rx_config...+.. 16a70: 230c0872 785f7374 61727400 00002be9 #..rx_start...+. 16a80: 02231008 696e7472 5f737461 74757300 .#..intr_status. 16a90: 00002bff 02231408 68617264 5f786d69 ..+..#..hard_xmi 16aa0: 74000000 2c0c0223 1808666c 7573685f t...,..#..flush_ 16ab0: 786d6974 0000002b e902231c 08786d69 xmit...+..#..xmi 16ac0: 745f646f 6e650000 002c1902 23200872 t_done...,..# .r 16ad0: 6561705f 786d6974 74656400 00002c26 eap_xmitted...,& 16ae0: 02232408 72656170 5f726563 76000000 .#$.reap_recv... 16af0: 2c260223 28087265 7475726e 5f726563 ,&.#(.return_rec 16b00: 76000000 2c2f0223 2c087265 63765f70 v...,/.#,.recv_p 16b10: 6b740000 002c1902 23300007 5f5f7063 kt...,..#0..__pc 16b20: 695f736f 66746300 0c00002d 52087377 i_softc....-R.sw 16b30: 00000029 3e022300 00095f5f 7063695f ...)>.#...__pci_ 16b40: 736f6674 635f7400 00002d34 0300002d softc_t...-4...- 16b50: 52040002 01030000 2d6c0400 06000013 R.......-l...... 16b60: 2c010300 002d7504 000c6869 665f7063 ,....-u...hif_pc 16b70: 695f7069 70655f74 78000400 002dd50d i_pipe_tx....-.. 16b80: 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 16b90: 00000d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 16ba0: 54583100 010d4849 465f5043 495f5049 TX1...HIF_PCI_PI 16bb0: 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif 16bc0: 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. 16bd0: 002d8206 00002b7b 01030000 2dec0400 .-....+{....-... 16be0: 0c686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 16bf0: 00040000 2e720d48 49465f50 43495f50 .....r.HIF_PCI_P 16c00: 4950455f 52583000 000d4849 465f5043 IPE_RX0...HIF_PC 16c10: 495f5049 50455f52 58310001 0d484946 I_PIPE_RX1...HIF 16c20: 5f504349 5f504950 455f5258 3200020d _PCI_PIPE_RX2... 16c30: 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 16c40: 00030d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 16c50: 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc 16c60: 695f7069 70655f72 785f7400 00002df9 i_pipe_rx_t...-. 16c70: 0600002b 7b010300 002e8904 00076869 ...+{.........hi 16c80: 665f7063 695f6170 69002400 002f6708 f_pci_api.$../g. 16c90: 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... 16ca0: 010b0223 00087063 695f696e 69740000 ...#..pci_init.. 16cb0: 00292e02 23040870 63695f72 65736574 .)..#..pci_reset 16cc0: 00000001 0b022308 08706369 5f656e61 ......#..pci_ena 16cd0: 626c6500 0000010b 02230c08 7063695f ble......#..pci_ 16ce0: 72656170 5f786d69 74746564 0000002d reap_xmitted...- 16cf0: 6e022310 08706369 5f726561 705f7265 n.#..pci_reap_re 16d00: 63760000 002d6e02 23140870 63695f67 cv...-n.#..pci_g 16d10: 65745f70 69706500 00002d7b 02231808 et_pipe...-{.#.. 16d20: 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. 16d30: 002df202 231c0870 63695f67 65745f72 .-..#..pci_get_r 16d40: 785f656e 67000000 2e8f0223 20000767 x_eng......# ..g 16d50: 6d61635f 61706900 0400002f 8e08676d mac_api..../..gm 16d60: 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... 16d70: 0b022300 000e0000 031f0600 002f9b0f ..#........../.. 16d80: 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ 16d90: d1086473 74000000 2f8e0223 00087372 ..dst.../..#..sr 16da0: 63000000 2f8e0223 06086574 79706500 c.../..#..etype. 16db0: 00001340 02230c00 075f5f61 74686864 ...@.#...__athhd 16dc0: 72000400 00301f14 72657300 0000132c r....0..res...., 16dd0: 01000202 23001470 726f746f 00000013 ....#..proto.... 16de0: 2c010206 02230008 7265735f 6c6f0000 ,....#..res_lo.. 16df0: 00132c02 23010872 65735f68 69000000 ..,.#..res_hi... 16e00: 13400223 0200075f 5f676d61 635f6864 .@.#...__gmac_hd 16e10: 72001400 00305b08 65746800 00002f9b r....0[.eth.../. 16e20: 02230008 61746800 00002fd1 02230e08 .#..ath.../..#.. 16e30: 616c6967 6e5f7061 64000000 13400223 align_pad....@.# 16e40: 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. 16e50: 0000301f 075f5f67 6d61635f 736f6674 ..0..__gmac_soft 16e60: 63002400 0030a508 68647200 0000305b c.$..0..hdr...0[ 16e70: 02230008 6772616e 00000013 40022314 .#..gran....@.#. 16e80: 08737700 0000293e 02231800 075f415f .sw...)>.#..._A_ 16e90: 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check 16ea0: 00080000 30de0876 65727369 6f6e0000 ....0..version.. 16eb0: 00011202 23000874 61626c65 00000001 ....#..table.... 16ec0: 12022304 00030000 30a50400 06000001 ..#.....0....... 16ed0: 12010300 0030e504 00030000 040a0400 .....0.......... 16ee0: 165f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire 16ef0: 6374696f 6e5f7461 626c6500 01b80000 ction_table..... 16f00: 32350868 616c5f6c 696e6b61 67655f63 25.hal_linkage_c 16f10: 6865636b 00000030 eb022300 08737461 heck...0..#..sta 16f20: 72745f62 73730000 0030f202 23040861 rt_bss...0..#..a 16f30: 70705f73 74617274 00000001 0b022308 pp_start......#. 16f40: 086d656d 00000004 4a02230c 086d6973 .mem....J.#..mis 16f50: 63000000 05bd0223 20087072 696e7466 c......# .printf 16f60: 00000001 3f022344 08756172 74000000 ....?.#D.uart... 16f70: 02080223 4c08676d 61630000 002f6702 ...#L.gmac.../g. 16f80: 236c0875 73620000 000fec02 23700863 #l.usb......#p.c 16f90: 6c6f636b 0000000b 350323e0 01087469 lock....5.#...ti 16fa0: 6d657200 000007d5 03238402 08696e74 mer......#...int 16fb0: 72000000 0c850323 98020861 6c6c6f63 r......#...alloc 16fc0: 72616d00 0000098d 0323c402 08726f6d ram......#...rom 16fd0: 70000000 087f0323 d0020877 64745f74 p......#...wdt_t 16fe0: 696d6572 0000000e 620323e0 02086565 imer....b.#...ee 16ff0: 70000000 0f900323 fc020873 7472696e p......#...strin 17000: 67000000 06e10323 8c030874 61736b6c g......#...taskl 17010: 65740000 000a8a03 23a40300 075f5553 et......#...._US 17020: 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... 17030: 0032a808 6765745f 636f6d6d 616e645f .2..get_command_ 17040: 62756600 00001498 02230008 72656376 buf......#..recv 17050: 5f636f6d 6d616e64 00000014 ae022304 _command......#. 17060: 08676574 5f657665 6e745f62 75660000 .get_event_buf.. 17070: 00149802 23080873 656e645f 6576656e ....#..send_even 17080: 745f646f 6e650000 0014ae02 230c0009 t_done......#... 17090: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. 170a0: 00003235 03000032 a8040002 01030000 ..25...2........ 170b0: 32c40400 07757362 6669666f 5f617069 2....usbfifo_api 170c0: 000c0000 331a085f 696e6974 00000032 ....3.._init...2 170d0: c6022300 085f656e 61626c65 5f657665 ..#.._enable_eve 170e0: 6e745f69 73720000 00010b02 23040870 nt_isr......#..p 170f0: 52657365 72766564 00000004 07022308 Reserved......#. 17100: 000e0000 16d20200 0033270f 0100075f .........3'...._ 17110: 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... 17120: 00339908 456e6470 6f696e74 49440000 .3..EndpointID.. 17130: 0016d202 23000846 6c616773 00000016 ....#..Flags.... 17140: d2022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. 17150: 00001cfa 02230208 436f6e74 726f6c42 .....#..ControlB 17160: 79746573 00000033 1a022304 08486f73 ytes...3..#..Hos 17170: 74536571 4e756d00 00001cfa 02230600 tSeqNum......#.. 17180: 11020000 33b2084d 65737361 67654944 ....3..MessageID 17190: 0000001c fa022300 00110800 00341508 ......#......4.. 171a0: 4d657373 61676549 44000000 1cfa0223 MessageID......# 171b0: 00084372 65646974 436f756e 74000000 ..CreditCount... 171c0: 1cfa0223 02084372 65646974 53697a65 ...#..CreditSize 171d0: 0000001c fa022304 084d6178 456e6470 ......#..MaxEndp 171e0: 6f696e74 73000000 16d20223 06085f50 oints......#.._P 171f0: 61643100 000016d2 02230700 110a0000 ad1......#...... 17200: 34ac084d 65737361 67654944 0000001c 4..MessageID.... 17210: fa022300 08536572 76696365 49440000 ..#..ServiceID.. 17220: 001cfa02 23020843 6f6e6e65 6374696f ....#..Connectio 17230: 6e466c61 67730000 001cfa02 23040844 nFlags......#..D 17240: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... 17250: 16d20223 06085570 4c696e6b 50697065 ...#..UpLinkPipe 17260: 49440000 0016d202 23070853 65727669 ID......#..Servi 17270: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... 17280: d2022308 085f5061 64310000 0016d202 ..#.._Pad1...... 17290: 23090011 0a000035 34084d65 73736167 #......54.Messag 172a0: 65494400 00001cfa 02230008 53657276 eID......#..Serv 172b0: 69636549 44000000 1cfa0223 02085374 iceID......#..St 172c0: 61747573 00000016 d2022304 08456e64 atus......#..End 172d0: 706f696e 74494400 000016d2 02230508 pointID......#.. 172e0: 4d61784d 73675369 7a650000 001cfa02 MaxMsgSize...... 172f0: 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe 17300: 6e677468 00000016 d2022308 085f5061 ngth......#.._Pa 17310: 64310000 0016d202 23090011 02000035 d1......#......5 17320: 4d084d65 73736167 65494400 00001cfa M.MessageID..... 17330: 02230000 11040000 3589084d 65737361 .#......5..Messa 17340: 67654944 0000001c fa022300 08506970 geID......#..Pip 17350: 65494400 000016d2 02230208 43726564 eID......#..Cred 17360: 6974436f 756e7400 000016d2 02230300 itCount......#.. 17370: 11040000 35c0084d 65737361 67654944 ....5..MessageID 17380: 0000001c fa022300 08506970 65494400 ......#..PipeID. 17390: 000016d2 02230208 53746174 75730000 .....#..Status.. 173a0: 0016d202 23030011 02000035 e7085265 ....#......5..Re 173b0: 636f7264 49440000 0016d202 2300084c cordID......#..L 173c0: 656e6774 68000000 16d20223 01001102 ength......#.... 173d0: 00003611 08456e64 706f696e 74494400 ..6..EndpointID. 173e0: 000016d2 02230008 43726564 69747300 .....#..Credits. 173f0: 000016d2 02230100 11040000 36520845 .....#......6R.E 17400: 6e64706f 696e7449 44000000 16d20223 ndpointID......# 17410: 00084372 65646974 73000000 16d20223 ..Credits......# 17420: 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo 17430: 0000001c fa022302 000e0000 16d20400 ......#......... 17440: 00365f0f 03001106 0000369b 08507265 .6_.......6..Pre 17450: 56616c69 64000000 16d20223 00084c6f Valid......#..Lo 17460: 6f6b4168 65616400 00003652 02230108 okAhead...6R.#.. 17470: 506f7374 56616c69 64000000 16d20223 PostValid......# 17480: 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t 17490: 00000004 07060000 369b0103 000036ae ........6.....6. 174a0: 04000201 03000036 bb040013 04000037 .......6.......7 174b0: 390d504f 4f4c5f49 445f4854 435f434f 9.POOL_ID_HTC_CO 174c0: 4e54524f 4c00000d 504f4f4c 5f49445f NTROL...POOL_ID_ 174d0: 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL 174e0: 5900010d 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ 174f0: 5356435f 4556454e 5400020d 504f4f4c SVC_EVENT...POOL 17500: 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. 17510: 030d504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... 17520: 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 17530: c4020103 0000374a 04000600 00269701 ......7J.....&.. 17540: 03000037 53040006 00002697 01030000 ...7S.....&..... 17550: 37600400 02010300 00376d04 00076275 7`.......7m...bu 17560: 665f706f 6f6c5f61 7069001c 0000380f f_pool_api....8. 17570: 085f696e 69740000 0036b402 2300085f ._init...6..#.._ 17580: 73687574 646f776e 00000036 bd022304 shutdown...6..#. 17590: 085f6372 65617465 5f706f6f 6c000000 ._create_pool... 175a0: 374c0223 08085f61 6c6c6f63 5f627566 7L.#.._alloc_buf 175b0: 00000037 5902230c 085f616c 6c6f635f ...7Y.#.._alloc_ 175c0: 6275665f 616c6967 6e000000 37660223 buf_align...7f.# 175d0: 10085f66 7265655f 62756600 0000376f .._free_buf...7o 175e0: 02231408 70526573 65727665 64000000 .#..pReserved... 175f0: 04070223 1800075f 4854435f 53455256 ...#..._HTC_SERV 17600: 49434500 1c000038 ee08704e 65787400 ICE....8..pNext. 17610: 000038ee 02230008 50726f63 65737352 ..8..#..ProcessR 17620: 6563764d 73670000 0039a302 23040850 ecvMsg...9..#..P 17630: 726f6365 73735365 6e644275 66666572 rocessSendBuffer 17640: 436f6d70 6c657465 00000039 ac022308 Complete...9..#. 17650: 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. 17660: 000039c0 02230c08 53657276 69636549 ..9..#..ServiceI 17670: 44000000 13400223 10085365 72766963 D....@.#..Servic 17680: 65466c61 67730000 00134002 2312084d eFlags....@.#..M 17690: 61785376 634d7367 53697a65 00000013 axSvcMsgSize.... 176a0: 40022314 08547261 696c6572 53706343 @.#..TrailerSpcC 176b0: 6865636b 4c696d69 74000000 13400223 heckLimit....@.# 176c0: 16085365 72766963 65437478 00000004 ..ServiceCtx.... 176d0: 07022318 00030000 380f0400 13040000 ..#.....8....... 176e0: 398c1845 4e44504f 494e545f 554e5553 9..ENDPOINT_UNUS 176f0: 454400ff ffffff0d 454e4450 4f494e54 ED......ENDPOINT 17700: 3000000d 454e4450 4f494e54 3100010d 0...ENDPOINT1... 17710: 454e4450 4f494e54 3200020d 454e4450 ENDPOINT2...ENDP 17720: 4f494e54 3300030d 454e4450 4f494e54 OINT3...ENDPOINT 17730: 3400040d 454e4450 4f494e54 3500050d 4...ENDPOINT5... 17740: 454e4450 4f494e54 3600060d 454e4450 ENDPOINT6...ENDP 17750: 4f494e54 3700070d 454e4450 4f494e54 OINT7...ENDPOINT 17760: 3800080d 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX 17770: 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT 17780: 5f494400 000038f5 02010300 0039a104 _ID...8......9.. 17790: 00020103 000039aa 04000300 00011204 ......9......... 177a0: 00060000 132c0103 000039ba 04000300 .....,....9..... 177b0: 00380f04 00075f48 54435f43 4f4e4649 .8...._HTC_CONFI 177c0: 47001400 003a3f08 43726564 69745369 G....:?.CreditSi 177d0: 7a650000 00011202 23000843 72656469 ze......#..Credi 177e0: 744e756d 62657200 00000112 02230408 tNumber......#.. 177f0: 4f534861 6e646c65 0000001a 96022308 OSHandle......#. 17800: 08484946 48616e64 6c650000 0028ff02 .HIFHandle...(.. 17810: 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... 17820: 369b0223 1000075f 4854435f 4255465f 6..#..._HTC_BUF_ 17830: 434f4e54 45585400 0200003a 7b08656e CONTEXT....:{.en 17840: 645f706f 696e7400 0000132c 02230008 d_point....,.#.. 17850: 6874635f 666c6167 73000000 132c0223 htc_flags....,.# 17860: 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. 17870: 00000407 09485443 5f534554 55505f43 .....HTC_SETUP_C 17880: 4f4d504c 4554455f 43420000 00010b09 OMPLETE_CB...... 17890: 4854435f 434f4e46 49470000 0039ce03 HTC_CONFIG...9.. 178a0: 00003aa8 04000600 003a7b01 0300003a ..:......:{....: 178b0: bf040002 01030000 3acc0400 09485443 ........:....HTC 178c0: 5f534552 56494345 00000038 0f030000 _SERVICE...8.... 178d0: 3ad50400 02010300 003aed04 00020103 :........:...... 178e0: 00003af6 04000201 0300003a ff040006 ..:........:.... 178f0: 00000112 01030000 3b080400 07687463 ........;....htc 17900: 5f617069 73003400 003c8508 5f485443 _apis.4..<.._HTC 17910: 5f496e69 74000000 3ac50223 00085f48 _Init...:..#.._H 17920: 54435f53 68757464 6f776e00 00003ace TC_Shutdown...:. 17930: 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe 17940: 72536572 76696365 0000003a ef022308 rService...:..#. 17950: 085f4854 435f5265 61647900 00003ace ._HTC_Ready...:. 17960: 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 17970: 75666665 72730000 003af802 2310085f uffers...:..#.._ 17980: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 17990: 734c6973 74000000 3b010223 14085f48 sList...;..#.._H 179a0: 54435f53 656e644d 73670000 003af802 TC_SendMsg...:.. 179b0: 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser 179c0: 76656448 65616472 6f6f6d00 00003b0e vedHeadroom...;. 179d0: 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv 179e0: 48616e64 6c657200 000028ac 02232008 Handler...(..# . 179f0: 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan 17a00: 646c6572 00000028 a3022324 085f4854 dler...(..#$._HT 17a10: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc 17a20: 6573734d 73670000 0039a302 2328085f essMsg...9..#(._ 17a30: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr 17a40: 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet 17a50: 65000000 39ac0223 2c087052 65736572 e...9..#,.pReser 17a60: 76656400 00000407 02233000 07686f73 ved......#0..hos 17a70: 745f6170 705f6172 65615f73 00040000 t_app_area_s.... 17a80: 3cb50877 6d695f70 726f746f 636f6c5f <..wmi_protocol_ 17a90: 76657200 0000166b 02230000 110e0000 ver....k.#...... 17aa0: 3cec0864 73744d61 63000000 1cb70223 <..dstMac......# 17ab0: 00087372 634d6163 0000001c b7022306 ..srcMac......#. 17ac0: 08747970 654f724c 656e0000 001cfa02 .typeOrLen...... 17ad0: 230c000e 000016d2 0300003c f90f0200 #..........<.... 17ae0: 11080000 3d490864 73617000 000016d2 ....=I.dsap..... 17af0: 02230008 73736170 00000016 d2022301 .#..ssap......#. 17b00: 08636e74 6c000000 16d20223 02086f72 .cntl......#..or 17b10: 67436f64 65000000 3cec0223 03086574 gCode...<..#..et 17b20: 68657254 79706500 00001cfa 02230600 herType......#.. 17b30: 11020000 3d6a0872 73736900 00001c50 ....=j.rssi....P 17b40: 02230008 696e666f 00000016 d2022301 .#..info......#. 17b50: 00110400 003d9108 636f6d6d 616e6449 .....=..commandI 17b60: 64000000 1cfa0223 00087365 714e6f00 d......#..seqNo. 17b70: 00001cfa 02230200 0e000016 d2010000 .....#.......... 17b80: 3d9e0f00 00110200 003dc508 6d736753 =........=..msgS 17b90: 697a6500 000016d2 02230008 6d736744 ize......#..msgD 17ba0: 61746100 00003d91 02230100 11080000 ata...=..#...... 17bb0: 3e0c0861 64647265 73734c00 00001cfa >..addressL..... 17bc0: 02230008 61646472 65737348 0000001c .#..addressH.... 17bd0: fa022302 0876616c 75654c00 00001cfa ..#..valueL..... 17be0: 02230408 76616c75 65480000 001cfa02 .#..valueH...... 17bf0: 23060009 574d495f 41565400 00003dc5 #...WMI_AVT...=. 17c00: 0e00003e 0c080000 3e260f00 00110c00 ...>....>&...... 17c10: 003e5d08 7475706c 654e756d 4c000000 .>].tupleNumL... 17c20: 1cfa0223 00087475 706c654e 756d4800 ...#..tupleNumH. 17c30: 00001cfa 02230208 61767400 00003e19 .....#..avt...>. 17c40: 02230400 11010000 3e7f0862 6561636f .#......>..beaco 17c50: 6e50656e 64696e67 436f756e 74000000 nPendingCount... 17c60: 16d20223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ 17c70: 434f4e46 49470010 00003ee8 08487463 CONFIG....>..Htc 17c80: 48616e64 6c650000 003a7b02 23000850 Handle...:{.#..P 17c90: 6f6f6c48 616e646c 65000000 369b0223 oolHandle...6..# 17ca0: 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt 17cb0: 73000000 01120223 08084d61 78457665 s......#..MaxEve 17cc0: 6e744576 74730000 00011202 230c0002 ntEvts......#... 17cd0: 01030000 3ee80400 09574d49 5f434d44 ....>....WMI_CMD 17ce0: 5f48414e 444c4552 0000003e ea075f57 _HANDLER...>.._W 17cf0: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR 17d00: 59000800 003f5108 70436d64 48616e64 Y....?Q.pCmdHand 17d10: 6c657200 00003ef1 02230008 436d6449 ler...>..#..CmdI 17d20: 44000000 13400223 0408466c 61677300 D....@.#..Flags. 17d30: 00001340 02230600 075f574d 495f4449 ...@.#..._WMI_DI 17d40: 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... 17d50: 3fb20870 4e657874 0000003f b2022300 ?..pNext...?..#. 17d60: 0870436f 6e746578 74000000 04070223 .pContext......# 17d70: 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie 17d80: 73000000 01120223 08087054 61626c65 s......#..pTable 17d90: 0000003f d102230c 00030000 3f510400 ...?..#.....?Q.. 17da0: 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN 17db0: 54525900 00003f06 0300003f b9040003 TRY...?....?.... 17dc0: 00003f51 04000948 54435f42 55465f43 ..?Q...HTC_BUF_C 17dd0: 4f4e5445 58540000 003a3f0c 574d495f ONTEXT...:?.WMI_ 17de0: 4556545f 434c4153 53000400 00406918 EVT_CLASS....@i. 17df0: 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO 17e00: 4e4500ff ffffff0d 574d495f 4556545f NE......WMI_EVT_ 17e10: 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. 17e20: 000d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 17e30: 434d445f 5245504c 5900010d 574d495f CMD_REPLY...WMI_ 17e40: 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... 17e50: 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. 17e60: 003ff407 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON 17e70: 54455854 000c0000 40c70848 74634275 TEXT....@..HtcBu 17e80: 66437478 0000003f df022300 08457665 fCtx...?..#..Eve 17e90: 6e74436c 61737300 00004069 02230408 ntClass...@i.#.. 17ea0: 466c6167 73000000 13400223 08000977 Flags....@.#...w 17eb0: 6d695f68 616e646c 655f7400 00000407 mi_handle_t..... 17ec0: 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. 17ed0: 00003e7f 03000040 d9040006 000040c7 ..>....@......@. 17ee0: 01030000 40f40400 09574d49 5f444953 ....@....WMI_DIS 17ef0: 50415443 485f5441 424c4500 00003f51 PATCH_TABLE...?Q 17f00: 03000041 01040002 01030000 41200400 ...A........A .. 17f10: 06000026 97010300 00412904 00020103 ...&.....A)..... 17f20: 00004136 04000600 00011201 03000041 ..A6...........A 17f30: 3f040002 01030000 414c0400 06000013 ?.......AL...... 17f40: 2c010300 00415504 00075f77 6d695f73 ,....AU..._wmi_s 17f50: 76635f61 70697300 2c000042 9d085f57 vc_apis.,..B.._W 17f60: 4d495f49 6e697400 000040fa 02230008 MI_Init...@..#.. 17f70: 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis 17f80: 70617463 68546162 6c650000 00412202 patchTable...A". 17f90: 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve 17fa0: 6e740000 00412f02 2308085f 574d495f nt...A/.#.._WMI_ 17fb0: 53656e64 4576656e 74000000 41380223 SendEvent...A8.# 17fc0: 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin 17fd0: 67457665 6e747343 6f756e74 00000041 gEventsCount...A 17fe0: 45022310 085f574d 495f5365 6e64436f E.#.._WMI_SendCo 17ff0: 6d706c65 74654861 6e646c65 72000000 mpleteHandler... 18000: 39ac0223 14085f57 4d495f47 6574436f 9..#.._WMI_GetCo 18010: 6e74726f 6c457000 00004145 02231808 ntrolEp...AE.#.. 18020: 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... 18030: 414e0223 1c085f57 4d495f52 6563764d AN.#.._WMI_RecvM 18040: 65737361 67654861 6e646c65 72000000 essageHandler... 18050: 39a30223 20085f57 4d495f53 65727669 9..# ._WMI_Servi 18060: 6365436f 6e6e6563 74000000 415b0223 ceConnect...A[.# 18070: 24087052 65736572 76656400 00000407 $.pReserved..... 18080: 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. 18090: 0000431f 08637472 6c000000 01760223 ..C..ctrl....v.# 180a0: 00087374 61747573 00000001 76022302 ..status....v.#. 180b0: 08746f74 616c4c65 6e000000 01760223 .totalLen....v.# 180c0: 04086461 74615369 7a650000 00017602 ..dataSize....v. 180d0: 2306086c 61737441 64647200 0000431f #..lastAddr...C. 180e0: 02230808 64617461 41646472 00000001 .#..dataAddr.... 180f0: 9a02230c 086e6578 74416464 72000000 ..#..nextAddr... 18100: 431f0223 10000300 00429d04 00030000 C..#.....B...... 18110: 429d0400 077a7344 6d615175 65756500 B....zsDmaQueue. 18120: 08000043 5f086865 61640000 00432602 ...C_.head...C&. 18130: 23000874 65726d69 6e61746f 72000000 #..terminator... 18140: 43260223 0400077a 73547844 6d615175 C&.#...zsTxDmaQu 18150: 65756500 10000043 c3086865 61640000 eue....C..head.. 18160: 00432602 23000874 65726d69 6e61746f .C&.#..terminato 18170: 72000000 43260223 0408786d 69746564 r...C&.#..xmited 18180: 5f627566 5f686561 64000000 148b0223 _buf_head......# 18190: 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai 181a0: 6c000000 148b0223 0c000201 03000043 l......#.......C 181b0: c3040003 0000432d 04000201 03000043 ......C-.......C 181c0: d3040003 0000435f 04000201 03000043 ......C_.......C 181d0: e3040002 01030000 43ec0400 02010300 ........C....... 181e0: 0043f504 00060000 148b0103 000043fe .C............C. 181f0: 04000201 03000044 0b040006 0000148b .......D........ 18200: 01030000 44140400 02010300 00442104 ....D........D!. 18210: 00060000 01120103 0000442a 04000600 ..........D*.... 18220: 00432601 03000044 37040002 01030000 .C&....D7....... 18230: 44440400 07646d61 5f656e67 696e655f DD...dma_engine_ 18240: 61706900 40000045 ba085f69 6e697400 api.@..E.._init. 18250: 000043c5 02230008 5f696e69 745f7278 ..C..#.._init_rx 18260: 5f717565 75650000 0043d502 2304085f _queue...C..#.._ 18270: 696e6974 5f74785f 71756575 65000000 init_tx_queue... 18280: 43e50223 08085f63 6f6e6669 675f7278 C..#.._config_rx 18290: 5f717565 75650000 0043ee02 230c085f _queue...C..#.._ 182a0: 786d6974 5f627566 00000043 f7022310 xmit_buf...C..#. 182b0: 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C 182c0: d5022314 085f7265 61705f72 6563765f ..#.._reap_recv_ 182d0: 62756600 00004404 02231808 5f726574 buf...D..#.._ret 182e0: 75726e5f 72656376 5f627566 00000044 urn_recv_buf...D 182f0: 0d02231c 085f7265 61705f78 6d697465 ..#.._reap_xmite 18300: 645f6275 66000000 441a0223 20085f73 d_buf...D..# ._s 18310: 7761705f 64617461 00000044 23022324 wap_data...D#.#$ 18320: 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack 18330: 65747300 00004430 02232808 5f646573 ets...D0.#(._des 18340: 635f6475 6d700000 0043d502 232c085f c_dump...C..#,._ 18350: 6765745f 7061636b 65740000 00443d02 get_packet...D=. 18360: 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack 18370: 65740000 00444602 2334085f 7075745f et...DF.#4._put_ 18380: 7061636b 65740000 00444602 23380870 packet...DF.#8.p 18390: 52657365 72766564 00000004 0702233c Reserved......#< 183a0: 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 183b0: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. 183c0: 0030f909 574d495f 5356435f 41504953 .0..WMI_SVC_APIS 183d0: 00000041 62165f41 5f6d6167 7069655f ...Ab._A_magpie_ 183e0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 183f0: 6500034c 000046e8 08636d6e 6f730000 e..L..F..cmnos.. 18400: 0045ba02 23000864 62670000 0003d403 .E..#..dbg...... 18410: 23b80308 68696600 000029a2 0323c003 #...hif...)..#.. 18420: 08687463 0000003b 150323f8 0308776d .htc...;..#...wm 18430: 695f7376 635f6170 69000000 45dc0323 i_svc_api...E..# 18440: ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. 18450: 0032cd03 23d80408 6275665f 706f6f6c .2..#...buf_pool 18460: 00000037 760323e4 04087662 75660000 ...7v.#...vbuf.. 18470: 0014b503 23800508 76646573 63000000 ....#...vdesc... 18480: 13970323 94050861 6c6c6f63 72616d00 ...#...allocram. 18490: 0000098d 0323a805 08646d61 5f656e67 .....#...dma_eng 184a0: 696e6500 0000444d 0323b405 08646d61 ine...DM.#...dma 184b0: 5f6c6962 0000002c 360323f4 05086869 _lib...,6.#...hi 184c0: 665f7063 69000000 2e960323 a8060009 f_pci......#.... 184d0: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 184e0: 6374696f 6e5f7461 626c655f 74000000 ction_table_t... 184f0: 45ee1110 0000473e 08613000 000001af E.....G>.a0..... 18500: 02230008 61310000 0001af02 23040861 .#..a1......#..a 18510: 32000000 01af0223 08086133 00000001 2......#..a3.... 18520: af02230c 000e0000 470b5000 00474b0f ..#.....G.P..GK. 18530: 04000758 54656e73 615f6578 63657074 ...XTensa_except 18540: 696f6e5f 6672616d 655f7300 80000048 ion_frame_s....H 18550: 2f087874 5f706300 000001af 02230008 /.xt_pc......#.. 18560: 78745f70 73000000 01af0223 04087874 xt_ps......#..xt 18570: 5f736172 00000001 af022308 0878745f _sar......#..xt_ 18580: 76707269 00000001 af02230c 0878745f vpri......#..xt_ 18590: 61320000 0001af02 23100878 745f6133 a2......#..xt_a3 185a0: 00000001 af022314 0878745f 61340000 ......#..xt_a4.. 185b0: 0001af02 23180878 745f6135 00000001 ....#..xt_a5.... 185c0: af02231c 0878745f 65786363 61757365 ..#..xt_exccause 185d0: 00000001 af022320 0878745f 6c636f75 ......# .xt_lcou 185e0: 6e740000 0001af02 23240878 745f6c62 nt......#$.xt_lb 185f0: 65670000 0001af02 23280878 745f6c65 eg......#(.xt_le 18600: 6e640000 0001af02 232c0877 62000000 nd......#,.wb... 18610: 473e0223 30000943 50555f65 78636570 G>.#0..CPU_excep 18620: 74696f6e 5f667261 6d655f74 00000047 tion_frame_t...G 18630: 4b110800 00486d08 69737200 00000c59 K....Hm.isr....Y 18640: 02230008 6973725f 61726700 00000407 .#..isr_arg..... 18650: 02230400 0e000048 4a980000 487a0f12 .#.....HJ...Hz.. 18660: 0019636d 6e6f735f 6973725f 696e666f ..cmnos_isr_info 18670: 00000048 6d050300 50099001 19636d6e ...Hm...P....cmn 18680: 6f735f65 6e61626c 65645f69 6e746572 os_enabled_inter 18690: 72757074 73000000 01af0503 00500980 rupts........P.. 186a0: 011a0000 01121a00 00011203 000048bf ..............H. 186b0: 04000300 000c8504 0002011b 011e636d ..............cm 186c0: 6e6f735f 696e7472 5f64756d 6d790000 nos_intr_dummy.. 186d0: 0001af01 01039201 20029000 008e2188 ........ .....!. 186e0: 008e218d 00004911 1c011e70 5061726d ..!...I....pParm 186f0: 00000004 07015200 1d012a63 6d6e6f73 ......R...*cmnos 18700: 5f696e74 725f696e 69740001 01039201 _intr_init...... 18710: 20029000 008e2190 008e21b6 00004941 .....!...!...IA 18720: 1e690000 0001af00 1d013c63 6d6e6f73 .i..........tupleNumL. 1c6b0: 00001ca3 02230008 7475706c 654e756d .....#..tupleNum 1c6c0: 48000000 1ca30223 02086176 74000000 H......#..avt... 1c6d0: 3dc20223 04001201 00003e28 08626561 =..#......>(.bea 1c6e0: 636f6e50 656e6469 6e67436f 756e7400 conPendingCount. 1c6f0: 0000167b 02230000 075f574d 495f5356 ...{.#..._WMI_SV 1c700: 435f434f 4e464947 00100000 3e910848 C_CONFIG....>..H 1c710: 74634861 6e646c65 0000003a 24022300 tcHandle...:$.#. 1c720: 08506f6f 6c48616e 646c6500 00003644 .PoolHandle...6D 1c730: 02230408 4d617843 6d645265 706c7945 .#..MaxCmdReplyE 1c740: 76747300 0000010f 02230808 4d617845 vts......#..MaxE 1c750: 76656e74 45767473 00000001 0f02230c ventEvts......#. 1c760: 00020103 00003e91 04000957 4d495f43 ......>....WMI_C 1c770: 4d445f48 414e444c 45520000 003e9307 MD_HANDLER...>.. 1c780: 5f574d49 5f444953 50415443 485f454e _WMI_DISPATCH_EN 1c790: 54525900 0800003e fa087043 6d644861 TRY....>..pCmdHa 1c7a0: 6e646c65 72000000 3e9a0223 0008436d ndler...>..#..Cm 1c7b0: 64494400 000012e9 02230408 466c6167 dID......#..Flag 1c7c0: 73000000 12e90223 0600075f 574d495f s......#..._WMI_ 1c7d0: 44495350 41544348 5f544142 4c450010 DISPATCH_TABLE.. 1c7e0: 00003f5b 08704e65 78740000 003f5b02 ..?[.pNext...?[. 1c7f0: 23000870 436f6e74 65787400 00000404 #..pContext..... 1c800: 02230408 4e756d62 65724f66 456e7472 .#..NumberOfEntr 1c810: 69657300 0000010f 02230808 70546162 ies......#..pTab 1c820: 6c650000 003f7a02 230c0003 00003efa le...?z.#.....>. 1c830: 04000957 4d495f44 49535041 5443485f ...WMI_DISPATCH_ 1c840: 454e5452 59000000 3eaf0300 003f6204 ENTRY...>....?b. 1c850: 00030000 3efa0400 09485443 5f425546 ....>....HTC_BUF 1c860: 5f434f4e 54455854 00000039 e80d574d _CONTEXT...9..WM 1c870: 495f4556 545f434c 41535300 04000040 I_EVT_CLASS....@ 1c880: 1219574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 1c890: 4e4f4e45 00ffffff ff0e574d 495f4556 NONE......WMI_EV 1c8a0: 545f434c 4153535f 434d445f 4556454e T_CLASS_CMD_EVEN 1c8b0: 5400000e 574d495f 4556545f 434c4153 T...WMI_EVT_CLAS 1c8c0: 535f434d 445f5245 504c5900 010e574d S_CMD_REPLY...WM 1c8d0: 495f4556 545f434c 4153535f 4d415800 I_EVT_CLASS_MAX. 1c8e0: 02000957 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 1c8f0: 0000003f 9d075f57 4d495f42 55465f43 ...?.._WMI_BUF_C 1c900: 4f4e5445 5854000c 00004070 08487463 ONTEXT....@p.Htc 1c910: 42756643 74780000 003f8802 23000845 BufCtx...?..#..E 1c920: 76656e74 436c6173 73000000 40120223 ventClass...@..# 1c930: 0408466c 61677300 000012e9 02230800 ..Flags......#.. 1c940: 09776d69 5f68616e 646c655f 74000000 .wmi_handle_t... 1c950: 04040957 4d495f53 56435f43 4f4e4649 ...WMI_SVC_CONFI 1c960: 47000000 3e280300 00408204 00060000 G...>(...@...... 1c970: 40700103 0000409d 04000957 4d495f44 @p....@....WMI_D 1c980: 49535041 5443485f 5441424c 45000000 ISPATCH_TABLE... 1c990: 3efa0300 0040aa04 00020103 000040c9 >....@........@. 1c9a0: 04000600 00264001 03000040 d2040002 .....&@....@.... 1c9b0: 01030000 40df0400 06000001 0f010300 ....@........... 1c9c0: 0040e804 00020103 000040f5 04000600 .@........@..... 1c9d0: 0012d501 03000040 fe040007 5f776d69 .......@...._wmi 1c9e0: 5f737663 5f617069 73002c00 00424608 _svc_apis.,..BF. 1c9f0: 5f574d49 5f496e69 74000000 40a30223 _WMI_Init...@..# 1ca00: 00085f57 4d495f52 65676973 74657244 .._WMI_RegisterD 1ca10: 69737061 74636854 61626c65 00000040 ispatchTable...@ 1ca20: cb022304 085f574d 495f416c 6c6f6345 ..#.._WMI_AllocE 1ca30: 76656e74 00000040 d8022308 085f574d vent...@..#.._WM 1ca40: 495f5365 6e644576 656e7400 000040e1 I_SendEvent...@. 1ca50: 02230c08 5f574d49 5f476574 50656e64 .#.._WMI_GetPend 1ca60: 696e6745 76656e74 73436f75 6e740000 ingEventsCount.. 1ca70: 0040ee02 2310085f 574d495f 53656e64 .@..#.._WMI_Send 1ca80: 436f6d70 6c657465 48616e64 6c657200 CompleteHandler. 1ca90: 00003955 02231408 5f574d49 5f476574 ..9U.#.._WMI_Get 1caa0: 436f6e74 726f6c45 70000000 40ee0223 ControlEp...@..# 1cab0: 18085f57 4d495f53 68757464 6f776e00 .._WMI_Shutdown. 1cac0: 000040f7 02231c08 5f574d49 5f526563 ..@..#.._WMI_Rec 1cad0: 764d6573 73616765 48616e64 6c657200 vMessageHandler. 1cae0: 0000394c 02232008 5f574d49 5f536572 ..9L.# ._WMI_Ser 1caf0: 76696365 436f6e6e 65637400 00004104 viceConnect...A. 1cb00: 02232408 70526573 65727665 64000000 .#$.pReserved... 1cb10: 04040223 2800077a 73446d61 44657363 ...#(..zsDmaDesc 1cb20: 00140000 42c80863 74726c00 00000173 ....B..ctrl....s 1cb30: 02230008 73746174 75730000 00017302 .#..status....s. 1cb40: 23020874 6f74616c 4c656e00 00000173 #..totalLen....s 1cb50: 02230408 64617461 53697a65 00000001 .#..dataSize.... 1cb60: 73022306 086c6173 74416464 72000000 s.#..lastAddr... 1cb70: 42c80223 08086461 74614164 64720000 B..#..dataAddr.. 1cb80: 00019702 230c086e 65787441 64647200 ....#..nextAddr. 1cb90: 000042c8 02231000 03000042 46040003 ..B..#.....BF... 1cba0: 00004246 0400077a 73446d61 51756575 ..BF...zsDmaQueu 1cbb0: 65000800 00430808 68656164 00000042 e....C..head...B 1cbc0: cf022300 08746572 6d696e61 746f7200 ..#..terminator. 1cbd0: 000042cf 02230400 077a7354 78446d61 ..B..#...zsTxDma 1cbe0: 51756575 65001000 00436c08 68656164 Queue....Cl.head 1cbf0: 00000042 cf022300 08746572 6d696e61 ...B..#..termina 1cc00: 746f7200 000042cf 02230408 786d6974 tor...B..#..xmit 1cc10: 65645f62 75665f68 65616400 00001434 ed_buf_head....4 1cc20: 02230808 786d6974 65645f62 75665f74 .#..xmited_buf_t 1cc30: 61696c00 00001434 02230c00 02010300 ail....4.#...... 1cc40: 00436c04 00030000 42d60400 02010300 .Cl.....B....... 1cc50: 00437c04 00030000 43080400 02010300 .C|.....C....... 1cc60: 00438c04 00020103 00004395 04000201 .C........C..... 1cc70: 03000043 9e040006 00001434 01030000 ...C.......4.... 1cc80: 43a70400 02010300 0043b404 00060000 C........C...... 1cc90: 14340103 000043bd 04000201 03000043 .4....C........C 1cca0: ca040006 0000010f 01030000 43d30400 ............C... 1ccb0: 06000042 cf010300 0043e004 00020103 ...B.....C...... 1ccc0: 000043ed 04000764 6d615f65 6e67696e ..C....dma_engin 1ccd0: 655f6170 69004000 00456308 5f696e69 e_api.@..Ec._ini 1cce0: 74000000 436e0223 00085f69 6e69745f t...Cn.#.._init_ 1ccf0: 72785f71 75657565 00000043 7e022304 rx_queue...C~.#. 1cd00: 085f696e 69745f74 785f7175 65756500 ._init_tx_queue. 1cd10: 0000438e 02230808 5f636f6e 6669675f ..C..#.._config_ 1cd20: 72785f71 75657565 00000043 9702230c rx_queue...C..#. 1cd30: 085f786d 69745f62 75660000 0043a002 ._xmit_buf...C.. 1cd40: 2310085f 666c7573 685f786d 69740000 #.._flush_xmit.. 1cd50: 00437e02 2314085f 72656170 5f726563 .C~.#.._reap_rec 1cd60: 765f6275 66000000 43ad0223 18085f72 v_buf...C..#.._r 1cd70: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. 1cd80: 0043b602 231c085f 72656170 5f786d69 .C..#.._reap_xmi 1cd90: 7465645f 62756600 000043c3 02232008 ted_buf...C..# . 1cda0: 5f737761 705f6461 74610000 0043cc02 _swap_data...C.. 1cdb0: 2324085f 6861735f 636f6d70 6c5f7061 #$._has_compl_pa 1cdc0: 636b6574 73000000 43d90223 28085f64 ckets...C..#(._d 1cdd0: 6573635f 64756d70 00000043 7e02232c esc_dump...C~.#, 1cde0: 085f6765 745f7061 636b6574 00000043 ._get_packet...C 1cdf0: e6022330 085f7265 636c6169 6d5f7061 ..#0._reclaim_pa 1ce00: 636b6574 00000043 ef022334 085f7075 cket...C..#4._pu 1ce10: 745f7061 636b6574 00000043 ef022338 t_packet...C..#8 1ce20: 08705265 73657276 65640000 00040402 .pReserved...... 1ce30: 233c0009 5f415f63 6d6e6f73 5f696e64 #<.._A_cmnos_ind 1ce40: 69726563 74696f6e 5f746162 6c655f74 irection_table_t 1ce50: 00000030 a209574d 495f5356 435f4150 ...0..WMI_SVC_AP 1ce60: 49530000 00410b17 5f415f6d 61677069 IS...A.._A_magpi 1ce70: 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta 1ce80: 626c6500 034c0000 46910863 6d6e6f73 ble..L..F..cmnos 1ce90: 00000045 63022300 08646267 00000003 ...Ec.#..dbg.... 1cea0: d10323b8 03086869 66000000 294b0323 ..#...hif...)K.# 1ceb0: c0030868 74630000 003abe03 23f80308 ...htc...:..#... 1cec0: 776d695f 7376635f 61706900 00004585 wmi_svc_api...E. 1ced0: 0323ac04 08757362 6669666f 5f617069 .#...usbfifo_api 1cee0: 00000032 760323d8 04086275 665f706f ...2v.#...buf_po 1cef0: 6f6c0000 00371f03 23e40408 76627566 ol...7..#...vbuf 1cf00: 00000014 5e032380 05087664 65736300 ....^.#...vdesc. 1cf10: 00001340 03239405 08616c6c 6f637261 ...@.#...allocra 1cf20: 6d000000 09360323 a8050864 6d615f65 m....6.#...dma_e 1cf30: 6e67696e 65000000 43f60323 b4050864 ngine...C..#...d 1cf40: 6d615f6c 69620000 002bdf03 23f40508 ma_lib...+..#... 1cf50: 6869665f 70636900 00002e3f 0323a806 hif_pci....?.#.. 1cf60: 00095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 1cf70: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 1cf80: 00004597 03000004 47040002 01060000 ..E.....G....... 1cf90: 04040103 000046bd 04000b0b 03000046 ......F........F 1cfa0: cb040006 00000404 01030000 46d30400 ............F... 1cfb0: 06000001 0f010300 0046e004 001a010a .........F...... 1cfc0: 636d6e6f 735f6d65 6d5f696e 69740001 cmnos_mem_init.. 1cfd0: 01039201 20029000 008e2318 008e231d .... .....#...#. 1cfe0: 1b011663 6d6e6f73 5f6d656d 5f6d6f64 ...cmnos_mem_mod 1cff0: 756c655f 696e7374 616c6c00 01010392 ule_install..... 1d000: 01200290 00008e23 20008e23 3e1c0116 . .....# ..#>... 1d010: 74626c00 000046b4 01520000 0000004b tbl...F..R.....K 1d020: 99000200 000b7c04 012f726f 6f742f57 ......|../root/W 1d030: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1d040: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 1d050: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma 1d060: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. 1d070: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 1d080: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 1d090: 632f7372 632f636d 6e6f735f 6d697363 c/src/cmnos_misc 1d0a0: 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa 1d0b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 1d0c0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 1d0d0: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 1d0e0: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 1d0f0: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 1d100: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 1d110: 202d6733 202d4f50 543a7370 61636500 -g3 -OPT:space. 1d120: 01000000 6d830201 03000001 09040004 ....m........... 1d130: 696e7400 05040463 68617200 07010500 int....char..... 1d140: 00011905 00000119 03000001 26040006 ............&... 1d150: 00000112 01030000 01320400 07707269 .........2...pri 1d160: 6e74665f 61706900 08000001 76085f70 ntf_api.....v._p 1d170: 72696e74 665f696e 69740000 00010b02 rintf_init...... 1d180: 2300085f 7072696e 74660000 00013802 #.._printf....8. 1d190: 23040004 73686f72 7420756e 7369676e #...short unsign 1d1a0: 65642069 6e740007 02097569 6e743136 ed int....uint16 1d1b0: 5f740000 00017604 6c6f6e67 20756e73 _t....v.long uns 1d1c0: 69676e65 6420696e 74000704 0975696e igned int....uin 1d1d0: 7433325f 74000000 019a0775 6172745f t32_t......uart_ 1d1e0: 6669666f 00080000 02080873 74617274 fifo.......start 1d1f0: 5f696e64 65780000 00018c02 23000865 _index......#..e 1d200: 6e645f69 6e646578 00000001 8c022302 nd_index......#. 1d210: 086f7665 7272756e 5f657272 00000001 .overrun_err.... 1d220: af022304 00077561 72745f61 70690020 ..#...uart_api. 1d230: 000002c1 085f7561 72745f69 6e697400 ....._uart_init. 1d240: 00000318 02230008 5f756172 745f6368 .....#.._uart_ch 1d250: 61725f70 75740000 00033f02 2304085f ar_put....?.#.._ 1d260: 75617274 5f636861 725f6765 74000000 uart_char_get... 1d270: 03530223 08085f75 6172745f 7374725f .S.#.._uart_str_ 1d280: 6f757400 0000035c 02230c08 5f756172 out....\.#.._uar 1d290: 745f7461 736b0000 00010b02 2310085f t_task......#.._ 1d2a0: 75617274 5f737461 74757300 00000318 uart_status..... 1d2b0: 02231408 5f756172 745f636f 6e666967 .#.._uart_config 1d2c0: 00000003 65022318 085f7561 72745f68 ....e.#.._uart_h 1d2d0: 77696e69 74000000 036e0223 1c000300 winit....n.#.... 1d2e0: 00020804 00077561 72745f62 6c6b0010 ......uart_blk.. 1d2f0: 00000312 08646562 75675f6d 6f646500 .....debug_mode. 1d300: 0000018c 02230008 62617564 00000001 .....#..baud.... 1d310: 8c022302 085f7561 72740000 0002c102 ..#.._uart...... 1d320: 2304085f 74780000 0001bd02 23080006 #.._tx......#... 1d330: 000001af 01030000 03120400 04756e73 .............uns 1d340: 69676e65 64206368 61720007 01097569 igned char....ui 1d350: 6e74385f 74000000 031f0201 03000003 nt8_t........... 1d360: 3d040003 00000330 04000600 00018c01 =......0........ 1d370: 03000003 4d040002 01030000 035a0400 ....M........Z.. 1d380: 02010300 00036304 00020103 0000036c ......c........l 1d390: 04000300 00011904 00060000 01120103 ................ 1d3a0: 0000037c 04000744 425f434f 4d4d414e ...|...DB_COMMAN 1d3b0: 445f5354 52554354 000c0000 03d40863 D_STRUCT.......c 1d3c0: 6d645f73 74720000 00037502 23000868 md_str....u.#..h 1d3d0: 656c705f 73747200 00000375 02230408 elp_str....u.#.. 1d3e0: 636d645f 66756e63 00000003 82022308 cmd_func......#. 1d3f0: 00076462 675f6170 69000800 00040708 ..dbg_api....... 1d400: 5f646267 5f696e69 74000000 010b0223 _dbg_init......# 1d410: 00085f64 62675f74 61736b00 0000010b .._dbg_task..... 1d420: 02230400 0a040004 756e7369 676e6564 .#......unsigned 1d430: 20696e74 00070406 00000407 01030000 int............ 1d440: 041a0400 0b0b0300 00042804 00060000 ..........(..... 1d450: 04070103 00000430 04000600 00011201 .......0........ 1d460: 03000004 3d040007 6d656d5f 61706900 ....=...mem_api. 1d470: 14000004 ac085f6d 656d5f69 6e697400 ......_mem_init. 1d480: 0000010b 02230008 5f6d656d 73657400 .....#.._memset. 1d490: 00000420 02230408 5f6d656d 63707900 ... .#.._memcpy. 1d4a0: 00000436 02230808 5f6d656d 6d6f7665 ...6.#.._memmove 1d4b0: 00000004 3602230c 085f6d65 6d636d70 ....6.#.._memcmp 1d4c0: 00000004 43022310 00077265 67697374 ....C.#...regist 1d4d0: 65725f64 756d705f 73009000 00051308 er_dump_s....... 1d4e0: 74617267 65745f69 64000000 01af0223 target_id......# 1d4f0: 00086173 736c696e 65000000 01af0223 ..assline......# 1d500: 04087063 00000001 af022308 08626164 ..pc......#..bad 1d510: 76616464 72000000 01af0223 0c086578 vaddr......#..ex 1d520: 635f6672 616d6500 0000482f 02231000 c_frame...H/.#.. 1d530: 03000004 ac040002 01030000 051a0400 ................ 1d540: 02010300 00052304 00060000 01120103 ......#......... 1d550: 0000052c 04000c68 6f737469 665f7300 ...,...hostif_s. 1d560: 04000005 880d4849 465f5553 4200000d ......HIF_USB... 1d570: 4849465f 50434945 00010d48 49465f47 HIF_PCIE...HIF_G 1d580: 4d414300 020d4849 465f5043 4900030d MAC...HIF_PCI... 1d590: 4849465f 4e554d00 040d4849 465f4e4f HIF_NUM...HIF_NO 1d5a0: 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. 1d5b0: 00053906 00000588 01030000 05960400 ..9............. 1d5c0: 06000003 30010300 0005a304 00060000 ....0........... 1d5d0: 018c0103 000005b0 0400076d 6973635f ...........misc_ 1d5e0: 61706900 24000006 a0085f73 79737465 api.$....._syste 1d5f0: 6d5f7265 73657400 0000010b 02230008 m_reset......#.. 1d600: 5f6d6163 5f726573 65740000 00010b02 _mac_reset...... 1d610: 2304085f 61737366 61696c00 0000051c #.._assfail..... 1d620: 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ 1d630: 6c6f6164 5f68616e 646c6572 00000005 load_handler.... 1d640: 1c02230c 085f7265 706f7274 5f666169 ..#.._report_fai 1d650: 6c757265 5f746f5f 686f7374 00000005 lure_to_host.... 1d660: 25022310 085f7461 72676574 5f69645f %.#.._target_id_ 1d670: 67657400 00000532 02231408 5f69735f get....2.#.._is_ 1d680: 686f7374 5f707265 73656e74 00000005 host_present.... 1d690: 9c022318 085f6b62 68697400 000005a9 ..#.._kbhit..... 1d6a0: 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version 1d6b0: 5f676574 00000005 b6022320 00060000 _get......# .... 1d6c0: 03750103 000006a0 04000600 00037501 .u............u. 1d6d0: 03000006 ad040006 00000112 01030000 ................ 1d6e0: 06ba0400 06000001 12010300 0006c704 ................ 1d6f0: 00060000 01120103 000006d4 04000773 ...............s 1d700: 7472696e 675f6170 69001800 00075a08 tring_api.....Z. 1d710: 5f737472 696e675f 696e6974 00000001 _string_init.... 1d720: 0b022300 085f7374 72637079 00000006 ..#.._strcpy.... 1d730: a6022304 085f7374 726e6370 79000000 ..#.._strncpy... 1d740: 06b30223 08085f73 74726c65 6e000000 ...#.._strlen... 1d750: 06c00223 0c085f73 7472636d 70000000 ...#.._strcmp... 1d760: 06cd0223 10085f73 74726e63 6d700000 ...#.._strncmp.. 1d770: 0006da02 2314000e 0000040a 14000007 ....#........... 1d780: 670f0400 095f415f 54494d45 525f5350 g...._A_TIMER_SP 1d790: 41434500 0000075a 09415f74 696d6572 ACE....Z.A_timer 1d7a0: 5f740000 00076703 0000077b 04000201 _t....g....{.... 1d7b0: 03000007 91040002 01030000 079a0400 ................ 1d7c0: 09415f48 414e444c 45000000 040a0201 .A_HANDLE....... 1d7d0: 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... 1d7e0: 07b10300 0007b304 00020103 000007cc ................ 1d7f0: 04000774 696d6572 5f617069 00140000 ...timer_api.... 1d800: 084b085f 74696d65 725f696e 69740000 .K._timer_init.. 1d810: 00010b02 2300085f 74696d65 725f6172 ....#.._timer_ar 1d820: 6d000000 07930223 04085f74 696d6572 m......#.._timer 1d830: 5f646973 61726d00 0000079c 02230808 _disarm......#.. 1d840: 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... 1d850: ce02230c 085f7469 6d65725f 72756e00 ..#.._timer_run. 1d860: 0000010b 02231000 09424f4f 4c45414e .....#...BOOLEAN 1d870: 00000001 8c060000 084b0103 00000858 .........K.....X 1d880: 04000600 00084b01 03000008 65040006 ......K.....e... 1d890: 0000084b 01030000 08720400 07726f6d ...K.....r...rom 1d8a0: 705f6170 69001000 0008e408 5f726f6d p_api......._rom 1d8b0: 705f696e 69740000 00010b02 2300085f p_init......#.._ 1d8c0: 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... 1d8d0: 085e0223 04085f72 6f6d705f 696e7374 .^.#.._romp_inst 1d8e0: 616c6c00 0000086b 02230808 5f726f6d all....k.#.._rom 1d8f0: 705f6465 636f6465 00000008 7802230c p_decode....x.#. 1d900: 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. 1d910: 00000940 08637263 31360000 00018c02 ...@.crc16...... 1d920: 2300086c 656e0000 00018c02 2302086c #..len......#..l 1d930: 645f6164 64720000 0001af02 23040866 d_addr......#..f 1d940: 756e5f61 64647200 000001af 02230808 un_addr......#.. 1d950: 7066756e 00000003 4602230c 00076565 pfun....F.#...ee 1d960: 705f7265 6469725f 61646472 00040000 p_redir_addr.... 1d970: 0972086f 66667365 74000000 018c0223 .r.offset......# 1d980: 00087369 7a650000 00018c02 23020009 ..size......#... 1d990: 415f5549 4e543332 00000004 0a060000 A_UINT32........ 1d9a0: 04070103 00000980 04000761 6c6c6f63 ...........alloc 1d9b0: 72616d5f 61706900 0c000009 f108636d ram_api.......cm 1d9c0: 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini 1d9d0: 74000000 09860223 0008636d 6e6f735f t......#..cmnos_ 1d9e0: 616c6c6f 6372616d 00000009 86022304 allocram......#. 1d9f0: 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ 1da00: 64656275 67000000 010b0223 08000201 debug......#.... 1da10: 03000009 f1040009 415f5441 534b4c45 ........A_TASKLE 1da20: 545f4655 4e430000 0009f307 5f746173 T_FUNC......_tas 1da30: 6b6c6574 00100000 0a520866 756e6300 klet.....R.func. 1da40: 000009fa 02230008 61726700 00000407 .....#..arg..... 1da50: 02230408 73746174 65000000 01120223 .#..state......# 1da60: 08086e65 78740000 000a5202 230c0003 ..next....R.#... 1da70: 00000a0e 04000300 000a0e04 0009415f ..............A_ 1da80: 7461736b 6c65745f 74000000 0a0e0300 tasklet_t....... 1da90: 000a6004 00020103 00000a78 04000201 ..`........x.... 1daa0: 0300000a 81040007 7461736b 6c65745f ........tasklet_ 1dab0: 61706900 1400000b 16085f74 61736b6c api......._taskl 1dac0: 65745f69 6e697400 0000010b 02230008 et_init......#.. 1dad0: 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta 1dae0: 736b0000 000a7a02 2304085f 7461736b sk....z.#.._task 1daf0: 6c65745f 64697361 626c6500 00000a83 let_disable..... 1db00: 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch 1db10: 6564756c 65000000 0a830223 0c085f74 edule......#.._t 1db20: 61736b6c 65745f72 756e0000 00010b02 asklet_run...... 1db30: 23100002 01030000 0b160400 06000009 #............... 1db40: 72010300 000b1f04 00020103 00000b2c r.............., 1db50: 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. 1db60: 0c0e085f 636c6f63 6b5f696e 69740000 ..._clock_init.. 1db70: 000b1802 2300085f 636c6f63 6b726567 ....#.._clockreg 1db80: 735f696e 69740000 00010b02 2304085f s_init......#.._ 1db90: 75617274 5f667265 7175656e 63790000 uart_frequency.. 1dba0: 000b2502 2308085f 64656c61 795f7573 ..%.#.._delay_us 1dbb0: 0000000b 2e02230c 085f776c 616e5f62 ......#.._wlan_b 1dbc0: 616e645f 73657400 00000b2e 02231008 and_set......#.. 1dbd0: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge 1dbe0: 74000000 0b250223 14085f6d 696c6c69 t....%.#.._milli 1dbf0: 7365636f 6e647300 00000b25 02231808 seconds....%.#.. 1dc00: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. 1dc10: 00010b02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti 1dc20: 636b0000 00010b02 23200006 000001af ck......# ...... 1dc30: 01030000 0c0e0400 09415f6f 6c645f69 .........A_old_i 1dc40: 6e74725f 74000000 01af0600 000c1b01 ntr_t........... 1dc50: 0300000c 2d040002 01030000 0c3a0400 ....-........:.. 1dc60: 02010300 000c4304 00060000 01af0103 ......C......... 1dc70: 00000c4c 04000941 5f697372 5f740000 ...L...A_isr_t.. 1dc80: 000c5202 01030000 0c660400 06000004 ..R......f...... 1dc90: 0a010300 000c6f04 00020103 00000c7c ......o........| 1dca0: 04000769 6e74725f 61706900 2c00000d ...intr_api.,... 1dcb0: 9e085f69 6e74725f 696e6974 00000001 .._intr_init.... 1dcc0: 0b022300 085f696e 74725f69 6e766f6b ..#.._intr_invok 1dcd0: 655f6973 72000000 0c140223 04085f69 e_isr......#.._i 1dce0: 6e74725f 64697361 626c6500 00000c33 ntr_disable....3 1dcf0: 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor 1dd00: 65000000 0c3c0223 0c085f69 6e74725f e....<.#.._intr_ 1dd10: 6d61736b 5f696e75 6d000000 0c450223 mask_inum....E.# 1dd20: 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i 1dd30: 6e756d00 00000c45 02231408 5f696e74 num....E.#.._int 1dd40: 725f6174 74616368 5f697372 0000000c r_attach_isr.... 1dd50: 68022318 085f6765 745f696e 7472656e h.#.._get_intren 1dd60: 61626c65 0000000c 7502231c 085f7365 able....u.#.._se 1dd70: 745f696e 7472656e 61626c65 0000000c t_intrenable.... 1dd80: 7e022320 085f6765 745f696e 74727065 ~.# ._get_intrpe 1dd90: 6e64696e 67000000 0c750223 24085f75 nding....u.#$._u 1dda0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 1ddb0: 766c0000 00010b02 23280010 0400000d vl......#(...... 1ddc0: c4087469 6d656f75 74000000 01af0223 ..timeout......# 1ddd0: 00086163 74696f6e 00000001 af022300 ..action......#. 1dde0: 00110800 000ddf08 636d6400 000001af ........cmd..... 1ddf0: 02230012 00000d9e 02230400 09545f57 .#.......#...T_W 1de00: 44545f43 4d440000 000dc402 01030000 DT_CMD.......... 1de10: 0dee0400 13040000 0e440d45 4e554d5f .........D.ENUM_ 1de20: 5744545f 424f4f54 00010d45 4e554d5f WDT_BOOT...ENUM_ 1de30: 434f4c44 5f424f4f 5400020d 454e554d COLD_BOOT...ENUM 1de40: 5f535553 505f424f 4f540003 0d454e55 _SUSP_BOOT...ENU 1de50: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. 1de60: 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... 1de70: 0df70600 000e4401 0300000e 55040007 ......D.....U... 1de80: 7764745f 61706900 1c00000e f9085f77 wdt_api......._w 1de90: 64745f69 6e697400 0000010b 02230008 dt_init......#.. 1dea0: 5f776474 5f656e61 626c6500 0000010b _wdt_enable..... 1deb0: 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable 1dec0: 00000001 0b022308 085f7764 745f7365 ......#.._wdt_se 1ded0: 74000000 0df00223 0c085f77 64745f74 t......#.._wdt_t 1dee0: 61736b00 0000010b 02231008 5f776474 ask......#.._wdt 1def0: 5f726573 65740000 00010b02 2314085f _reset......#.._ 1df00: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... 1df10: 0e5b0223 18001304 00000f60 0d524554 .[.#.......`.RET 1df20: 5f535543 43455353 00000d52 45545f4e _SUCCESS...RET_N 1df30: 4f545f49 4e495400 010d5245 545f4e4f OT_INIT...RET_NO 1df40: 545f4558 49535400 020d5245 545f4545 T_EXIST...RET_EE 1df50: 505f434f 52525550 5400030d 5245545f P_CORRUPT...RET_ 1df60: 4545505f 4f564552 464c4f57 00040d52 EEP_OVERFLOW...R 1df70: 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ 1df80: 4545505f 52455400 00000ef9 03000001 EEP_RET......... 1df90: 8c040006 00000f60 01030000 0f760400 .......`.....v.. 1dfa0: 0600000f 60010300 000f8304 00076565 ....`.........ee 1dfb0: 705f6170 69001000 000fec08 5f656570 p_api......._eep 1dfc0: 5f696e69 74000000 010b0223 00085f65 _init......#.._e 1dfd0: 65705f72 65616400 00000f7c 02230408 ep_read....|.#.. 1dfe0: 5f656570 5f777269 74650000 000f7c02 _eep_write....|. 1dff0: 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist 1e000: 0000000f 8902230c 00077573 625f6170 ......#...usb_ap 1e010: 69007000 00129908 5f757362 5f696e69 i.p....._usb_ini 1e020: 74000000 010b0223 00085f75 73625f72 t......#.._usb_r 1e030: 6f6d5f74 61736b00 0000010b 02230408 om_task......#.. 1e040: 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... 1e050: 0b022308 085f7573 625f696e 69745f70 ..#.._usb_init_p 1e060: 68790000 00010b02 230c085f 7573625f hy......#.._usb_ 1e070: 6570305f 73657475 70000000 010b0223 ep0_setup......# 1e080: 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... 1e090: 010b0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r 1e0a0: 78000000 010b0223 18085f75 73625f67 x......#.._usb_g 1e0b0: 65745f69 6e746572 66616365 00000008 et_interface.... 1e0c0: 6b02231c 085f7573 625f7365 745f696e k.#.._usb_set_in 1e0d0: 74657266 61636500 0000086b 02232008 terface....k.# . 1e0e0: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu 1e0f0: 72617469 6f6e0000 00086b02 2324085f ration....k.#$._ 1e100: 7573625f 7365745f 636f6e66 69677572 usb_set_configur 1e110: 6174696f 6e000000 086b0223 28085f75 ation....k.#(._u 1e120: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. 1e130: 0000086b 02232c08 5f757362 5f76656e ...k.#,._usb_ven 1e140: 646f725f 636d6400 0000010b 02233008 dor_cmd......#0. 1e150: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. 1e160: 00010b02 2334085f 7573625f 72657365 ....#4._usb_rese 1e170: 745f6669 666f0000 00010b02 2338085f t_fifo......#8._ 1e180: 7573625f 67656e5f 77647400 0000010b usb_gen_wdt..... 1e190: 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo 1e1a0: 6f740000 00010b02 2340085f 7573625f ot......#@._usb_ 1e1b0: 636c725f 66656174 75726500 0000086b clr_feature....k 1e1c0: 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea 1e1d0: 74757265 00000008 6b022348 085f7573 ture....k.#H._us 1e1e0: 625f7365 745f6164 64726573 73000000 b_set_address... 1e1f0: 086b0223 4c085f75 73625f67 65745f64 .k.#L._usb_get_d 1e200: 65736372 6970746f 72000000 086b0223 escriptor....k.# 1e210: 50085f75 73625f67 65745f73 74617475 P._usb_get_statu 1e220: 73000000 086b0223 54085f75 73625f73 s....k.#T._usb_s 1e230: 65747570 5f646573 63000000 010b0223 etup_desc......# 1e240: 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. 1e250: 00010b02 235c085f 7573625f 73746174 ....#\._usb_stat 1e260: 75735f69 6e000000 010b0223 60085f75 us_in......#`._u 1e270: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. 1e280: 00010b02 2364085f 7573625f 6570305f ....#d._usb_ep0_ 1e290: 72785f64 61746100 0000010b 02236808 rx_data......#h. 1e2a0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... 1e2b0: 010b0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. 1e2c0: 00132508 6e657874 5f646573 63000000 ..%.next_desc... 1e2d0: 13250223 00086275 665f6164 64720000 .%.#..buf_addr.. 1e2e0: 00133902 23040862 75665f73 697a6500 ..9.#..buf_size. 1e2f0: 00001340 02230808 64617461 5f6f6666 ...@.#..data_off 1e300: 73657400 00001340 02230a08 64617461 set....@.#..data 1e310: 5f73697a 65000000 13400223 0c08636f _size....@.#..co 1e320: 6e74726f 6c000000 13400223 0e086877 ntrol....@.#..hw 1e330: 5f646573 635f6275 66000000 134e0223 _desc_buf....N.# 1e340: 10000300 00129904 0009415f 55494e54 ..........A_UINT 1e350: 38000000 031f0300 00132c04 0009415f 8.........,...A_ 1e360: 55494e54 31360000 0001760e 0000132c UINT16....v...., 1e370: 14000013 5b0f1300 03000012 99040009 ....[........... 1e380: 56444553 43000000 12990300 00136204 VDESC.........b. 1e390: 00060000 136d0103 00001374 04000600 .....m.....t.... 1e3a0: 00133901 03000013 81040002 01030000 ..9............. 1e3b0: 138e0400 07766465 73635f61 70690014 .....vdesc_api.. 1e3c0: 00001406 085f696e 69740000 000b2e02 ....._init...... 1e3d0: 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. 1e3e0: 0000137a 02230408 5f676574 5f68775f ...z.#.._get_hw_ 1e3f0: 64657363 00000013 87022308 085f7377 desc......#.._sw 1e400: 61705f76 64657363 00000013 9002230c ap_vdesc......#. 1e410: 08705265 73657276 65640000 00040702 .pReserved...... 1e420: 23100007 5f564255 46002000 00146608 #..._VBUF. ...f. 1e430: 64657363 5f6c6973 74000000 136d0223 desc_list....m.# 1e440: 00086e65 78745f62 75660000 00146602 ..next_buf....f. 1e450: 23040862 75665f6c 656e6774 68000000 #..buf_length... 1e460: 13400223 08087265 73657276 65640000 .@.#..reserved.. 1e470: 00146d02 230a0863 74780000 00134e02 ..m.#..ctx....N. 1e480: 230c0003 00001406 04000e00 00132c02 #.............,. 1e490: 0000147a 0f010003 00001406 04000956 ...z...........V 1e4a0: 42554600 00001406 03000014 81040006 BUF............. 1e4b0: 0000148b 01030000 14920400 06000014 ................ 1e4c0: 8b010300 00149f04 00020103 000014ac ................ 1e4d0: 04000776 6275665f 61706900 14000015 ...vbuf_api..... 1e4e0: 2a085f69 6e697400 00000b2e 02230008 *._init......#.. 1e4f0: 5f616c6c 6f635f76 62756600 00001498 _alloc_vbuf..... 1e500: 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 1e510: 77697468 5f73697a 65000000 14a50223 with_size......# 1e520: 08085f66 7265655f 76627566 00000014 .._free_vbuf.... 1e530: ae02230c 08705265 73657276 65640000 ..#..pReserved.. 1e540: 00040702 23100007 5f5f6164 665f6465 ....#...__adf_de 1e550: 76696365 00040000 154c0864 756d6d79 vice.....L.dummy 1e560: 00000001 12022300 00030000 09720400 ......#......r.. 1e570: 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. 1e580: 00001593 08627566 00000014 8b022300 .....buf......#. 1e590: 0864735f 61646472 00000015 4c022304 .ds_addr....L.#. 1e5a0: 0864735f 6c656e00 00001340 02230800 .ds_len....@.#.. 1e5b0: 110c0000 15cd085f 5f76615f 73746b00 .......__va_stk. 1e5c0: 00000375 02230008 5f5f7661 5f726567 ...u.#..__va_reg 1e5d0: 00000003 75022304 085f5f76 615f6e64 ....u.#..__va_nd 1e5e0: 78000000 01120223 0800095f 5f616466 x......#...__adf 1e5f0: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. 1e600: 00097209 6164665f 6f735f64 6d615f61 ..r.adf_os_dma_a 1e610: 6464725f 74000000 15cd095f 5f616466 ddr_t......__adf 1e620: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. 1e630: 00097209 6164665f 6f735f64 6d615f73 ..r.adf_os_dma_s 1e640: 697a655f 74000000 15fd075f 5f646d61 ize_t......__dma 1e650: 5f736567 73000800 00165908 70616464 _segs.....Y.padd 1e660: 72000000 15e60223 00086c65 6e000000 r......#..len... 1e670: 16160223 0400095f 5f615f75 696e7433 ...#...__a_uint3 1e680: 325f7400 00000972 09615f75 696e7433 2_t....r.a_uint3 1e690: 325f7400 00001659 0e000016 2d080000 2_t....Y....-... 1e6a0: 16880f00 00076164 665f6f73 5f646d61 ......adf_os_dma 1e6b0: 6d61705f 696e666f 000c0000 16c1086e map_info.......n 1e6c0: 73656773 00000016 6b022300 08646d61 segs....k.#..dma 1e6d0: 5f736567 73000000 167b0223 0400095f _segs....{.#..._ 1e6e0: 5f615f75 696e7438 5f740000 00132c09 _a_uint8_t....,. 1e6f0: 615f7569 6e74385f 74000000 16c10300 a_uint8_t....... 1e700: 0016d204 00075f5f 73675f73 65677300 ......__sg_segs. 1e710: 08000017 13087661 64647200 000016e1 ......vaddr..... 1e720: 02230008 6c656e00 0000166b 02230400 .#..len....k.#.. 1e730: 0e000016 e8200000 17200f03 00076164 ..... ... ....ad 1e740: 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... 1e750: 53086e73 65677300 0000166b 02230008 S.nsegs....k.#.. 1e760: 73675f73 65677300 00001713 02230400 sg_segs......#.. 1e770: 11100000 179c0876 656e646f 72000000 .......vendor... 1e780: 166b0223 00086465 76696365 00000016 .k.#..device.... 1e790: 6b022304 08737562 76656e64 6f720000 k.#..subvendor.. 1e7a0: 00166b02 23080873 75626465 76696365 ..k.#..subdevice 1e7b0: 00000016 6b02230c 00046c6f 6e67206c ....k.#...long l 1e7c0: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 1e7d0: 00070809 415f5549 4e543634 00000017 ....A_UINT64.... 1e7e0: 9c095f5f 615f7569 6e743634 5f740000 ..__a_uint64_t.. 1e7f0: 0017b609 615f7569 6e743634 5f740000 ....a_uint64_t.. 1e800: 0017c413 04000018 220d4144 465f4f53 ........".ADF_OS 1e810: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M 1e820: 454d0000 0d414446 5f4f535f 5245534f EM...ADF_OS_RESO 1e830: 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... 1e840: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 1e850: 74797065 5f740000 0017e611 18000018 type_t.......... 1e860: 6c087374 61727400 000017d6 02230008 l.start......#.. 1e870: 656e6400 000017d6 02230808 74797065 end......#..type 1e880: 00000018 22022310 00096164 665f6f73 ....".#...adf_os 1e890: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... 1e8a0: 17530300 00186c04 00100400 0018ab08 .S....l......... 1e8b0: 70636900 00001885 02230008 72617700 pci......#..raw. 1e8c0: 00000407 02230000 10100000 18ca0870 .....#.........p 1e8d0: 63690000 00186c02 23000872 61770000 ci....l.#..raw.. 1e8e0: 00040702 23000009 6164665f 6472765f ....#...adf_drv_ 1e8f0: 68616e64 6c655f74 00000004 07096164 handle_t......ad 1e900: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. 1e910: 0000183e 03000018 e0040009 6164665f ...>........adf_ 1e920: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t 1e930: 00000018 ab030000 18fe0400 03000015 ................ 1e940: 2a040009 5f5f6164 665f6f73 5f646576 *...__adf_os_dev 1e950: 6963655f 74000000 191f0961 64665f6f ice_t......adf_o 1e960: 735f6465 76696365 5f740000 00192606 s_device_t....&. 1e970: 000018ca 01030000 19520400 02010300 .........R...... 1e980: 00195f04 00096164 665f6f73 5f706d5f .._...adf_os_pm_ 1e990: 74000000 04070201 03000019 79040013 t...........y... 1e9a0: 04000019 b90d4144 465f4f53 5f425553 ......ADF_OS_BUS 1e9b0: 5f545950 455f5043 4900010d 4144465f _TYPE_PCI...ADF_ 1e9c0: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE 1e9d0: 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu 1e9e0: 735f7479 70655f74 00000019 82096164 s_type_t......ad 1e9f0: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat 1ea00: 615f7400 0000188c 03000003 1f040007 a_t............. 1ea10: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 1ea20: 001a9608 6472765f 61747461 63680000 ....drv_attach.. 1ea30: 00195802 23000864 72765f64 65746163 ..X.#..drv_detac 1ea40: 68000000 19610223 04086472 765f7375 h....a.#..drv_su 1ea50: 7370656e 64000000 197b0223 08086472 spend....{.#..dr 1ea60: 765f7265 73756d65 00000019 6102230c v_resume....a.#. 1ea70: 08627573 5f747970 65000000 19b90223 .bus_type......# 1ea80: 10086275 735f6461 74610000 0019d002 ..bus_data...... 1ea90: 2314086d 6f645f6e 616d6500 000019eb #..mod_name..... 1eaa0: 02231808 69666e61 6d650000 0019eb02 .#..ifname...... 1eab0: 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl 1eac0: 655f7400 00000407 03000016 c1040002 e_t............. 1ead0: 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 1eae0: 655f7400 0000040a 13040000 1ae50d41 e_t............A 1eaf0: 5f46414c 53450000 0d415f54 52554500 _FALSE...A_TRUE. 1eb00: 01000961 5f626f6f 6c5f7400 00001acb ...a_bool_t..... 1eb10: 03000015 53040009 5f5f6164 665f6f73 ....S...__adf_os 1eb20: 5f646d61 5f6d6170 5f740000 001af302 _dma_map_t...... 1eb30: 010c6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 1eb40: 796e6300 0400001b 7d0d4144 465f5359 ync.....}.ADF_SY 1eb50: 4e435f50 52455245 41440000 0d414446 NC_PREREAD...ADF 1eb60: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 1eb70: 0d414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 1eb80: 41440001 0d414446 5f53594e 435f504f AD...ADF_SYNC_PO 1eb90: 53545752 49544500 03000961 64665f6f STWRITE....adf_o 1eba0: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 1ebb0: 001b1402 01096164 665f6f73 5f73697a ......adf_os_siz 1ebc0: 655f7400 00001ab6 0600001b 98010961 e_t............a 1ebd0: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 1ebe0: 00001afa 0300001b b1040006 00000407 ................ 1ebf0: 01030000 1afa0400 06000004 07010201 ................ 1ec00: 06000015 e6010201 0473686f 72742069 .........short i 1ec10: 6e740005 0209415f 494e5431 36000000 nt....A_INT16... 1ec20: 1beb095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. 1ec30: 001bf809 615f696e 7431365f 74000000 ....a_int16_t... 1ec40: 1c050473 69676e65 64206368 61720005 ...signed char.. 1ec50: 0109415f 494e5438 0000001c 25095f5f ..A_INT8....%.__ 1ec60: 615f696e 74385f74 0000001c 3409615f a_int8_t....4.a_ 1ec70: 696e7438 5f740000 001c4011 0c00001c int8_t....@..... 1ec80: b7087375 70706f72 74656400 0000166b ..supported....k 1ec90: 02230008 61647665 7274697a 65640000 .#..advertized.. 1eca0: 00166b02 23040873 70656564 0000001c ..k.#..speed.... 1ecb0: 16022308 08647570 6c657800 00001c50 ..#..duplex....P 1ecc0: 02230a08 6175746f 6e656700 000016d2 .#..autoneg..... 1ecd0: 02230b00 0e000016 d2060000 1cc40f05 .#.............. 1ece0: 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd 1ecf0: 72000600 001ce808 61646472 0000001c r.......addr.... 1ed00: b7022300 00095f5f 615f7569 6e743136 ..#...__a_uint16 1ed10: 5f740000 00134009 615f7569 6e743136 _t....@.a_uint16 1ed20: 5f740000 001ce811 0e00001d 4c086574 _t..........L.et 1ed30: 6865725f 64686f73 74000000 1cb70223 her_dhost......# 1ed40: 00086574 6865725f 73686f73 74000000 ..ether_shost... 1ed50: 1cb70223 06086574 6865725f 74797065 ...#..ether_type 1ed60: 0000001c fa02230c 00111400 001e0d14 ......#......... 1ed70: 69705f76 65727369 6f6e0000 0016d201 ip_version...... 1ed80: 00040223 00146970 5f686c00 000016d2 ...#..ip_hl..... 1ed90: 01040402 23000869 705f746f 73000000 ....#..ip_tos... 1eda0: 16d20223 01086970 5f6c656e 0000001c ...#..ip_len.... 1edb0: fa022302 0869705f 69640000 001cfa02 ..#..ip_id...... 1edc0: 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. 1edd0: 001cfa02 23060869 705f7474 6c000000 ....#..ip_ttl... 1ede0: 16d20223 08086970 5f70726f 746f0000 ...#..ip_proto.. 1edf0: 0016d202 23090869 705f6368 65636b00 ....#..ip_check. 1ee00: 00001cfa 02230a08 69705f73 61646472 .....#..ip_saddr 1ee10: 00000016 6b02230c 0869705f 64616464 ....k.#..ip_dadd 1ee20: 72000000 166b0223 10000761 64665f6e r....k.#...adf_n 1ee30: 65745f76 6c616e68 64720004 00001e5f et_vlanhdr....._ 1ee40: 08747069 64000000 1cfa0223 00147072 .tpid......#..pr 1ee50: 696f0000 0016d201 00030223 02146366 io.........#..cf 1ee60: 69000000 16d20103 01022302 14766964 i.........#..vid 1ee70: 0000001c fa02040c 02230200 07616466 .........#...adf 1ee80: 5f6e6574 5f766964 00020000 1e901472 _net_vid.......r 1ee90: 65730000 0016d201 00040223 00147661 es.........#..va 1eea0: 6c000000 1cfa0204 0c022300 00110c00 l.........#..... 1eeb0: 001ecc08 72785f62 75667369 7a650000 ....rx_bufsize.. 1eec0: 00166b02 23000872 785f6e64 65736300 ..k.#..rx_ndesc. 1eed0: 0000166b 02230408 74785f6e 64657363 ...k.#..tx_ndesc 1eee0: 00000016 6b022308 00110800 001ef208 ....k.#......... 1eef0: 706f6c6c 65640000 001ae502 23000870 polled......#..p 1ef00: 6f6c6c5f 77740000 00166b02 2304000e oll_wt....k.#... 1ef10: 000016d2 4000001e ff0f3f00 11460000 ....@.....?..F.. 1ef20: 1f270869 665f6e61 6d650000 001ef202 .'.if_name...... 1ef30: 23000864 65765f61 64647200 00001cb7 #..dev_addr..... 1ef40: 02234000 13040000 1f5e0d41 44465f4f .#@......^.ADF_O 1ef50: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT 1ef60: 00000d41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 1ef70: 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ 1ef80: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... 1ef90: 1f270761 64665f64 6d615f69 6e666f00 .'.adf_dma_info. 1efa0: 0800001f ab08646d 615f6d61 736b0000 ......dma_mask.. 1efb0: 001f5e02 23000873 675f6e73 65677300 ..^.#..sg_nsegs. 1efc0: 0000166b 02230400 13040000 20010d41 ...k.#...... ..A 1efd0: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON 1efe0: 4500000d 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU 1eff0: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. 1f000: 0d414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 1f010: 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a 1f020: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ 1f030: 655f7400 00001fab 11080000 20440874 e_t......... D.t 1f040: 785f636b 73756d00 00002001 02230008 x_cksum... ..#.. 1f050: 72785f63 6b73756d 00000020 01022304 rx_cksum... ..#. 1f060: 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 1f070: 696e666f 5f740000 00201b13 04000020 info_t... ..... 1f080: 9d0d4144 465f4e45 545f5453 4f5f4e4f ..ADF_NET_TSO_NO 1f090: 4e450000 0d414446 5f4e4554 5f54534f NE...ADF_NET_TSO 1f0a0: 5f495056 3400010d 4144465f 4e45545f _IPV4...ADF_NET_ 1f0b0: 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n 1f0c0: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... 1f0d0: 205e1110 000020f1 08636b73 756d5f63 ^.... ..cksum_c 1f0e0: 61700000 00204402 23000874 736f0000 ap... D.#..tso.. 1f0f0: 00209d02 23080876 6c616e5f 73757070 . ..#..vlan_supp 1f100: 6f727465 64000000 16d20223 0c001120 orted......#... 1f110: 0000218a 0874785f 7061636b 65747300 ..!..tx_packets. 1f120: 0000166b 02230008 72785f70 61636b65 ...k.#..rx_packe 1f130: 74730000 00166b02 23040874 785f6279 ts....k.#..tx_by 1f140: 74657300 0000166b 02230808 72785f62 tes....k.#..rx_b 1f150: 79746573 00000016 6b02230c 0874785f ytes....k.#..tx_ 1f160: 64726f70 70656400 0000166b 02231008 dropped....k.#.. 1f170: 72785f64 726f7070 65640000 00166b02 rx_dropped....k. 1f180: 23140872 785f6572 726f7273 00000016 #..rx_errors.... 1f190: 6b022318 0874785f 6572726f 72730000 k.#..tx_errors.. 1f1a0: 00166b02 231c0009 6164665f 6e65745f ..k.#...adf_net_ 1f1b0: 65746861 6464725f 74000000 1cc41500 ethaddr_t....... 1f1c0: 00218a03 00000021 af0f7f00 16616466 .!.....!.....adf 1f1d0: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. 1f1e0: 03040000 21e6086e 656c656d 00000016 ....!..nelem.... 1f1f0: 6b022300 086d6361 73740000 0021a102 k.#..mcast...!.. 1f200: 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ 1f210: 6c696e6b 5f696e66 6f5f7400 00001c5e link_info_t....^ 1f220: 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol 1f230: 6c5f696e 666f5f74 0000001e cc096164 l_info_t......ad 1f240: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ 1f250: 696e666f 5f740000 00204409 6164665f info_t... D.adf_ 1f260: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf 1f270: 6f5f7400 00001e90 09616466 5f6e6574 o_t......adf_net 1f280: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. 1f290: 00001f75 09616466 5f6e6574 5f636d64 ...u.adf_net_cmd 1f2a0: 5f766964 5f740000 001cfa09 6164665f _vid_t......adf_ 1f2b0: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ 1f2c0: 6361705f 74000000 20b50961 64665f6e cap_t... ..adf_n 1f2d0: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. 1f2e0: 0020f109 6164665f 6e65745f 636d645f . ..adf_net_cmd_ 1f2f0: 6d636164 64725f74 00000021 af0c6164 mcaddr_t...!..ad 1f300: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 1f310: 63617000 04000023 280d4144 465f4e45 cap....#(.ADF_NE 1f320: 545f4d43 4153545f 53555000 000d4144 T_MCAST_SUP...AD 1f330: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS 1f340: 55500001 00096164 665f6e65 745f636d UP....adf_net_cm 1f350: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... 1f360: 22e01703 04000023 fa086c69 6e6b5f69 "......#..link_i 1f370: 6e666f00 000021e6 02230008 706f6c6c nfo...!..#..poll 1f380: 5f696e66 6f000000 22030223 0008636b _info..."..#..ck 1f390: 73756d5f 696e666f 00000022 20022300 sum_info..." .#. 1f3a0: 0872696e 675f696e 666f0000 00223e02 .ring_info...">. 1f3b0: 23000864 6d615f69 6e666f00 0000225b #..dma_info..."[ 1f3c0: 02230008 76696400 00002277 02230008 .#..vid..."w.#.. 1f3d0: 6f66666c 6f61645f 63617000 0000228e offload_cap...". 1f3e0: 02230008 73746174 73000000 22ad0223 .#..stats..."..# 1f3f0: 00086d63 6173745f 696e666f 00000022 ..mcast_info..." 1f400: c6022300 086d6361 73745f63 61700000 ..#..mcast_cap.. 1f410: 00232802 23000013 04000024 510d4144 .#(.#......$Q.AD 1f420: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 1f430: 4e4f4e45 00000d41 44465f4e 4255465f NONE...ADF_NBUF_ 1f440: 52585f43 4b53554d 5f485700 010d4144 RX_CKSUM_HW...AD 1f450: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 1f460: 554e4e45 43455353 41525900 02000961 UNNECESSARY....a 1f470: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 1f480: 5f747970 655f7400 000023fa 11080000 _type_t...#..... 1f490: 24910872 6573756c 74000000 24510223 $..result...$Q.# 1f4a0: 00087661 6c000000 166b0223 04001108 ..val....k.#.... 1f4b0: 000024c1 08747970 65000000 209d0223 ..$..type... ..# 1f4c0: 00086d73 73000000 1cfa0223 04086864 ..mss......#..hd 1f4d0: 725f6f66 66000000 16d20223 0600075f r_off......#..._ 1f4e0: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. 1f4f0: 0c000025 00086865 61640000 00148b02 ...%..head...... 1f500: 23000874 61696c00 0000148b 02230408 #..tail......#.. 1f510: 716c656e 00000016 6b022308 00095f5f qlen....k.#...__ 1f520: 6164665f 6e627566 5f740000 00148b03 adf_nbuf_t...... 1f530: 000016e1 04000300 00166b04 00020106 ..........k..... 1f540: 0000136d 01060000 166b0106 000016e1 ...m.....k...... 1f550: 01060000 16e10103 0000134e 0400095f ...........N..._ 1f560: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ 1f570: 74000000 24c1095f 5f616466 5f6e6275 t...$..__adf_nbu 1f580: 665f7175 6575655f 74000000 25410300 f_queue_t...%A.. 1f590: 00255904 00060000 25000106 00002500 .%Y.....%.....%. 1f5a0: 01130400 0026790d 415f5354 41545553 .....&y.A_STATUS 1f5b0: 5f4f4b00 000d415f 53544154 55535f46 _OK...A_STATUS_F 1f5c0: 41494c45 4400010d 415f5354 41545553 AILED...A_STATUS 1f5d0: 5f454e4f 454e5400 020d415f 53544154 _ENOENT...A_STAT 1f5e0: 55535f45 4e4f4d45 4d00030d 415f5354 US_ENOMEM...A_ST 1f5f0: 41545553 5f45494e 56414c00 040d415f ATUS_EINVAL...A_ 1f600: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE 1f610: 53530005 0d415f53 54415455 535f454e SS...A_STATUS_EN 1f620: 4f545355 50500006 0d415f53 54415455 OTSUPP...A_STATU 1f630: 535f4542 55535900 070d415f 53544154 S_EBUSY...A_STAT 1f640: 55535f45 32424947 00080d41 5f535441 US_E2BIG...A_STA 1f650: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI 1f660: 4c00090d 415f5354 41545553 5f454e58 L...A_STATUS_ENX 1f670: 494f000a 0d415f53 54415455 535f4546 IO...A_STATUS_EF 1f680: 41554c54 000b0d41 5f535441 5455535f AULT...A_STATUS_ 1f690: 45494f00 0c000961 5f737461 7475735f EIO....a_status_ 1f6a0: 74000000 25840600 00267901 06000001 t...%....&y..... 1f6b0: 12010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. 1f6c0: 00002500 13040000 26de0d41 44465f4f ..%.....&..ADF_O 1f6d0: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. 1f6e0: 000d4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO 1f6f0: 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ 1f700: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& 1f710: a7060000 26790102 01096164 665f6f73 ....&y....adf_os 1f720: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. 1f730: 00168803 000026fc 04000201 02010600 ......&......... 1f740: 00269701 06000025 00010201 02010600 .&.....%........ 1f750: 00269701 06000025 00010600 00269701 .&.....%.....&.. 1f760: 06000025 00010600 00269701 02010201 ...%.....&...... 1f770: 06000016 6b010600 0016e101 02010201 ....k........... 1f780: 0600001b 98010600 001ae501 0600001a ................ 1f790: e5010961 64665f6f 735f7367 6c697374 ...adf_os_sglist 1f7a0: 5f740000 00172003 00002775 04000201 _t.... ...'u.... 1f7b0: 02010201 06000016 e1010961 64665f6e ...........adf_n 1f7c0: 6275665f 71756575 655f7400 00002559 buf_queue_t...%Y 1f7d0: 03000027 9d040002 01030000 25410400 ...'........%A.. 1f7e0: 02010201 02010600 00269701 06000025 .........&.....% 1f7f0: 00010600 00166b01 06000016 6b010600 ......k.....k... 1f800: 001ae501 0600001a e5010600 00200101 ............. .. 1f810: 06000016 6b010961 64665f6e 6275665f ....k..adf_nbuf_ 1f820: 72785f63 6b73756d 5f740000 00246f03 rx_cksum_t...$o. 1f830: 000027f9 04000201 02010961 64665f6e ..'........adf_n 1f840: 6275665f 74736f5f 74000000 24910300 buf_tso_t...$... 1f850: 00281d04 00020102 01096164 665f6e65 .(........adf_ne 1f860: 745f6861 6e646c65 5f740000 00040709 t_handle_t...... 1f870: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ 1f880: 74000000 1e0d0300 00285204 00060000 t........(R..... 1f890: 26790106 00002679 01020102 01075f48 &y....&y......_H 1f8a0: 49465f43 4f4e4649 47000400 0028a108 IF_CONFIG....(.. 1f8b0: 64756d6d 79000000 01120223 00000201 dummy......#.... 1f8c0: 03000028 a1040002 01030000 28aa0400 ...(........(... 1f8d0: 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. 1f8e0: 000028ff 0873656e 645f6275 665f646f ..(..send_buf_do 1f8f0: 6e650000 0028a302 23000872 6563765f ne...(..#..recv_ 1f900: 62756600 000028ac 02230408 636f6e74 buf...(..#..cont 1f910: 65787400 00000407 02230800 09686966 ext......#...hif 1f920: 5f68616e 646c655f 74000000 04070948 _handle_t......H 1f930: 49465f43 4f4e4649 47000000 28800300 IF_CONFIG...(... 1f940: 00291104 00060000 28ff0103 00002928 .)......(.....)( 1f950: 04000201 03000029 35040009 4849465f .......)5...HIF_ 1f960: 43414c4c 4241434b 00000028 b3030000 CALLBACK...(.... 1f970: 293e0400 02010300 00295704 00060000 )>.......)W..... 1f980: 01120103 00002960 04000201 03000029 ......)`.......) 1f990: 6d040006 00000112 01030000 29760400 m...........)v.. 1f9a0: 02010300 00298304 00060000 01120103 .....).......... 1f9b0: 0000298c 04000201 03000029 99040007 ..)........).... 1f9c0: 6869665f 61706900 3800002a f2085f69 hif_api.8..*.._i 1f9d0: 6e697400 0000292e 02230008 5f736875 nit...)..#.._shu 1f9e0: 74646f77 6e000000 29370223 04085f72 tdown...)7.#.._r 1f9f0: 65676973 7465725f 63616c6c 6261636b egister_callback 1fa00: 00000029 59022308 085f6765 745f746f ...)Y.#.._get_to 1fa10: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count 1fa20: 00000029 6602230c 085f7374 61727400 ...)f.#.._start. 1fa30: 00002937 02231008 5f636f6e 6669675f ..)7.#.._config_ 1fa40: 70697065 00000029 6f022314 085f7365 pipe...)o.#.._se 1fa50: 6e645f62 75666665 72000000 297c0223 nd_buffer...)|.# 1fa60: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b 1fa70: 75660000 00298502 231c085f 69735f70 uf...)..#.._is_p 1fa80: 6970655f 73757070 6f727465 64000000 ipe_supported... 1fa90: 29920223 20085f67 65745f6d 61785f6d )..# ._get_max_m 1faa0: 73675f6c 656e0000 00299202 2324085f sg_len...)..#$._ 1fab0: 6765745f 72657365 72766564 5f686561 get_reserved_hea 1fac0: 64726f6f 6d000000 29660223 28085f69 droom...)f.#(._i 1fad0: 73725f68 616e646c 65720000 00293702 sr_handler...)7. 1fae0: 232c085f 6765745f 64656661 756c745f #,._get_default_ 1faf0: 70697065 00000029 9b022330 08705265 pipe...)..#0.pRe 1fb00: 73657276 65640000 00040702 2334000c served......#4.. 1fb10: 646d615f 656e6769 6e650004 00002b7b dma_engine....+{ 1fb20: 0d444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. 1fb30: 000d444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 1fb40: 00010d44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 1fb50: 3200020d 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R 1fb60: 58330003 0d444d41 5f454e47 494e455f X3...DMA_ENGINE_ 1fb70: 54583000 040d444d 415f454e 47494e45 TX0...DMA_ENGINE 1fb80: 5f545831 00050d44 4d415f45 4e47494e _TX1...DMA_ENGIN 1fb90: 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng 1fba0: 696e655f 74000000 2af20c64 6d615f69 ine_t...*..dma_i 1fbb0: 66747970 65000400 002bc80d 444d415f ftype....+..DMA_ 1fbc0: 49465f47 4d414300 000d444d 415f4946 IF_GMAC...DMA_IF 1fbd0: 5f504349 00010d44 4d415f49 465f5043 _PCI...DMA_IF_PC 1fbe0: 49450002 0009646d 615f6966 74797065 IE....dma_iftype 1fbf0: 5f740000 002b8d06 00001340 01030000 _t...+.....@.... 1fc00: 2bda0400 02010300 002be704 00020103 +........+...... 1fc10: 00002bf0 04000600 00097201 0300002b ..+.......r....+ 1fc20: f9040006 00001340 01030000 2c060400 .......@....,... 1fc30: 06000013 40010300 002c1304 00060000 ....@....,...... 1fc40: 148b0103 00002c20 04000201 0300002c ......, ......., 1fc50: 2d040007 646d615f 6c69625f 61706900 -...dma_lib_api. 1fc60: 3400002d 34087478 5f696e69 74000000 4..-4.tx_init... 1fc70: 2be00223 00087478 5f737461 72740000 +..#..tx_start.. 1fc80: 002be902 23040872 785f696e 69740000 .+..#..rx_init.. 1fc90: 002be002 23080872 785f636f 6e666967 .+..#..rx_config 1fca0: 0000002b f202230c 0872785f 73746172 ...+..#..rx_star 1fcb0: 74000000 2be90223 1008696e 74725f73 t...+..#..intr_s 1fcc0: 74617475 73000000 2bff0223 14086861 tatus...+..#..ha 1fcd0: 72645f78 6d697400 00002c0c 02231808 rd_xmit...,..#.. 1fce0: 666c7573 685f786d 69740000 002be902 flush_xmit...+.. 1fcf0: 231c0878 6d69745f 646f6e65 0000002c #..xmit_done..., 1fd00: 19022320 08726561 705f786d 69747465 ..# .reap_xmitte 1fd10: 64000000 2c260223 24087265 61705f72 d...,&.#$.reap_r 1fd20: 65637600 00002c26 02232808 72657475 ecv...,&.#(.retu 1fd30: 726e5f72 65637600 00002c2f 02232c08 rn_recv...,/.#,. 1fd40: 72656376 5f706b74 0000002c 19022330 recv_pkt...,..#0 1fd50: 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... 1fd60: 002d5208 73770000 00293e02 23000009 .-R.sw...)>.#... 1fd70: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... 1fd80: 2d340300 002d5204 00020103 00002d6c -4...-R.......-l 1fd90: 04000600 00132c01 0300002d 7504000c ......,....-u... 1fda0: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. 1fdb0: 0400002d d50d4849 465f5043 495f5049 ...-..HIF_PCI_PI 1fdc0: 50455f54 58300000 0d484946 5f504349 PE_TX0...HIF_PCI 1fdd0: 5f504950 455f5458 3100010d 4849465f _PIPE_TX1...HIF_ 1fde0: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. 1fdf0: 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ 1fe00: 74785f74 0000002d 82060000 2b7b0103 tx_t...-....+{.. 1fe10: 00002dec 04000c68 69665f70 63695f70 ..-....hif_pci_p 1fe20: 6970655f 72780004 00002e72 0d484946 ipe_rx.....r.HIF 1fe30: 5f504349 5f504950 455f5258 3000000d _PCI_PIPE_RX0... 1fe40: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 1fe50: 00010d48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 1fe60: 52583200 020d4849 465f5043 495f5049 RX2...HIF_PCI_PI 1fe70: 50455f52 58330003 0d484946 5f504349 PE_RX3...HIF_PCI 1fe80: 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... 1fe90: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ 1fea0: 74000000 2df90600 002b7b01 0300002e t...-....+{..... 1feb0: 89040007 6869665f 7063695f 61706900 ....hif_pci_api. 1fec0: 2400002f 67087063 695f626f 6f745f69 $../g.pci_boot_i 1fed0: 6e697400 0000010b 02230008 7063695f nit......#..pci_ 1fee0: 696e6974 00000029 2e022304 08706369 init...)..#..pci 1fef0: 5f726573 65740000 00010b02 23080870 _reset......#..p 1ff00: 63695f65 6e61626c 65000000 010b0223 ci_enable......# 1ff10: 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt 1ff20: 65640000 002d6e02 23100870 63695f72 ed...-n.#..pci_r 1ff30: 6561705f 72656376 0000002d 6e022314 eap_recv...-n.#. 1ff40: 08706369 5f676574 5f706970 65000000 .pci_get_pipe... 1ff50: 2d7b0223 18087063 695f6765 745f7478 -{.#..pci_get_tx 1ff60: 5f656e67 0000002d f202231c 08706369 _eng...-..#..pci 1ff70: 5f676574 5f72785f 656e6700 00002e8f _get_rx_eng..... 1ff80: 02232000 07676d61 635f6170 69000400 .# ..gmac_api... 1ff90: 002f8e08 676d6163 5f626f6f 745f696e ./..gmac_boot_in 1ffa0: 69740000 00010b02 2300000e 0000031f it......#....... 1ffb0: 0600002f 9b0f0500 075f5f65 74686864 .../.....__ethhd 1ffc0: 72000e00 002fd108 64737400 00002f8e r..../..dst.../. 1ffd0: 02230008 73726300 00002f8e 02230608 .#..src.../..#.. 1ffe0: 65747970 65000000 13400223 0c00075f etype....@.#..._ 1fff0: 5f617468 68647200 04000030 1f147265 _athhdr....0..re 20000: 73000000 132c0100 02022300 1470726f s....,....#..pro 20010: 746f0000 00132c01 02060223 00087265 to....,....#..re 20020: 735f6c6f 00000013 2c022301 08726573 s_lo....,.#..res 20030: 5f686900 00001340 02230200 075f5f67 _hi....@.#...__g 20040: 6d61635f 68647200 14000030 5b086574 mac_hdr....0[.et 20050: 68000000 2f9b0223 00086174 68000000 h.../..#..ath... 20060: 2fd10223 0e08616c 69676e5f 70616400 /..#..align_pad. 20070: 00001340 02231200 095f5f67 6d61635f ...@.#...__gmac_ 20080: 6864725f 74000000 301f075f 5f676d61 hdr_t...0..__gma 20090: 635f736f 66746300 24000030 a5086864 c_softc.$..0..hd 200a0: 72000000 305b0223 00086772 616e0000 r...0[.#..gran.. 200b0: 00134002 23140873 77000000 293e0223 ..@.#..sw...)>.# 200c0: 1800075f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage 200d0: 5f636865 636b0008 000030de 08766572 _check....0..ver 200e0: 73696f6e 00000001 12022300 08746162 sion......#..tab 200f0: 6c650000 00011202 23040003 000030a5 le......#.....0. 20100: 04000600 00011201 03000030 e5040003 ...........0.... 20110: 0000040a 0400165f 415f636d 6e6f735f ......._A_cmnos_ 20120: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 20130: 650001b8 00003235 0868616c 5f6c696e e.....25.hal_lin 20140: 6b616765 5f636865 636b0000 0030eb02 kage_check...0.. 20150: 23000873 74617274 5f627373 00000030 #..start_bss...0 20160: f2022304 08617070 5f737461 72740000 ..#..app_start.. 20170: 00010b02 2308086d 656d0000 00044a02 ....#..mem....J. 20180: 230c086d 69736300 000005bd 02232008 #..misc......# . 20190: 7072696e 74660000 00013f02 23440875 printf....?.#D.u 201a0: 61727400 00000208 02234c08 676d6163 art......#L.gmac 201b0: 0000002f 6702236c 08757362 0000000f .../g.#l.usb.... 201c0: ec022370 08636c6f 636b0000 000b3503 ..#p.clock....5. 201d0: 23e00108 74696d65 72000000 07d50323 #...timer......# 201e0: 84020869 6e747200 00000c85 03239802 ...intr......#.. 201f0: 08616c6c 6f637261 6d000000 098d0323 .allocram......# 20200: c4020872 6f6d7000 0000087f 0323d002 ...romp......#.. 20210: 08776474 5f74696d 65720000 000e6203 .wdt_timer....b. 20220: 23e00208 65657000 00000f90 0323fc02 #...eep......#.. 20230: 08737472 696e6700 000006e1 03238c03 .string......#.. 20240: 08746173 6b6c6574 0000000a 8a0323a4 .tasklet......#. 20250: 0300075f 5553425f 4649464f 5f434f4e ..._USB_FIFO_CON 20260: 46494700 10000032 a8086765 745f636f FIG....2..get_co 20270: 6d6d616e 645f6275 66000000 14980223 mmand_buf......# 20280: 00087265 63765f63 6f6d6d61 6e640000 ..recv_command.. 20290: 0014ae02 23040867 65745f65 76656e74 ....#..get_event 202a0: 5f627566 00000014 98022308 0873656e _buf......#..sen 202b0: 645f6576 656e745f 646f6e65 00000014 d_event_done.... 202c0: ae02230c 00095553 425f4649 464f5f43 ..#...USB_FIFO_C 202d0: 4f4e4649 47000000 32350300 0032a804 ONFIG...25...2.. 202e0: 00020103 000032c4 04000775 73626669 ......2....usbfi 202f0: 666f5f61 7069000c 0000331a 085f696e fo_api....3.._in 20300: 69740000 0032c602 2300085f 656e6162 it...2..#.._enab 20310: 6c655f65 76656e74 5f697372 00000001 le_event_isr.... 20320: 0b022304 08705265 73657276 65640000 ..#..pReserved.. 20330: 00040702 2308000e 000016d2 02000033 ....#..........3 20340: 270f0100 075f4854 435f4652 414d455f '...._HTC_FRAME_ 20350: 48445200 08000033 9908456e 64706f69 HDR....3..Endpoi 20360: 6e744944 00000016 d2022300 08466c61 ntID......#..Fla 20370: 67730000 0016d202 23010850 61796c6f gs......#..Paylo 20380: 61644c65 6e000000 1cfa0223 0208436f adLen......#..Co 20390: 6e74726f 6c427974 65730000 00331a02 ntrolBytes...3.. 203a0: 23040848 6f737453 65714e75 6d000000 #..HostSeqNum... 203b0: 1cfa0223 06001102 000033b2 084d6573 ...#......3..Mes 203c0: 73616765 49440000 001cfa02 23000011 sageID......#... 203d0: 08000034 15084d65 73736167 65494400 ...4..MessageID. 203e0: 00001cfa 02230008 43726564 6974436f .....#..CreditCo 203f0: 756e7400 00001cfa 02230208 43726564 unt......#..Cred 20400: 69745369 7a650000 001cfa02 2304084d itSize......#..M 20410: 6178456e 64706f69 6e747300 000016d2 axEndpoints..... 20420: 02230608 5f506164 31000000 16d20223 .#.._Pad1......# 20430: 0700110a 000034ac 084d6573 73616765 ......4..Message 20440: 49440000 001cfa02 23000853 65727669 ID......#..Servi 20450: 63654944 0000001c fa022302 08436f6e ceID......#..Con 20460: 6e656374 696f6e46 6c616773 0000001c nectionFlags.... 20470: fa022304 08446f77 6e4c696e 6b506970 ..#..DownLinkPip 20480: 65494400 000016d2 02230608 55704c69 eID......#..UpLi 20490: 6e6b5069 70654944 00000016 d2022307 nkPipeID......#. 204a0: 08536572 76696365 4d657461 4c656e67 .ServiceMetaLeng 204b0: 74680000 0016d202 2308085f 50616431 th......#.._Pad1 204c0: 00000016 d2022309 00110a00 00353408 ......#......54. 204d0: 4d657373 61676549 44000000 1cfa0223 MessageID......# 204e0: 00085365 72766963 65494400 00001cfa ..ServiceID..... 204f0: 02230208 53746174 75730000 0016d202 .#..Status...... 20500: 23040845 6e64706f 696e7449 44000000 #..EndpointID... 20510: 16d20223 05084d61 784d7367 53697a65 ...#..MaxMsgSize 20520: 0000001c fa022306 08536572 76696365 ......#..Service 20530: 4d657461 4c656e67 74680000 0016d202 MetaLength...... 20540: 2308085f 50616431 00000016 d2022309 #.._Pad1......#. 20550: 00110200 00354d08 4d657373 61676549 .....5M.MessageI 20560: 44000000 1cfa0223 00001104 00003589 D......#......5. 20570: 084d6573 73616765 49440000 001cfa02 .MessageID...... 20580: 23000850 69706549 44000000 16d20223 #..PipeID......# 20590: 02084372 65646974 436f756e 74000000 ..CreditCount... 205a0: 16d20223 03001104 000035c0 084d6573 ...#......5..Mes 205b0: 73616765 49440000 001cfa02 23000850 sageID......#..P 205c0: 69706549 44000000 16d20223 02085374 ipeID......#..St 205d0: 61747573 00000016 d2022303 00110200 atus......#..... 205e0: 0035e708 5265636f 72644944 00000016 .5..RecordID.... 205f0: d2022300 084c656e 67746800 000016d2 ..#..Length..... 20600: 02230100 11020000 36110845 6e64706f .#......6..Endpo 20610: 696e7449 44000000 16d20223 00084372 intID......#..Cr 20620: 65646974 73000000 16d20223 01001104 edits......#.... 20630: 00003652 08456e64 706f696e 74494400 ..6R.EndpointID. 20640: 000016d2 02230008 43726564 69747300 .....#..Credits. 20650: 000016d2 02230108 54677443 72656469 .....#..TgtCredi 20660: 74536571 4e6f0000 001cfa02 2302000e tSeqNo......#... 20670: 000016d2 04000036 5f0f0300 11060000 .......6_....... 20680: 369b0850 72655661 6c696400 000016d2 6..PreValid..... 20690: 02230008 4c6f6f6b 41686561 64000000 .#..LookAhead... 206a0: 36520223 0108506f 73745661 6c696400 6R.#..PostValid. 206b0: 000016d2 02230500 09706f6f 6c5f6861 .....#...pool_ha 206c0: 6e646c65 5f740000 00040706 0000369b ndle_t........6. 206d0: 01030000 36ae0400 02010300 0036bb04 ....6........6.. 206e0: 00130400 0037390d 504f4f4c 5f49445f .....79.POOL_ID_ 206f0: 4854435f 434f4e54 524f4c00 000d504f HTC_CONTROL...PO 20700: 4f4c5f49 445f574d 495f5356 435f434d OL_ID_WMI_SVC_CM 20710: 445f5245 504c5900 010d504f 4f4c5f49 D_REPLY...POOL_I 20720: 445f574d 495f5356 435f4556 454e5400 D_WMI_SVC_EVENT. 20730: 020d504f 4f4c5f49 445f574c 414e5f52 ..POOL_ID_WLAN_R 20740: 585f4255 4600030d 504f4f4c 5f49445f X_BUF...POOL_ID_ 20750: 4d415800 0a000942 55465f50 4f4f4c5f MAX....BUF_POOL_ 20760: 49440000 0036c402 01030000 374a0400 ID...6......7J.. 20770: 06000026 97010300 00375304 00060000 ...&.....7S..... 20780: 26970103 00003760 04000201 03000037 &.....7`.......7 20790: 6d040007 6275665f 706f6f6c 5f617069 m...buf_pool_api 207a0: 001c0000 380f085f 696e6974 00000036 ....8.._init...6 207b0: b4022300 085f7368 7574646f 776e0000 ..#.._shutdown.. 207c0: 0036bd02 2304085f 63726561 74655f70 .6..#.._create_p 207d0: 6f6f6c00 0000374c 02230808 5f616c6c ool...7L.#.._all 207e0: 6f635f62 75660000 00375902 230c085f oc_buf...7Y.#.._ 207f0: 616c6c6f 635f6275 665f616c 69676e00 alloc_buf_align. 20800: 00003766 02231008 5f667265 655f6275 ..7f.#.._free_bu 20810: 66000000 376f0223 14087052 65736572 f...7o.#..pReser 20820: 76656400 00000407 02231800 075f4854 ved......#..._HT 20830: 435f5345 52564943 45001c00 0038ee08 C_SERVICE....8.. 20840: 704e6578 74000000 38ee0223 00085072 pNext...8..#..Pr 20850: 6f636573 73526563 764d7367 00000039 ocessRecvMsg...9 20860: a3022304 0850726f 63657373 53656e64 ..#..ProcessSend 20870: 42756666 6572436f 6d706c65 74650000 BufferComplete.. 20880: 0039ac02 23080850 726f6365 7373436f .9..#..ProcessCo 20890: 6e6e6563 74000000 39c00223 0c085365 nnect...9..#..Se 208a0: 72766963 65494400 00001340 02231008 rviceID....@.#.. 208b0: 53657276 69636546 6c616773 00000013 ServiceFlags.... 208c0: 40022312 084d6178 5376634d 73675369 @.#..MaxSvcMsgSi 208d0: 7a650000 00134002 23140854 7261696c ze....@.#..Trail 208e0: 65725370 63436865 636b4c69 6d697400 erSpcCheckLimit. 208f0: 00001340 02231608 53657276 69636543 ...@.#..ServiceC 20900: 74780000 00040702 23180003 0000380f tx......#.....8. 20910: 04001304 0000398c 18454e44 504f494e ......9..ENDPOIN 20920: 545f554e 55534544 00ffffff ff0d454e T_UNUSED......EN 20930: 44504f49 4e543000 000d454e 44504f49 DPOINT0...ENDPOI 20940: 4e543100 010d454e 44504f49 4e543200 NT1...ENDPOINT2. 20950: 020d454e 44504f49 4e543300 030d454e ..ENDPOINT3...EN 20960: 44504f49 4e543400 040d454e 44504f49 DPOINT4...ENDPOI 20970: 4e543500 050d454e 44504f49 4e543600 NT5...ENDPOINT6. 20980: 060d454e 44504f49 4e543700 070d454e ..ENDPOINT7...EN 20990: 44504f49 4e543800 080d454e 44504f49 DPOINT8...ENDPOI 209a0: 4e545f4d 41580016 00094854 435f454e NT_MAX....HTC_EN 209b0: 44504f49 4e545f49 44000000 38f50201 DPOINT_ID...8... 209c0: 03000039 a1040002 01030000 39aa0400 ...9........9... 209d0: 03000001 12040006 0000132c 01030000 ...........,.... 209e0: 39ba0400 03000038 0f040007 5f485443 9......8...._HTC 209f0: 5f434f4e 46494700 1400003a 3f084372 _CONFIG....:?.Cr 20a00: 65646974 53697a65 00000001 12022300 editSize......#. 20a10: 08437265 6469744e 756d6265 72000000 .CreditNumber... 20a20: 01120223 04084f53 48616e64 6c650000 ...#..OSHandle.. 20a30: 001a9602 23080848 49464861 6e646c65 ....#..HIFHandle 20a40: 00000028 ff02230c 08506f6f 6c48616e ...(..#..PoolHan 20a50: 646c6500 0000369b 02231000 075f4854 dle...6..#..._HT 20a60: 435f4255 465f434f 4e544558 54000200 C_BUF_CONTEXT... 20a70: 003a7b08 656e645f 706f696e 74000000 .:{.end_point... 20a80: 132c0223 00086874 635f666c 61677300 .,.#..htc_flags. 20a90: 0000132c 02230100 09687463 5f68616e ...,.#...htc_han 20aa0: 646c655f 74000000 04070948 54435f53 dle_t......HTC_S 20ab0: 45545550 5f434f4d 504c4554 455f4342 ETUP_COMPLETE_CB 20ac0: 00000001 0b094854 435f434f 4e464947 ......HTC_CONFIG 20ad0: 00000039 ce030000 3aa80400 0600003a ...9....:......: 20ae0: 7b010300 003abf04 00020103 00003acc {....:........:. 20af0: 04000948 54435f53 45525649 43450000 ...HTC_SERVICE.. 20b00: 00380f03 00003ad5 04000201 0300003a .8....:........: 20b10: ed040002 01030000 3af60400 02010300 ........:....... 20b20: 003aff04 00060000 01120103 00003b08 .:............;. 20b30: 04000768 74635f61 70697300 3400003c ...htc_apis.4..< 20b40: 85085f48 54435f49 6e697400 00003ac5 .._HTC_Init...:. 20b50: 02230008 5f485443 5f536875 74646f77 .#.._HTC_Shutdow 20b60: 6e000000 3ace0223 04085f48 54435f52 n...:..#.._HTC_R 20b70: 65676973 74657253 65727669 63650000 egisterService.. 20b80: 003aef02 2308085f 4854435f 52656164 .:..#.._HTC_Read 20b90: 79000000 3ace0223 0c085f48 54435f52 y...:..#.._HTC_R 20ba0: 65747572 6e427566 66657273 0000003a eturnBuffers...: 20bb0: f8022310 085f4854 435f5265 7475726e ..#.._HTC_Return 20bc0: 42756666 6572734c 69737400 00003b01 BuffersList...;. 20bd0: 02231408 5f485443 5f53656e 644d7367 .#.._HTC_SendMsg 20be0: 0000003a f8022318 085f4854 435f4765 ...:..#.._HTC_Ge 20bf0: 74526573 65727665 64486561 64726f6f tReservedHeadroo 20c00: 6d000000 3b0e0223 1c085f48 54435f4d m...;..#.._HTC_M 20c10: 73675265 63764861 6e646c65 72000000 sgRecvHandler... 20c20: 28ac0223 20085f48 54435f53 656e6444 (..# ._HTC_SendD 20c30: 6f6e6548 616e646c 65720000 0028a302 oneHandler...(.. 20c40: 2324085f 4854435f 436f6e74 726f6c53 #$._HTC_ControlS 20c50: 76635072 6f636573 734d7367 00000039 vcProcessMsg...9 20c60: a3022328 085f4854 435f436f 6e74726f ..#(._HTC_Contro 20c70: 6c537663 50726f63 65737353 656e6443 lSvcProcessSendC 20c80: 6f6d706c 65746500 000039ac 02232c08 omplete...9..#,. 20c90: 70526573 65727665 64000000 04070223 pReserved......# 20ca0: 30000768 6f73745f 6170705f 61726561 0..host_app_area 20cb0: 5f730004 00003cb5 08776d69 5f70726f _s....<..wmi_pro 20cc0: 746f636f 6c5f7665 72000000 166b0223 tocol_ver....k.# 20cd0: 0000110e 00003cec 08647374 4d616300 ......<..dstMac. 20ce0: 00001cb7 02230008 7372634d 61630000 .....#..srcMac.. 20cf0: 001cb702 23060874 7970654f 724c656e ....#..typeOrLen 20d00: 0000001c fa02230c 000e0000 16d20300 ......#......... 20d10: 003cf90f 02001108 00003d49 08647361 .<........=I.dsa 20d20: 70000000 16d20223 00087373 61700000 p......#..ssap.. 20d30: 0016d202 23010863 6e746c00 000016d2 ....#..cntl..... 20d40: 02230208 6f726743 6f646500 00003cec .#..orgCode...<. 20d50: 02230308 65746865 72547970 65000000 .#..etherType... 20d60: 1cfa0223 06001102 00003d6a 08727373 ...#......=j.rss 20d70: 69000000 1c500223 0008696e 666f0000 i....P.#..info.. 20d80: 0016d202 23010011 0400003d 9108636f ....#......=..co 20d90: 6d6d616e 64496400 00001cfa 02230008 mmandId......#.. 20da0: 7365714e 6f000000 1cfa0223 02000e00 seqNo......#.... 20db0: 0016d201 00003d9e 0f000011 0200003d ......=........= 20dc0: c5086d73 6753697a 65000000 16d20223 ..msgSize......# 20dd0: 00086d73 67446174 61000000 3d910223 ..msgData...=..# 20de0: 01001108 00003e0c 08616464 72657373 ......>..address 20df0: 4c000000 1cfa0223 00086164 64726573 L......#..addres 20e00: 73480000 001cfa02 23020876 616c7565 sH......#..value 20e10: 4c000000 1cfa0223 04087661 6c756548 L......#..valueH 20e20: 0000001c fa022306 0009574d 495f4156 ......#...WMI_AV 20e30: 54000000 3dc50e00 003e0c08 00003e26 T...=....>....>& 20e40: 0f000011 0c00003e 5d087475 706c654e .......>].tupleN 20e50: 756d4c00 00001cfa 02230008 7475706c umL......#..tupl 20e60: 654e756d 48000000 1cfa0223 02086176 eNumH......#..av 20e70: 74000000 3e190223 04001101 00003e7f t...>..#......>. 20e80: 08626561 636f6e50 656e6469 6e67436f .beaconPendingCo 20e90: 756e7400 000016d2 02230000 075f574d unt......#..._WM 20ea0: 495f5356 435f434f 4e464947 00100000 I_SVC_CONFIG.... 20eb0: 3ee80848 74634861 6e646c65 0000003a >..HtcHandle...: 20ec0: 7b022300 08506f6f 6c48616e 646c6500 {.#..PoolHandle. 20ed0: 0000369b 02230408 4d617843 6d645265 ..6..#..MaxCmdRe 20ee0: 706c7945 76747300 00000112 02230808 plyEvts......#.. 20ef0: 4d617845 76656e74 45767473 00000001 MaxEventEvts.... 20f00: 1202230c 00020103 00003ee8 04000957 ..#.......>....W 20f10: 4d495f43 4d445f48 414e444c 45520000 MI_CMD_HANDLER.. 20f20: 003eea07 5f574d49 5f444953 50415443 .>.._WMI_DISPATC 20f30: 485f454e 54525900 0800003f 51087043 H_ENTRY....?Q.pC 20f40: 6d644861 6e646c65 72000000 3ef10223 mdHandler...>..# 20f50: 0008436d 64494400 00001340 02230408 ..CmdID....@.#.. 20f60: 466c6167 73000000 13400223 0600075f Flags....@.#..._ 20f70: 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB 20f80: 4c450010 00003fb2 08704e65 78740000 LE....?..pNext.. 20f90: 003fb202 23000870 436f6e74 65787400 .?..#..pContext. 20fa0: 00000407 02230408 4e756d62 65724f66 .....#..NumberOf 20fb0: 456e7472 69657300 00000112 02230808 Entries......#.. 20fc0: 70546162 6c650000 003fd102 230c0003 pTable...?..#... 20fd0: 00003f51 04000957 4d495f44 49535041 ..?Q...WMI_DISPA 20fe0: 5443485f 454e5452 59000000 3f060300 TCH_ENTRY...?... 20ff0: 003fb904 00030000 3f510400 09485443 .?......?Q...HTC 21000: 5f425546 5f434f4e 54455854 0000003a _BUF_CONTEXT...: 21010: 3f0c574d 495f4556 545f434c 41535300 ?.WMI_EVT_CLASS. 21020: 04000040 6918574d 495f4556 545f434c ...@i.WMI_EVT_CL 21030: 4153535f 4e4f4e45 00ffffff ff0d574d ASS_NONE......WM 21040: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ 21050: 4556454e 5400000d 574d495f 4556545f EVENT...WMI_EVT_ 21060: 434c4153 535f434d 445f5245 504c5900 CLASS_CMD_REPLY. 21070: 010d574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 21080: 4d415800 02000957 4d495f45 56545f43 MAX....WMI_EVT_C 21090: 4c415353 0000003f f4075f57 4d495f42 LASS...?.._WMI_B 210a0: 55465f43 4f4e5445 5854000c 000040c7 UF_CONTEXT....@. 210b0: 08487463 42756643 74780000 003fdf02 .HtcBufCtx...?.. 210c0: 23000845 76656e74 436c6173 73000000 #..EventClass... 210d0: 40690223 0408466c 61677300 00001340 @i.#..Flags....@ 210e0: 02230800 09776d69 5f68616e 646c655f .#...wmi_handle_ 210f0: 74000000 04070957 4d495f53 56435f43 t......WMI_SVC_C 21100: 4f4e4649 47000000 3e7f0300 0040d904 ONFIG...>....@.. 21110: 00060000 40c70103 000040f4 04000957 ....@.....@....W 21120: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 21130: 45000000 3f510300 00410104 00020103 E...?Q...A...... 21140: 00004120 04000600 00269701 03000041 ..A .....&.....A 21150: 29040002 01030000 41360400 06000001 ).......A6...... 21160: 12010300 00413f04 00020103 0000414c .....A?.......AL 21170: 04000600 00132c01 03000041 55040007 ......,....AU... 21180: 5f776d69 5f737663 5f617069 73002c00 _wmi_svc_apis.,. 21190: 00429d08 5f574d49 5f496e69 74000000 .B.._WMI_Init... 211a0: 40fa0223 00085f57 4d495f52 65676973 @..#.._WMI_Regis 211b0: 74657244 69737061 74636854 61626c65 terDispatchTable 211c0: 00000041 22022304 085f574d 495f416c ...A".#.._WMI_Al 211d0: 6c6f6345 76656e74 00000041 2f022308 locEvent...A/.#. 211e0: 085f574d 495f5365 6e644576 656e7400 ._WMI_SendEvent. 211f0: 00004138 02230c08 5f574d49 5f476574 ..A8.#.._WMI_Get 21200: 50656e64 696e6745 76656e74 73436f75 PendingEventsCou 21210: 6e740000 00414502 2310085f 574d495f nt...AE.#.._WMI_ 21220: 53656e64 436f6d70 6c657465 48616e64 SendCompleteHand 21230: 6c657200 000039ac 02231408 5f574d49 ler...9..#.._WMI 21240: 5f476574 436f6e74 726f6c45 70000000 _GetControlEp... 21250: 41450223 18085f57 4d495f53 68757464 AE.#.._WMI_Shutd 21260: 6f776e00 0000414e 02231c08 5f574d49 own...AN.#.._WMI 21270: 5f526563 764d6573 73616765 48616e64 _RecvMessageHand 21280: 6c657200 000039a3 02232008 5f574d49 ler...9..# ._WMI 21290: 5f536572 76696365 436f6e6e 65637400 _ServiceConnect. 212a0: 0000415b 02232408 70526573 65727665 ..A[.#$.pReserve 212b0: 64000000 04070223 2800077a 73446d61 d......#(..zsDma 212c0: 44657363 00140000 431f0863 74726c00 Desc....C..ctrl. 212d0: 00000176 02230008 73746174 75730000 ...v.#..status.. 212e0: 00017602 23020874 6f74616c 4c656e00 ..v.#..totalLen. 212f0: 00000176 02230408 64617461 53697a65 ...v.#..dataSize 21300: 00000001 76022306 086c6173 74416464 ....v.#..lastAdd 21310: 72000000 431f0223 08086461 74614164 r...C..#..dataAd 21320: 64720000 00019a02 230c086e 65787441 dr......#..nextA 21330: 64647200 0000431f 02231000 03000042 ddr...C..#.....B 21340: 9d040003 0000429d 0400077a 73446d61 ......B....zsDma 21350: 51756575 65000800 00435f08 68656164 Queue....C_.head 21360: 00000043 26022300 08746572 6d696e61 ...C&.#..termina 21370: 746f7200 00004326 02230400 077a7354 tor...C&.#...zsT 21380: 78446d61 51756575 65001000 0043c308 xDmaQueue....C.. 21390: 68656164 00000043 26022300 08746572 head...C&.#..ter 213a0: 6d696e61 746f7200 00004326 02230408 minator...C&.#.. 213b0: 786d6974 65645f62 75665f68 65616400 xmited_buf_head. 213c0: 0000148b 02230808 786d6974 65645f62 .....#..xmited_b 213d0: 75665f74 61696c00 0000148b 02230c00 uf_tail......#.. 213e0: 02010300 0043c304 00030000 432d0400 .....C......C-.. 213f0: 02010300 0043d304 00030000 435f0400 .....C......C_.. 21400: 02010300 0043e304 00020103 000043ec .....C........C. 21410: 04000201 03000043 f5040006 0000148b .......C........ 21420: 01030000 43fe0400 02010300 00440b04 ....C........D.. 21430: 00060000 148b0103 00004414 04000201 ..........D..... 21440: 03000044 21040006 00000112 01030000 ...D!........... 21450: 442a0400 06000043 26010300 00443704 D*.....C&....D7. 21460: 00020103 00004444 04000764 6d615f65 ......DD...dma_e 21470: 6e67696e 655f6170 69004000 0045ba08 ngine_api.@..E.. 21480: 5f696e69 74000000 43c50223 00085f69 _init...C..#.._i 21490: 6e69745f 72785f71 75657565 00000043 nit_rx_queue...C 214a0: d5022304 085f696e 69745f74 785f7175 ..#.._init_tx_qu 214b0: 65756500 000043e5 02230808 5f636f6e eue...C..#.._con 214c0: 6669675f 72785f71 75657565 00000043 fig_rx_queue...C 214d0: ee02230c 085f786d 69745f62 75660000 ..#.._xmit_buf.. 214e0: 0043f702 2310085f 666c7573 685f786d .C..#.._flush_xm 214f0: 69740000 0043d502 2314085f 72656170 it...C..#.._reap 21500: 5f726563 765f6275 66000000 44040223 _recv_buf...D..# 21510: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b 21520: 75660000 00440d02 231c085f 72656170 uf...D..#.._reap 21530: 5f786d69 7465645f 62756600 0000441a _xmited_buf...D. 21540: 02232008 5f737761 705f6461 74610000 .# ._swap_data.. 21550: 00442302 2324085f 6861735f 636f6d70 .D#.#$._has_comp 21560: 6c5f7061 636b6574 73000000 44300223 l_packets...D0.# 21570: 28085f64 6573635f 64756d70 00000043 (._desc_dump...C 21580: d502232c 085f6765 745f7061 636b6574 ..#,._get_packet 21590: 00000044 3d022330 085f7265 636c6169 ...D=.#0._reclai 215a0: 6d5f7061 636b6574 00000044 46022334 m_packet...DF.#4 215b0: 085f7075 745f7061 636b6574 00000044 ._put_packet...D 215c0: 46022338 08705265 73657276 65640000 F.#8.pReserved.. 215d0: 00040702 233c0009 5f415f63 6d6e6f73 ....#<.._A_cmnos 215e0: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 215f0: 6c655f74 00000030 f909574d 495f5356 le_t...0..WMI_SV 21600: 435f4150 49530000 00416216 5f415f6d C_APIS...Ab._A_m 21610: 61677069 655f696e 64697265 6374696f agpie_indirectio 21620: 6e5f7461 626c6500 034c0000 46e80863 n_table..L..F..c 21630: 6d6e6f73 00000045 ba022300 08646267 mnos...E..#..dbg 21640: 00000003 d40323b8 03086869 66000000 ......#...hif... 21650: 29a20323 c0030868 74630000 003b1503 )..#...htc...;.. 21660: 23f80308 776d695f 7376635f 61706900 #...wmi_svc_api. 21670: 000045dc 0323ac04 08757362 6669666f ..E..#...usbfifo 21680: 5f617069 00000032 cd0323d8 04086275 _api...2..#...bu 21690: 665f706f 6f6c0000 00377603 23e40408 f_pool...7v.#... 216a0: 76627566 00000014 b5032380 05087664 vbuf......#...vd 216b0: 65736300 00001397 03239405 08616c6c esc......#...all 216c0: 6f637261 6d000000 098d0323 a8050864 ocram......#...d 216d0: 6d615f65 6e67696e 65000000 444d0323 ma_engine...DM.# 216e0: b4050864 6d615f6c 69620000 002c3603 ...dma_lib...,6. 216f0: 23f40508 6869665f 70636900 00002e96 #...hif_pci..... 21700: 0323a806 00095f41 5f6d6167 7069655f .#...._A_magpie_ 21710: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 21720: 655f7400 000045ee 11100000 473e0861 e_t...E.....G>.a 21730: 30000000 01af0223 00086131 00000001 0......#..a1.... 21740: af022304 08613200 000001af 02230808 ..#..a2......#.. 21750: 61330000 0001af02 230c000e 0000470b a3......#.....G. 21760: 50000047 4b0f0400 07585465 6e73615f P..GK....XTensa_ 21770: 65786365 7074696f 6e5f6672 616d655f exception_frame_ 21780: 73008000 00482f08 78745f70 63000000 s....H/.xt_pc... 21790: 01af0223 00087874 5f707300 000001af ...#..xt_ps..... 217a0: 02230408 78745f73 61720000 0001af02 .#..xt_sar...... 217b0: 23080878 745f7670 72690000 0001af02 #..xt_vpri...... 217c0: 230c0878 745f6132 00000001 af022310 #..xt_a2......#. 217d0: 0878745f 61330000 0001af02 23140878 .xt_a3......#..x 217e0: 745f6134 00000001 af022318 0878745f t_a4......#..xt_ 217f0: 61350000 0001af02 231c0878 745f6578 a5......#..xt_ex 21800: 63636175 73650000 0001af02 23200878 ccause......# .x 21810: 745f6c63 6f756e74 00000001 af022324 t_lcount......#$ 21820: 0878745f 6c626567 00000001 af022328 .xt_lbeg......#( 21830: 0878745f 6c656e64 00000001 af02232c .xt_lend......#, 21840: 08776200 0000473e 02233000 09435055 .wb...G>.#0..CPU 21850: 5f657863 65707469 6f6e5f66 72616d65 _exception_frame 21860: 5f740000 00474b03 000001af 04001900 _t...GK......... 21870: 0001121a 6173736c 6f6f7000 00004851 ....assloop...HQ 21880: 05030050 06f8011a 61737370 72696e74 ...P....assprint 21890: 00000001 12050300 5006fc01 0e000001 ........P....... 218a0: 19370000 488c0f36 00030000 487f0400 .7..H..6....H... 218b0: 1a637572 72656e74 5f64756d 70000000 .current_dump... 218c0: 05130503 00500a28 010e0000 01193400 .....P.(......4. 218d0: 0048b90f 33000300 0048ac04 000e0000 .H..3....H...... 218e0: 01191600 0048cd0f 15000300 0048c004 .....H.......H.. 218f0: 000e0000 01190c00 0048e10f 0b000300 .........H...... 21900: 0048d404 000e0000 01190200 0048f50f .H...........H.. 21910: 01000300 0048e804 000e0000 01190800 .....H.......... 21920: 0049090f 07000300 0048fc04 00190000 .I.......H...... 21930: 019a1900 00019a03 00004915 04001b00 ..........I..... 21940: 00018c01 0000492d 1c000300 0005bd04 ......I-........ 21950: 0002011d 0115636d 6e6f735f 73797374 ......cmnos_syst 21960: 656d5f72 65736574 00010103 92012002 em_reset...... . 21970: 9000008e 2340008e 23511d01 2c636d6e ....#@..#Q..,cmn 21980: 6f735f6d 61635f72 65736574 00010103 os_mac_reset.... 21990: 92012002 9000008e 2354008e 23591e01 .. .....#T..#Y.. 219a0: 3a636d6e 6f735f6d 6973616c 69676e65 :cmnos_misaligne 219b0: 645f6c6f 61645f68 616e646c 65720001 d_load_handler.. 219c0: 01039201 20029000 008e235c 008e23ad .... .....#\..#. 219d0: 000049c6 1f013a64 756d7000 00000513 ..I...:dump..... 219e0: 0152001e 015a636d 6e6f735f 61737366 .R...Zcmnos_assf 219f0: 61696c00 01010392 01200290 00008e23 ail...... .....# 21a00: b0008e24 1c00004a 111f015a 64756d70 ...$...J...Zdump 21a10: 00000005 13015220 74617267 65745f69 ......R target_i 21a20: 64000000 09722069 00000004 0a001e01 d....r i........ 21a30: 86636d6e 6f735f72 65706f72 745f6661 .cmnos_report_fa 21a40: 696c7572 655f746f 5f686f73 74000101 ilure_to_host... 21a50: 03920120 02900000 8e241c00 8e242100 ... .....$...$!. 21a60: 004a621f 01866475 6d700000 00051301 .Jb...dump...... 21a70: 521f0186 6c656e00 00000112 01530021 R...len......S.! 21a80: 018f636d 6e6f735f 74617267 65745f69 ..cmnos_target_i 21a90: 645f6765 74000000 01120101 03920120 d_get.......... 21aa0: 02900000 8e242400 8e242922 0198636d .....$$..$)"..cm 21ab0: 6e6f735f 6765745f 6b626869 74000000 nos_get_kbhit... 21ac0: 03300101 03920130 02900000 8e242c00 .0.....0.....$,. 21ad0: 8e245900 004ae91f 01986465 6c617900 .$Y..J....delay. 21ae0: 00000330 0152206c 6173745f 63636f75 ...0.R last_ccou 21af0: 6e740000 0001af23 6b626869 74000000 nt.....#kbhit... 21b00: 03300291 50002201 b0636d6e 6f735f69 .0..P."..cmnos_i 21b10: 735f686f 73745f70 72657365 6e740000 s_host_present.. 21b20: 00058801 01039201 20029000 008e245c ........ .....$\ 21b30: 008e247b 00004b31 206d4869 66000000 ..${..K1 mHif... 21b40: 0588206d 44617461 00000001 af002101 .. mData......!. 21b50: e0636d6e 6f735f72 6f6d5f76 65727369 .cmnos_rom_versi 21b60: 6f6e5f67 65740000 00018c01 01039201 on_get.......... 21b70: 20029000 008e247c 008e2487 2401eb63 .....$|..$.$..c 21b80: 6d6e6f73 5f6d6973 635f6d6f 64756c65 mnos_misc_module 21b90: 5f696e73 74616c6c 00010103 92012002 _install...... . 21ba0: 9000008e 2488008e 24b51f01 eb74626c ....$...$....tbl 21bb0: 00000049 2d015200 00000000 4a630002 ...I-.R.....Jc.. 21bc0: 00000d2d 04012f72 6f6f742f 576f726b ...-../root/Work 21bd0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 21be0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 21bf0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 21c00: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 21c10: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 21c20: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 21c30: 2f737263 2f636d6e 6f735f70 72696e74 /src/cmnos_print 21c40: 662e6300 2f726f6f 742f576f 726b7370 f.c./root/Worksp 21c50: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 21c60: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 21c70: 5f312f72 6f6d2f63 6d6e6f73 2f707269 _1/rom/cmnos/pri 21c80: 6e746600 78742d78 63632066 6f722037 ntf.xt-xcc for 7 21c90: 2e312e30 202d4f50 543a616c 69676e5f .1.0 -OPT:align_ 21ca0: 696e7374 72756374 696f6e73 3d333220 instructions=32 21cb0: 2d4f3220 2d673320 2d4f5054 3a737061 -O2 -g3 -OPT:spa 21cc0: 63650001 0000007c 2e020103 0000010f ce.....|........ 21cd0: 04000469 6e740005 04046368 61720007 ...int....char.. 21ce0: 01050000 011f0500 00011f03 0000012c ..............., 21cf0: 04000600 00011801 03000001 38040007 ............8... 21d00: 7072696e 74665f61 70690008 0000017c printf_api.....| 21d10: 085f7072 696e7466 5f696e69 74000000 ._printf_init... 21d20: 01110223 00085f70 72696e74 66000000 ...#.._printf... 21d30: 013e0223 04000473 686f7274 20756e73 .>.#...short uns 21d40: 69676e65 6420696e 74000702 0975696e igned int....uin 21d50: 7431365f 74000000 017c046c 6f6e6720 t16_t....|.long 21d60: 756e7369 676e6564 20696e74 00070409 unsigned int.... 21d70: 75696e74 33325f74 00000001 a0077561 uint32_t......ua 21d80: 72745f66 69666f00 08000002 0e087374 rt_fifo.......st 21d90: 6172745f 696e6465 78000000 01920223 art_index......# 21da0: 0008656e 645f696e 64657800 00000192 ..end_index..... 21db0: 02230208 6f766572 72756e5f 65727200 .#..overrun_err. 21dc0: 000001b5 02230400 07756172 745f6170 .....#...uart_ap 21dd0: 69002000 0002c708 5f756172 745f696e i. ....._uart_in 21de0: 69740000 00031e02 2300085f 75617274 it......#.._uart 21df0: 5f636861 725f7075 74000000 03450223 _char_put....E.# 21e00: 04085f75 6172745f 63686172 5f676574 .._uart_char_get 21e10: 00000003 59022308 085f7561 72745f73 ....Y.#.._uart_s 21e20: 74725f6f 75740000 00036202 230c085f tr_out....b.#.._ 21e30: 75617274 5f746173 6b000000 01110223 uart_task......# 21e40: 10085f75 6172745f 73746174 75730000 .._uart_status.. 21e50: 00031e02 2314085f 75617274 5f636f6e ....#.._uart_con 21e60: 66696700 0000036b 02231808 5f756172 fig....k.#.._uar 21e70: 745f6877 696e6974 00000003 7402231c t_hwinit....t.#. 21e80: 00030000 020e0400 07756172 745f626c .........uart_bl 21e90: 6b001000 00031808 64656275 675f6d6f k.......debug_mo 21ea0: 64650000 00019202 23000862 61756400 de......#..baud. 21eb0: 00000192 02230208 5f756172 74000000 .....#.._uart... 21ec0: 02c70223 04085f74 78000000 01c30223 ...#.._tx......# 21ed0: 08000600 0001b501 03000003 18040004 ................ 21ee0: 756e7369 676e6564 20636861 72000701 unsigned char... 21ef0: 0975696e 74385f74 00000003 25020103 .uint8_t....%... 21f00: 00000343 04000300 00033604 00060000 ...C......6..... 21f10: 01920103 00000353 04000201 03000003 .......S........ 21f20: 60040002 01030000 03690400 02010300 `........i...... 21f30: 00037204 00030000 011f0400 06000001 ..r............. 21f40: 18010300 00038204 00074442 5f434f4d ..........DB_COM 21f50: 4d414e44 5f535452 55435400 0c000003 MAND_STRUCT..... 21f60: da08636d 645f7374 72000000 037b0223 ..cmd_str....{.# 21f70: 00086865 6c705f73 74720000 00037b02 ..help_str....{. 21f80: 23040863 6d645f66 756e6300 00000388 #..cmd_func..... 21f90: 02230800 07646267 5f617069 00080000 .#...dbg_api.... 21fa0: 040d085f 6462675f 696e6974 00000001 ..._dbg_init.... 21fb0: 11022300 085f6462 675f7461 736b0000 ..#.._dbg_task.. 21fc0: 00011102 2304000a 04000475 6e736967 ....#......unsig 21fd0: 6e656420 696e7400 07040600 00040d01 ned int......... 21fe0: 03000004 2004000b 0b030000 042e0400 .... ........... 21ff0: 06000004 0d010300 00043604 00060000 ..........6..... 22000: 01180103 00000443 0400076d 656d5f61 .......C...mem_a 22010: 70690014 000004b2 085f6d65 6d5f696e pi......._mem_in 22020: 69740000 00011102 2300085f 6d656d73 it......#.._mems 22030: 65740000 00042602 2304085f 6d656d63 et....&.#.._memc 22040: 70790000 00043c02 2308085f 6d656d6d py....<.#.._memm 22050: 6f766500 0000043c 02230c08 5f6d656d ove....<.#.._mem 22060: 636d7000 00000449 02231000 0c726567 cmp....I.#...reg 22070: 69737465 725f6475 6d705f73 00000103 ister_dump_s.... 22080: 000004b2 04000201 03000004 cc040002 ................ 22090: 01030000 04d50400 06000001 18010300 ................ 220a0: 0004de04 000d686f 73746966 5f730004 ......hostif_s.. 220b0: 0000053a 0e484946 5f555342 00000e48 ...:.HIF_USB...H 220c0: 49465f50 43494500 010e4849 465f474d IF_PCIE...HIF_GM 220d0: 41430002 0e484946 5f504349 00030e48 AC...HIF_PCI...H 220e0: 49465f4e 554d0004 0e484946 5f4e4f4e IF_NUM...HIF_NON 220f0: 45000500 09415f48 4f535449 46000000 E....A_HOSTIF... 22100: 04eb0600 00053a01 03000005 48040006 ......:.....H... 22110: 00000336 01030000 05550400 06000001 ...6.....U...... 22120: 92010300 00056204 00076d69 73635f61 ......b...misc_a 22130: 70690024 00000652 085f7379 7374656d pi.$...R._system 22140: 5f726573 65740000 00011102 2300085f _reset......#.._ 22150: 6d61635f 72657365 74000000 01110223 mac_reset......# 22160: 04085f61 73736661 696c0000 0004ce02 .._assfail...... 22170: 2308085f 6d697361 6c69676e 65645f6c #.._misaligned_l 22180: 6f61645f 68616e64 6c657200 000004ce oad_handler..... 22190: 02230c08 5f726570 6f72745f 6661696c .#.._report_fail 221a0: 7572655f 746f5f68 6f737400 000004d7 ure_to_host..... 221b0: 02231008 5f746172 6765745f 69645f67 .#.._target_id_g 221c0: 65740000 0004e402 2314085f 69735f68 et......#.._is_h 221d0: 6f73745f 70726573 656e7400 0000054e ost_present....N 221e0: 02231808 5f6b6268 69740000 00055b02 .#.._kbhit....[. 221f0: 231c085f 726f6d5f 76657273 696f6e5f #.._rom_version_ 22200: 67657400 00000568 02232000 06000003 get....h.# ..... 22210: 7b010300 00065204 00060000 037b0103 {.....R......{.. 22220: 0000065f 04000600 00011801 03000006 ..._............ 22230: 6c040006 00000118 01030000 06790400 l............y.. 22240: 06000001 18010300 00068604 00077374 ..............st 22250: 72696e67 5f617069 00180000 070c085f ring_api......._ 22260: 73747269 6e675f69 6e697400 00000111 string_init..... 22270: 02230008 5f737472 63707900 00000658 .#.._strcpy....X 22280: 02230408 5f737472 6e637079 00000006 .#.._strncpy.... 22290: 65022308 085f7374 726c656e 00000006 e.#.._strlen.... 222a0: 7202230c 085f7374 72636d70 00000006 r.#.._strcmp.... 222b0: 7f022310 085f7374 726e636d 70000000 ..#.._strncmp... 222c0: 068c0223 14000f00 00041014 00000719 ...#............ 222d0: 10040009 5f415f54 494d4552 5f535041 ...._A_TIMER_SPA 222e0: 43450000 00070c09 415f7469 6d65725f CE......A_timer_ 222f0: 74000000 07190300 00072d04 00020103 t.........-..... 22300: 00000743 04000201 03000007 4c040009 ...C........L... 22310: 415f4841 4e444c45 00000004 10020109 A_HANDLE........ 22320: 415f5449 4d45525f 46554e43 00000007 A_TIMER_FUNC.... 22330: 63030000 07650400 02010300 00077e04 c....e........~. 22340: 00077469 6d65725f 61706900 14000007 ..timer_api..... 22350: fd085f74 696d6572 5f696e69 74000000 .._timer_init... 22360: 01110223 00085f74 696d6572 5f61726d ...#.._timer_arm 22370: 00000007 45022304 085f7469 6d65725f ....E.#.._timer_ 22380: 64697361 726d0000 00074e02 2308085f disarm....N.#.._ 22390: 74696d65 725f7365 74666e00 00000780 timer_setfn..... 223a0: 02230c08 5f74696d 65725f72 756e0000 .#.._timer_run.. 223b0: 00011102 23100009 424f4f4c 45414e00 ....#...BOOLEAN. 223c0: 00000192 06000007 fd010300 00080a04 ................ 223d0: 00060000 07fd0103 00000817 04000600 ................ 223e0: 0007fd01 03000008 24040007 726f6d70 ........$...romp 223f0: 5f617069 00100000 0896085f 726f6d70 _api......._romp 22400: 5f696e69 74000000 01110223 00085f72 _init......#.._r 22410: 6f6d705f 646f776e 6c6f6164 00000008 omp_download.... 22420: 10022304 085f726f 6d705f69 6e737461 ..#.._romp_insta 22430: 6c6c0000 00081d02 2308085f 726f6d70 ll......#.._romp 22440: 5f646563 6f646500 0000082a 02230c00 _decode....*.#.. 22450: 07726f6d 5f706174 63685f73 74001000 .rom_patch_st... 22460: 0008f208 63726331 36000000 01920223 ....crc16......# 22470: 00086c65 6e000000 01920223 02086c64 ..len......#..ld 22480: 5f616464 72000000 01b50223 04086675 _addr......#..fu 22490: 6e5f6164 64720000 0001b502 23080870 n_addr......#..p 224a0: 66756e00 0000034c 02230c00 07656570 fun....L.#...eep 224b0: 5f726564 69725f61 64647200 04000009 _redir_addr..... 224c0: 24086f66 66736574 00000001 92022300 $.offset......#. 224d0: 0873697a 65000000 01920223 02000941 .size......#...A 224e0: 5f55494e 54333200 00000410 06000004 _UINT32......... 224f0: 0d010300 00093204 0007616c 6c6f6372 ......2...allocr 22500: 616d5f61 7069000c 000009a3 08636d6e am_api.......cmn 22510: 6f735f61 6c6c6f63 72616d5f 696e6974 os_allocram_init 22520: 00000009 38022300 08636d6e 6f735f61 ....8.#..cmnos_a 22530: 6c6c6f63 72616d00 00000938 02230408 llocram....8.#.. 22540: 636d6e6f 735f616c 6c6f6372 616d5f64 cmnos_allocram_d 22550: 65627567 00000001 11022308 00020103 ebug......#..... 22560: 000009a3 04000941 5f544153 4b4c4554 .......A_TASKLET 22570: 5f46554e 43000000 09a5075f 7461736b _FUNC......_task 22580: 6c657400 1000000a 04086675 6e630000 let.......func.. 22590: 0009ac02 23000861 72670000 00040d02 ....#..arg...... 225a0: 23040873 74617465 00000001 18022308 #..state......#. 225b0: 086e6578 74000000 0a040223 0c000300 .next......#.... 225c0: 0009c004 00030000 09c00400 09415f74 .............A_t 225d0: 61736b6c 65745f74 00000009 c0030000 asklet_t........ 225e0: 0a120400 02010300 000a2a04 00020103 ..........*..... 225f0: 00000a33 04000774 61736b6c 65745f61 ...3...tasklet_a 22600: 70690014 00000ac8 085f7461 736b6c65 pi......._taskle 22610: 745f696e 69740000 00011102 2300085f t_init......#.._ 22620: 7461736b 6c65745f 696e6974 5f746173 tasklet_init_tas 22630: 6b000000 0a2c0223 04085f74 61736b6c k....,.#.._taskl 22640: 65745f64 69736162 6c650000 000a3502 et_disable....5. 22650: 2308085f 7461736b 6c65745f 73636865 #.._tasklet_sche 22660: 64756c65 0000000a 3502230c 085f7461 dule....5.#.._ta 22670: 736b6c65 745f7275 6e000000 01110223 sklet_run......# 22680: 10000201 0300000a c8040006 00000924 ...............$ 22690: 01030000 0ad10400 02010300 000ade04 ................ 226a0: 0007636c 6f636b5f 61706900 2400000b ..clock_api.$... 226b0: c0085f63 6c6f636b 5f696e69 74000000 .._clock_init... 226c0: 0aca0223 00085f63 6c6f636b 72656773 ...#.._clockregs 226d0: 5f696e69 74000000 01110223 04085f75 _init......#.._u 226e0: 6172745f 66726571 75656e63 79000000 art_frequency... 226f0: 0ad70223 08085f64 656c6179 5f757300 ...#.._delay_us. 22700: 00000ae0 02230c08 5f776c61 6e5f6261 .....#.._wlan_ba 22710: 6e645f73 65740000 000ae002 2310085f nd_set......#.._ 22720: 72656663 6c6b5f73 70656564 5f676574 refclk_speed_get 22730: 0000000a d7022314 085f6d69 6c6c6973 ......#.._millis 22740: 65636f6e 64730000 000ad702 2318085f econds......#.._ 22750: 73797363 6c6b5f63 68616e67 65000000 sysclk_change... 22760: 01110223 1c085f63 6c6f636b 5f746963 ...#.._clock_tic 22770: 6b000000 01110223 20000600 0001b501 k......# ....... 22780: 0300000b c0040009 415f6f6c 645f696e ........A_old_in 22790: 74725f74 00000001 b5060000 0bcd0103 tr_t............ 227a0: 00000bdf 04000201 0300000b ec040002 ................ 227b0: 01030000 0bf50400 06000001 b5010300 ................ 227c0: 000bfe04 0009415f 6973725f 74000000 ......A_isr_t... 227d0: 0c040201 0300000c 18040006 00000410 ................ 227e0: 01030000 0c210400 02010300 000c2e04 .....!.......... 227f0: 0007696e 74725f61 7069002c 00000d50 ..intr_api.,...P 22800: 085f696e 74725f69 6e697400 00000111 ._intr_init..... 22810: 02230008 5f696e74 725f696e 766f6b65 .#.._intr_invoke 22820: 5f697372 0000000b c6022304 085f696e _isr......#.._in 22830: 74725f64 69736162 6c650000 000be502 tr_disable...... 22840: 2308085f 696e7472 5f726573 746f7265 #.._intr_restore 22850: 0000000b ee02230c 085f696e 74725f6d ......#.._intr_m 22860: 61736b5f 696e756d 0000000b f7022310 ask_inum......#. 22870: 085f696e 74725f75 6e6d6173 6b5f696e ._intr_unmask_in 22880: 756d0000 000bf702 2314085f 696e7472 um......#.._intr 22890: 5f617474 6163685f 69737200 00000c1a _attach_isr..... 228a0: 02231808 5f676574 5f696e74 72656e61 .#.._get_intrena 228b0: 626c6500 00000c27 02231c08 5f736574 ble....'.#.._set 228c0: 5f696e74 72656e61 626c6500 00000c30 _intrenable....0 228d0: 02232008 5f676574 5f696e74 7270656e .# ._get_intrpen 228e0: 64696e67 0000000c 27022324 085f756e ding....'.#$._un 228f0: 626c6f63 6b5f616c 6c5f696e 74726c76 block_all_intrlv 22900: 6c000000 01110223 28001104 00000d76 l......#(......v 22910: 0874696d 656f7574 00000001 b5022300 .timeout......#. 22920: 08616374 696f6e00 000001b5 02230000 .action......#.. 22930: 12080000 0d910863 6d640000 0001b502 .......cmd...... 22940: 23001300 000d5002 23040009 545f5744 #.....P.#...T_WD 22950: 545f434d 44000000 0d760201 0300000d T_CMD....v...... 22960: a0040014 0400000d f60e454e 554d5f57 ..........ENUM_W 22970: 44545f42 4f4f5400 010e454e 554d5f43 DT_BOOT...ENUM_C 22980: 4f4c445f 424f4f54 00020e45 4e554d5f OLD_BOOT...ENUM_ 22990: 53555350 5f424f4f 5400030e 454e554d SUSP_BOOT...ENUM 229a0: 5f554e4b 4e4f574e 5f424f4f 54000400 _UNKNOWN_BOOT... 229b0: 09545f42 4f4f545f 54595045 0000000d .T_BOOT_TYPE.... 229c0: a9060000 0df60103 00000e07 04000777 ...............w 229d0: 64745f61 7069001c 00000eab 085f7764 dt_api......._wd 229e0: 745f696e 69740000 00011102 2300085f t_init......#.._ 229f0: 7764745f 656e6162 6c650000 00011102 wdt_enable...... 22a00: 2304085f 7764745f 64697361 626c6500 #.._wdt_disable. 22a10: 00000111 02230808 5f776474 5f736574 .....#.._wdt_set 22a20: 0000000d a202230c 085f7764 745f7461 ......#.._wdt_ta 22a30: 736b0000 00011102 2310085f 7764745f sk......#.._wdt_ 22a40: 72657365 74000000 01110223 14085f77 reset......#.._w 22a50: 64745f6c 6173745f 626f6f74 0000000e dt_last_boot.... 22a60: 0d022318 00140400 000f120e 5245545f ..#.........RET_ 22a70: 53554343 45535300 000e5245 545f4e4f SUCCESS...RET_NO 22a80: 545f494e 49540001 0e524554 5f4e4f54 T_INIT...RET_NOT 22a90: 5f455849 53540002 0e524554 5f454550 _EXIST...RET_EEP 22aa0: 5f434f52 52555054 00030e52 45545f45 _CORRUPT...RET_E 22ab0: 45505f4f 56455246 4c4f5700 040e5245 EP_OVERFLOW...RE 22ac0: 545f554e 4b4e4f57 4e000500 09545f45 T_UNKNOWN....T_E 22ad0: 45505f52 45540000 000eab03 00000192 EP_RET.......... 22ae0: 04000600 000f1201 0300000f 28040006 ............(... 22af0: 00000f12 01030000 0f350400 07656570 .........5...eep 22b00: 5f617069 00100000 0f9e085f 6565705f _api......._eep_ 22b10: 696e6974 00000001 11022300 085f6565 init......#.._ee 22b20: 705f7265 61640000 000f2e02 2304085f p_read......#.._ 22b30: 6565705f 77726974 65000000 0f2e0223 eep_write......# 22b40: 08085f65 65705f69 735f6578 69737400 .._eep_is_exist. 22b50: 00000f3b 02230c00 07757362 5f617069 ...;.#...usb_api 22b60: 00700000 124b085f 7573625f 696e6974 .p...K._usb_init 22b70: 00000001 11022300 085f7573 625f726f ......#.._usb_ro 22b80: 6d5f7461 736b0000 00011102 2304085f m_task......#.._ 22b90: 7573625f 66775f74 61736b00 00000111 usb_fw_task..... 22ba0: 02230808 5f757362 5f696e69 745f7068 .#.._usb_init_ph 22bb0: 79000000 01110223 0c085f75 73625f65 y......#.._usb_e 22bc0: 70305f73 65747570 00000001 11022310 p0_setup......#. 22bd0: 085f7573 625f6570 305f7478 00000001 ._usb_ep0_tx.... 22be0: 11022314 085f7573 625f6570 305f7278 ..#.._usb_ep0_rx 22bf0: 00000001 11022318 085f7573 625f6765 ......#.._usb_ge 22c00: 745f696e 74657266 61636500 0000081d t_interface..... 22c10: 02231c08 5f757362 5f736574 5f696e74 .#.._usb_set_int 22c20: 65726661 63650000 00081d02 2320085f erface......# ._ 22c30: 7573625f 6765745f 636f6e66 69677572 usb_get_configur 22c40: 6174696f 6e000000 081d0223 24085f75 ation......#$._u 22c50: 73625f73 65745f63 6f6e6669 67757261 sb_set_configura 22c60: 74696f6e 00000008 1d022328 085f7573 tion......#(._us 22c70: 625f7374 616e6461 72645f63 6d640000 b_standard_cmd.. 22c80: 00081d02 232c085f 7573625f 76656e64 ....#,._usb_vend 22c90: 6f725f63 6d640000 00011102 2330085f or_cmd......#0._ 22ca0: 7573625f 706f7765 725f6f66 66000000 usb_power_off... 22cb0: 01110223 34085f75 73625f72 65736574 ...#4._usb_reset 22cc0: 5f666966 6f000000 01110223 38085f75 _fifo......#8._u 22cd0: 73625f67 656e5f77 64740000 00011102 sb_gen_wdt...... 22ce0: 233c085f 7573625f 6a756d70 5f626f6f #<._usb_jump_boo 22cf0: 74000000 01110223 40085f75 73625f63 t......#@._usb_c 22d00: 6c725f66 65617475 72650000 00081d02 lr_feature...... 22d10: 2344085f 7573625f 7365745f 66656174 #D._usb_set_feat 22d20: 75726500 0000081d 02234808 5f757362 ure......#H._usb 22d30: 5f736574 5f616464 72657373 00000008 _set_address.... 22d40: 1d02234c 085f7573 625f6765 745f6465 ..#L._usb_get_de 22d50: 73637269 70746f72 00000008 1d022350 scriptor......#P 22d60: 085f7573 625f6765 745f7374 61747573 ._usb_get_status 22d70: 00000008 1d022354 085f7573 625f7365 ......#T._usb_se 22d80: 7475705f 64657363 00000001 11022358 tup_desc......#X 22d90: 085f7573 625f7265 675f6f75 74000000 ._usb_reg_out... 22da0: 01110223 5c085f75 73625f73 74617475 ...#\._usb_statu 22db0: 735f696e 00000001 11022360 085f7573 s_in......#`._us 22dc0: 625f6570 305f7478 5f646174 61000000 b_ep0_tx_data... 22dd0: 01110223 64085f75 73625f65 70305f72 ...#d._usb_ep0_r 22de0: 785f6461 74610000 00011102 2368085f x_data......#h._ 22df0: 7573625f 636c6b5f 696e6974 00000001 usb_clk_init.... 22e00: 1102236c 00075f56 44455343 00240000 ..#l.._VDESC.$.. 22e10: 12d7086e 6578745f 64657363 00000012 ...next_desc.... 22e20: d7022300 08627566 5f616464 72000000 ..#..buf_addr... 22e30: 12eb0223 04086275 665f7369 7a650000 ...#..buf_size.. 22e40: 0012f202 23080864 6174615f 6f666673 ....#..data_offs 22e50: 65740000 0012f202 230a0864 6174615f et......#..data_ 22e60: 73697a65 00000012 f202230c 08636f6e size......#..con 22e70: 74726f6c 00000012 f202230e 0868775f trol......#..hw_ 22e80: 64657363 5f627566 00000013 00022310 desc_buf......#. 22e90: 00030000 124b0400 09415f55 494e5438 .....K...A_UINT8 22ea0: 00000003 25030000 12de0400 09415f55 ....%........A_U 22eb0: 494e5431 36000000 017c0f00 0012de14 INT16....|...... 22ec0: 0000130d 10130003 0000124b 04000956 ...........K...V 22ed0: 44455343 00000012 4b030000 13140400 DESC....K....... 22ee0: 06000013 1f010300 00132604 00060000 ..........&..... 22ef0: 12eb0103 00001333 04000201 03000013 .......3........ 22f00: 40040007 76646573 635f6170 69001400 @...vdesc_api... 22f10: 0013b808 5f696e69 74000000 0ae00223 ...._init......# 22f20: 00085f61 6c6c6f63 5f766465 73630000 .._alloc_vdesc.. 22f30: 00132c02 2304085f 6765745f 68775f64 ..,.#.._get_hw_d 22f40: 65736300 00001339 02230808 5f737761 esc....9.#.._swa 22f50: 705f7664 65736300 00001342 02230c08 p_vdesc....B.#.. 22f60: 70526573 65727665 64000000 040d0223 pReserved......# 22f70: 1000075f 56425546 00200000 14180864 ..._VBUF. .....d 22f80: 6573635f 6c697374 00000013 1f022300 esc_list......#. 22f90: 086e6578 745f6275 66000000 14180223 .next_buf......# 22fa0: 04086275 665f6c65 6e677468 00000012 ..buf_length.... 22fb0: f2022308 08726573 65727665 64000000 ..#..reserved... 22fc0: 141f0223 0a086374 78000000 13000223 ...#..ctx......# 22fd0: 0c000300 0013b804 000f0000 12de0200 ................ 22fe0: 00142c10 01000300 0013b804 00095642 ..,...........VB 22ff0: 55460000 0013b803 00001433 04000600 UF.........3.... 23000: 00143d01 03000014 44040006 0000143d ..=.....D......= 23010: 01030000 14510400 02010300 00145e04 .....Q........^. 23020: 00077662 75665f61 70690014 000014dc ..vbuf_api...... 23030: 085f696e 69740000 000ae002 2300085f ._init......#.._ 23040: 616c6c6f 635f7662 75660000 00144a02 alloc_vbuf....J. 23050: 2304085f 616c6c6f 635f7662 75665f77 #.._alloc_vbuf_w 23060: 6974685f 73697a65 00000014 57022308 ith_size....W.#. 23070: 085f6672 65655f76 62756600 00001460 ._free_vbuf....` 23080: 02230c08 70526573 65727665 64000000 .#..pReserved... 23090: 040d0223 1000075f 5f616466 5f646576 ...#...__adf_dev 230a0: 69636500 04000014 fe086475 6d6d7900 ice.......dummy. 230b0: 00000118 02230000 03000009 24040007 .....#......$... 230c0: 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... 230d0: 00154508 62756600 0000143d 02230008 ..E.buf....=.#.. 230e0: 64735f61 64647200 000014fe 02230408 ds_addr......#.. 230f0: 64735f6c 656e0000 0012f202 23080012 ds_len......#... 23100: 0c000015 7f085f5f 76615f73 746b0000 ......__va_stk.. 23110: 00037b02 2300085f 5f76615f 72656700 ..{.#..__va_reg. 23120: 0000037b 02230408 5f5f7661 5f6e6478 ...{.#..__va_ndx 23130: 00000001 18022308 00095f5f 6164665f ......#...__adf_ 23140: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 23150: 09240961 64665f6f 735f646d 615f6164 .$.adf_os_dma_ad 23160: 64725f74 00000015 7f095f5f 6164665f dr_t......__adf_ 23170: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 23180: 09240961 64665f6f 735f646d 615f7369 .$.adf_os_dma_si 23190: 7a655f74 00000015 af075f5f 646d615f ze_t......__dma_ 231a0: 73656773 00080000 160b0870 61646472 segs.......paddr 231b0: 00000015 98022300 086c656e 00000015 ......#..len.... 231c0: c8022304 00095f5f 615f7569 6e743332 ..#...__a_uint32 231d0: 5f740000 00092409 615f7569 6e743332 _t....$.a_uint32 231e0: 5f740000 00160b0f 000015df 08000016 _t.............. 231f0: 3a100000 07616466 5f6f735f 646d616d :....adf_os_dmam 23200: 61705f69 6e666f00 0c000016 73086e73 ap_info.....s.ns 23210: 65677300 0000161d 02230008 646d615f egs......#..dma_ 23220: 73656773 00000016 2d022304 00095f5f segs....-.#...__ 23230: 615f7569 6e74385f 74000000 12de0961 a_uint8_t......a 23240: 5f75696e 74385f74 00000016 73030000 _uint8_t....s... 23250: 16840400 075f5f73 675f7365 67730008 .....__sg_segs.. 23260: 000016c5 08766164 64720000 00169302 .....vaddr...... 23270: 2300086c 656e0000 00161d02 2304000f #..len......#... 23280: 0000169a 20000016 d2100300 07616466 .... ........adf 23290: 5f6f735f 73676c69 73740024 00001705 _os_sglist.$.... 232a0: 086e7365 67730000 00161d02 23000873 .nsegs......#..s 232b0: 675f7365 67730000 0016c502 23040012 g_segs......#... 232c0: 10000017 4e087665 6e646f72 00000016 ....N.vendor.... 232d0: 1d022300 08646576 69636500 0000161d ..#..device..... 232e0: 02230408 73756276 656e646f 72000000 .#..subvendor... 232f0: 161d0223 08087375 62646576 69636500 ...#..subdevice. 23300: 0000161d 02230c00 046c6f6e 67206c6f .....#...long lo 23310: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. 23320: 07080941 5f55494e 54363400 0000174e ...A_UINT64....N 23330: 095f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... 23340: 17680961 5f75696e 7436345f 74000000 .h.a_uint64_t... 23350: 17761404 000017d4 0e414446 5f4f535f .v.......ADF_OS_ 23360: 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME 23370: 4d00000e 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU 23380: 5243455f 54595045 5f494f00 01000961 RCE_TYPE_IO....a 23390: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 233a0: 7970655f 74000000 17981218 0000181e ype_t........... 233b0: 08737461 72740000 00178802 23000865 .start......#..e 233c0: 6e640000 00178802 23080874 79706500 nd......#..type. 233d0: 000017d4 02231000 09616466 5f6f735f .....#...adf_os_ 233e0: 7063695f 6465765f 69645f74 00000017 pci_dev_id_t.... 233f0: 05030000 181e0400 11040000 185d0870 .............].p 23400: 63690000 00183702 23000872 61770000 ci....7.#..raw.. 23410: 00040d02 23000011 10000018 7c087063 ....#.......|.pc 23420: 69000000 181e0223 00087261 77000000 i......#..raw... 23430: 040d0223 00000961 64665f64 72765f68 ...#...adf_drv_h 23440: 616e646c 655f7400 0000040d 09616466 andle_t......adf 23450: 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. 23460: 0017f003 00001892 04000961 64665f6f ...........adf_o 23470: 735f6174 74616368 5f646174 615f7400 s_attach_data_t. 23480: 0000185d 03000018 b0040003 000014dc ...]............ 23490: 0400095f 5f616466 5f6f735f 64657669 ...__adf_os_devi 234a0: 63655f74 00000018 d1096164 665f6f73 ce_t......adf_os 234b0: 5f646576 6963655f 74000000 18d80600 _device_t....... 234c0: 00187c01 03000019 04040002 01030000 ..|............. 234d0: 19110400 09616466 5f6f735f 706d5f74 .....adf_os_pm_t 234e0: 00000004 0d020103 0000192b 04001404 ...........+.... 234f0: 0000196b 0e414446 5f4f535f 4255535f ...k.ADF_OS_BUS_ 23500: 54595045 5f504349 00010e41 44465f4f TYPE_PCI...ADF_O 23510: 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER 23520: 49430002 00096164 665f6f73 5f627573 IC....adf_os_bus 23530: 5f747970 655f7400 00001934 09616466 _type_t....4.adf 23540: 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data 23550: 5f740000 00183e03 00000325 0400075f _t....>....%..._ 23560: 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. 23570: 1a480864 72765f61 74746163 68000000 .H.drv_attach... 23580: 190a0223 00086472 765f6465 74616368 ...#..drv_detach 23590: 00000019 13022304 08647276 5f737573 ......#..drv_sus 235a0: 70656e64 00000019 2d022308 08647276 pend....-.#..drv 235b0: 5f726573 756d6500 00001913 02230c08 _resume......#.. 235c0: 6275735f 74797065 00000019 6b022310 bus_type....k.#. 235d0: 08627573 5f646174 61000000 19820223 .bus_data......# 235e0: 14086d6f 645f6e61 6d650000 00199d02 ..mod_name...... 235f0: 23180869 666e616d 65000000 199d0223 #..ifname......# 23600: 1c000961 64665f6f 735f6861 6e646c65 ...adf_os_handle 23610: 5f740000 00040d03 00001673 04000201 _t.........s.... 23620: 0201095f 5f616466 5f6f735f 73697a65 ...__adf_os_size 23630: 5f740000 00041014 0400001a 970e415f _t............A_ 23640: 46414c53 4500000e 415f5452 55450001 FALSE...A_TRUE.. 23650: 0009615f 626f6f6c 5f740000 001a7d03 ..a_bool_t....}. 23660: 00001505 0400095f 5f616466 5f6f735f .......__adf_os_ 23670: 646d615f 6d61705f 74000000 1aa50201 dma_map_t....... 23680: 0d616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy 23690: 6e630004 00001b2f 0e414446 5f53594e nc...../.ADF_SYN 236a0: 435f5052 45524541 4400000e 4144465f C_PREREAD...ADF_ 236b0: 53594e43 5f505245 57524954 4500020e SYNC_PREWRITE... 236c0: 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA 236d0: 4400010e 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS 236e0: 54575249 54450003 00096164 665f6f73 TWRITE....adf_os 236f0: 5f636163 68655f73 796e635f 74000000 _cache_sync_t... 23700: 1ac60201 09616466 5f6f735f 73697a65 .....adf_os_size 23710: 5f740000 001a6806 00001b4a 01096164 _t....h....J..ad 23720: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 23730: 001aac03 00001b63 04000600 00040d01 .......c........ 23740: 0300001a ac040006 0000040d 01020106 ................ 23750: 00001598 01020104 73686f72 7420696e ........short in 23760: 74000502 09415f49 4e543136 0000001b t....A_INT16.... 23770: 9d095f5f 615f696e 7431365f 74000000 ..__a_int16_t... 23780: 1baa0961 5f696e74 31365f74 0000001b ...a_int16_t.... 23790: b7047369 676e6564 20636861 72000501 ..signed char... 237a0: 09415f49 4e543800 00001bd7 095f5f61 .A_INT8......__a 237b0: 5f696e74 385f7400 00001be6 09615f69 _int8_t......a_i 237c0: 6e74385f 74000000 1bf2120c 00001c69 nt8_t..........i 237d0: 08737570 706f7274 65640000 00161d02 .supported...... 237e0: 23000861 64766572 74697a65 64000000 #..advertized... 237f0: 161d0223 04087370 65656400 00001bc8 ...#..speed..... 23800: 02230808 6475706c 65780000 001c0202 .#..duplex...... 23810: 230a0861 75746f6e 65670000 00168402 #..autoneg...... 23820: 230b000f 00001684 0600001c 76100500 #...........v... 23830: 07616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr 23840: 00060000 1c9a0861 64647200 00001c69 .......addr....i 23850: 02230000 095f5f61 5f75696e 7431365f .#...__a_uint16_ 23860: 74000000 12f20961 5f75696e 7431365f t......a_uint16_ 23870: 74000000 1c9a120e 00001cfe 08657468 t............eth 23880: 65725f64 686f7374 0000001c 69022300 er_dhost....i.#. 23890: 08657468 65725f73 686f7374 0000001c .ether_shost.... 238a0: 69022306 08657468 65725f74 79706500 i.#..ether_type. 238b0: 00001cac 02230c00 12140000 1dbf1569 .....#.........i 238c0: 705f7665 7273696f 6e000000 16840100 p_version....... 238d0: 04022300 1569705f 686c0000 00168401 ..#..ip_hl...... 238e0: 04040223 00086970 5f746f73 00000016 ...#..ip_tos.... 238f0: 84022301 0869705f 6c656e00 00001cac ..#..ip_len..... 23900: 02230208 69705f69 64000000 1cac0223 .#..ip_id......# 23910: 04086970 5f667261 675f6f66 66000000 ..ip_frag_off... 23920: 1cac0223 06086970 5f74746c 00000016 ...#..ip_ttl.... 23930: 84022308 0869705f 70726f74 6f000000 ..#..ip_proto... 23940: 16840223 09086970 5f636865 636b0000 ...#..ip_check.. 23950: 001cac02 230a0869 705f7361 64647200 ....#..ip_saddr. 23960: 0000161d 02230c08 69705f64 61646472 .....#..ip_daddr 23970: 00000016 1d022310 00076164 665f6e65 ......#...adf_ne 23980: 745f766c 616e6864 72000400 001e1108 t_vlanhdr....... 23990: 74706964 0000001c ac022300 15707269 tpid......#..pri 239a0: 6f000000 16840100 03022302 15636669 o.........#..cfi 239b0: 00000016 84010301 02230215 76696400 .........#..vid. 239c0: 00001cac 02040c02 23020007 6164665f ........#...adf_ 239d0: 6e65745f 76696400 0200001e 42157265 net_vid.....B.re 239e0: 73000000 16840100 04022300 1576616c s.........#..val 239f0: 0000001c ac02040c 02230000 120c0000 .........#...... 23a00: 1e7e0872 785f6275 6673697a 65000000 .~.rx_bufsize... 23a10: 161d0223 00087278 5f6e6465 73630000 ...#..rx_ndesc.. 23a20: 00161d02 23040874 785f6e64 65736300 ....#..tx_ndesc. 23a30: 0000161d 02230800 12080000 1ea40870 .....#.........p 23a40: 6f6c6c65 64000000 1a970223 0008706f olled......#..po 23a50: 6c6c5f77 74000000 161d0223 04000f00 ll_wt......#.... 23a60: 00168440 00001eb1 103f0012 4600001e ...@.....?..F... 23a70: d9086966 5f6e616d 65000000 1ea40223 ..if_name......# 23a80: 00086465 765f6164 64720000 001c6902 ..dev_addr....i. 23a90: 23400014 0400001f 100e4144 465f4f53 #@........ADF_OS 23aa0: 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. 23ab0: 000e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS 23ac0: 4b5f3634 42495400 01000961 64665f6f K_64BIT....adf_o 23ad0: 735f646d 615f6d61 736b5f74 0000001e s_dma_mask_t.... 23ae0: d9076164 665f646d 615f696e 666f0008 ..adf_dma_info.. 23af0: 00001f5d 08646d61 5f6d6173 6b000000 ...].dma_mask... 23b00: 1f100223 00087367 5f6e7365 67730000 ...#..sg_nsegs.. 23b10: 00161d02 23040014 0400001f b30e4144 ....#.........AD 23b20: 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE 23b30: 00000e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 23b40: 5f544350 5f554450 5f495076 3400010e _TCP_UDP_IPv4... 23b50: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC 23b60: 505f5544 505f4950 76360002 00096164 P_UDP_IPv6....ad 23b70: 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type 23b80: 5f740000 001f5d12 0800001f f6087478 _t....].......tx 23b90: 5f636b73 756d0000 001fb302 23000872 _cksum......#..r 23ba0: 785f636b 73756d00 00001fb3 02230400 x_cksum......#.. 23bb0: 09616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i 23bc0: 6e666f5f 74000000 1fcd1404 0000204f nfo_t......... O 23bd0: 0e414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON 23be0: 4500000e 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ 23bf0: 49505634 00010e41 44465f4e 45545f54 IPV4...ADF_NET_T 23c00: 534f5f41 4c4c0002 00096164 665f6e65 SO_ALL....adf_ne 23c10: 745f7473 6f5f7479 70655f74 00000020 t_tso_type_t... 23c20: 10121000 0020a308 636b7375 6d5f6361 ..... ..cksum_ca 23c30: 70000000 1ff60223 00087473 6f000000 p......#..tso... 23c40: 204f0223 0808766c 616e5f73 7570706f O.#..vlan_suppo 23c50: 72746564 00000016 8402230c 00122000 rted......#... . 23c60: 00213c08 74785f70 61636b65 74730000 .!<.tx_packets.. 23c70: 00161d02 23000872 785f7061 636b6574 ....#..rx_packet 23c80: 73000000 161d0223 04087478 5f627974 s......#..tx_byt 23c90: 65730000 00161d02 23080872 785f6279 es......#..rx_by 23ca0: 74657300 0000161d 02230c08 74785f64 tes......#..tx_d 23cb0: 726f7070 65640000 00161d02 23100872 ropped......#..r 23cc0: 785f6472 6f707065 64000000 161d0223 x_dropped......# 23cd0: 14087278 5f657272 6f727300 0000161d ..rx_errors..... 23ce0: 02231808 74785f65 72726f72 73000000 .#..tx_errors... 23cf0: 161d0223 1c000961 64665f6e 65745f65 ...#...adf_net_e 23d00: 74686164 64725f74 0000001c 76160000 thaddr_t....v... 23d10: 213c0300 00002161 107f0017 6164665f !<....!a....adf_ 23d20: 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. 23d30: 04000021 98086e65 6c656d00 0000161d ...!..nelem..... 23d40: 02230008 6d636173 74000000 21530223 .#..mcast...!S.# 23d50: 04000961 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l 23d60: 696e6b5f 696e666f 5f740000 001c1009 ink_info_t...... 23d70: 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll 23d80: 5f696e66 6f5f7400 00001e7e 09616466 _info_t....~.adf 23d90: 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i 23da0: 6e666f5f 74000000 1ff60961 64665f6e nfo_t......adf_n 23db0: 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info 23dc0: 5f740000 001e4209 6164665f 6e65745f _t....B.adf_net_ 23dd0: 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. 23de0: 001f2709 6164665f 6e65745f 636d645f ..'.adf_net_cmd_ 23df0: 7669645f 74000000 1cac0961 64665f6e vid_t......adf_n 23e00: 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c 23e10: 61705f74 00000020 67096164 665f6e65 ap_t... g.adf_ne 23e20: 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... 23e30: 20a30961 64665f6e 65745f63 6d645f6d ..adf_net_cmd_m 23e40: 63616464 725f7400 00002161 0d616466 caddr_t...!a.adf 23e50: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 23e60: 61700004 000022da 0e414446 5f4e4554 ap...."..ADF_NET 23e70: 5f4d4341 53545f53 55500000 0e414446 _MCAST_SUP...ADF 23e80: 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU 23e90: 50000100 09616466 5f6e6574 5f636d64 P....adf_net_cmd 23ea0: 5f6d6361 73745f63 61705f74 00000022 _mcast_cap_t..." 23eb0: 92180304 000023ac 086c696e 6b5f696e ......#..link_in 23ec0: 666f0000 00219802 23000870 6f6c6c5f fo...!..#..poll_ 23ed0: 696e666f 00000021 b5022300 08636b73 info...!..#..cks 23ee0: 756d5f69 6e666f00 000021d2 02230008 um_info...!..#.. 23ef0: 72696e67 5f696e66 6f000000 21f00223 ring_info...!..# 23f00: 0008646d 615f696e 666f0000 00220d02 ..dma_info...".. 23f10: 23000876 69640000 00222902 2300086f #..vid...").#..o 23f20: 66666c6f 61645f63 61700000 00224002 ffload_cap..."@. 23f30: 23000873 74617473 00000022 5f022300 #..stats..."_.#. 23f40: 086d6361 73745f69 6e666f00 00002278 .mcast_info..."x 23f50: 02230008 6d636173 745f6361 70000000 .#..mcast_cap... 23f60: 22da0223 00001404 00002403 0e414446 "..#......$..ADF 23f70: 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N 23f80: 4f4e4500 000e4144 465f4e42 55465f52 ONE...ADF_NBUF_R 23f90: 585f434b 53554d5f 48570001 0e414446 X_CKSUM_HW...ADF 23fa0: 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U 23fb0: 4e4e4543 45535341 52590002 00096164 NNECESSARY....ad 23fc0: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 23fd0: 74797065 5f740000 0023ac12 08000024 type_t...#.....$ 23fe0: 43087265 73756c74 00000024 03022300 C.result...$..#. 23ff0: 0876616c 00000016 1d022304 00120800 .val......#..... 24000: 00247308 74797065 00000020 4f022300 .$s.type... O.#. 24010: 086d7373 0000001c ac022304 08686472 .mss......#..hdr 24020: 5f6f6666 00000016 84022306 00075f5f _off......#...__ 24030: 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. 24040: 000024b2 08686561 64000000 143d0223 ..$..head....=.# 24050: 00087461 696c0000 00143d02 23040871 ..tail....=.#..q 24060: 6c656e00 0000161d 02230800 095f5f61 len......#...__a 24070: 64665f6e 6275665f 74000000 143d0300 df_nbuf_t....=.. 24080: 00169304 00030000 161d0400 02010600 ................ 24090: 00131f01 06000016 1d010600 00169301 ................ 240a0: 06000016 93010300 00130004 00095f5f ..............__ 240b0: 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t 240c0: 00000024 73095f5f 6164665f 6e627566 ...$s.__adf_nbuf 240d0: 5f717565 75655f74 00000024 f3030000 _queue_t...$.... 240e0: 250b0400 06000024 b2010600 0024b201 %......$.....$.. 240f0: 14040000 262b0e41 5f535441 5455535f ....&+.A_STATUS_ 24100: 4f4b0000 0e415f53 54415455 535f4641 OK...A_STATUS_FA 24110: 494c4544 00010e41 5f535441 5455535f ILED...A_STATUS_ 24120: 454e4f45 4e540002 0e415f53 54415455 ENOENT...A_STATU 24130: 535f454e 4f4d454d 00030e41 5f535441 S_ENOMEM...A_STA 24140: 5455535f 45494e56 414c0004 0e415f53 TUS_EINVAL...A_S 24150: 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES 24160: 5300050e 415f5354 41545553 5f454e4f S...A_STATUS_ENO 24170: 54535550 5000060e 415f5354 41545553 TSUPP...A_STATUS 24180: 5f454255 53590007 0e415f53 54415455 _EBUSY...A_STATU 24190: 535f4532 42494700 080e415f 53544154 S_E2BIG...A_STAT 241a0: 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL 241b0: 00090e41 5f535441 5455535f 454e5849 ...A_STATUS_ENXI 241c0: 4f000a0e 415f5354 41545553 5f454641 O...A_STATUS_EFA 241d0: 554c5400 0b0e415f 53544154 55535f45 ULT...A_STATUS_E 241e0: 494f000c 0009615f 73746174 75735f74 IO....a_status_t 241f0: 00000025 36060000 262b0106 00000118 ...%6...&+...... 24200: 01020109 6164665f 6e627566 5f740000 ....adf_nbuf_t.. 24210: 0024b214 04000026 900e4144 465f4f53 .$.....&..ADF_OS 24220: 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. 24230: 0e414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM 24240: 5f444556 49434500 01000961 64665f6f _DEVICE....adf_o 24250: 735f646d 615f6469 725f7400 00002659 s_dma_dir_t...&Y 24260: 06000026 2b010201 09616466 5f6f735f ...&+....adf_os_ 24270: 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... 24280: 163a0300 0026ae04 00020102 01060000 .:...&.......... 24290: 26490106 000024b2 01020102 01060000 &I....$......... 242a0: 26490106 000024b2 01060000 26490106 &I....$.....&I.. 242b0: 000024b2 01060000 26490102 01020106 ..$.....&I...... 242c0: 0000161d 01060000 16930102 01020106 ................ 242d0: 00001b4a 01060000 1a970106 00001a97 ...J............ 242e0: 01096164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ 242f0: 74000000 16d20300 00272704 00020102 t........''..... 24300: 01020106 00001693 01096164 665f6e62 ..........adf_nb 24310: 75665f71 75657565 5f740000 00250b03 uf_queue_t...%.. 24320: 0000274f 04000201 03000024 f3040002 ..'O.......$.... 24330: 01020102 01060000 26490106 000024b2 ........&I....$. 24340: 01060000 161d0106 0000161d 01060000 ................ 24350: 1a970106 00001a97 01060000 1fb30106 ................ 24360: 0000161d 01096164 665f6e62 75665f72 ......adf_nbuf_r 24370: 785f636b 73756d5f 74000000 24210300 x_cksum_t...$!.. 24380: 0027ab04 00020102 01096164 665f6e62 .'........adf_nb 24390: 75665f74 736f5f74 00000024 43030000 uf_tso_t...$C... 243a0: 27cf0400 02010201 09616466 5f6e6574 '........adf_net 243b0: 5f68616e 646c655f 74000000 040d0961 _handle_t......a 243c0: 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t 243d0: 0000001d bf030000 28040400 06000026 ........(......& 243e0: 2b010600 00262b01 02010201 075f4849 +....&+......_HI 243f0: 465f434f 4e464947 00040000 28530864 F_CONFIG....(S.d 24400: 756d6d79 00000001 18022300 00020103 ummy......#..... 24410: 00002853 04000201 03000028 5c040007 ..(S.......(\... 24420: 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... 24430: 0028b108 73656e64 5f627566 5f646f6e .(..send_buf_don 24440: 65000000 28550223 00087265 63765f62 e...(U.#..recv_b 24450: 75660000 00285e02 23040863 6f6e7465 uf...(^.#..conte 24460: 78740000 00040d02 23080009 6869665f xt......#...hif_ 24470: 68616e64 6c655f74 00000004 0d094849 handle_t......HI 24480: 465f434f 4e464947 00000028 32030000 F_CONFIG...(2... 24490: 28c30400 06000028 b1010300 0028da04 (......(.....(.. 244a0: 00020103 000028e7 04000948 49465f43 ......(....HIF_C 244b0: 414c4c42 41434b00 00002865 03000028 ALLBACK...(e...( 244c0: f0040002 01030000 29090400 06000001 ........)....... 244d0: 18010300 00291204 00020103 0000291f .....)........). 244e0: 04000600 00011801 03000029 28040002 ...........)(... 244f0: 01030000 29350400 06000001 18010300 ....)5.......... 24500: 00293e04 00020103 0000294b 04000768 .)>.......)K...h 24510: 69665f61 70690038 00002aa4 085f696e if_api.8..*.._in 24520: 69740000 0028e002 2300085f 73687574 it...(..#.._shut 24530: 646f776e 00000028 e9022304 085f7265 down...(..#.._re 24540: 67697374 65725f63 616c6c62 61636b00 gister_callback. 24550: 0000290b 02230808 5f676574 5f746f74 ..)..#.._get_tot 24560: 616c5f63 72656469 745f636f 756e7400 al_credit_count. 24570: 00002918 02230c08 5f737461 72740000 ..)..#.._start.. 24580: 0028e902 2310085f 636f6e66 69675f70 .(..#.._config_p 24590: 69706500 00002921 02231408 5f73656e ipe...)!.#.._sen 245a0: 645f6275 66666572 00000029 2e022318 d_buffer...)..#. 245b0: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu 245c0: 66000000 29370223 1c085f69 735f7069 f...)7.#.._is_pi 245d0: 70655f73 7570706f 72746564 00000029 pe_supported...) 245e0: 44022320 085f6765 745f6d61 785f6d73 D.# ._get_max_ms 245f0: 675f6c65 6e000000 29440223 24085f67 g_len...)D.#$._g 24600: 65745f72 65736572 7665645f 68656164 et_reserved_head 24610: 726f6f6d 00000029 18022328 085f6973 room...)..#(._is 24620: 725f6861 6e646c65 72000000 28e90223 r_handler...(..# 24630: 2c085f67 65745f64 65666175 6c745f70 ,._get_default_p 24640: 69706500 0000294d 02233008 70526573 ipe...)M.#0.pRes 24650: 65727665 64000000 040d0223 34000d64 erved......#4..d 24660: 6d615f65 6e67696e 65000400 002b2d0e ma_engine....+-. 24670: 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. 24680: 0e444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. 24690: 010e444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 246a0: 00020e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 246b0: 3300030e 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T 246c0: 58300004 0e444d41 5f454e47 494e455f X0...DMA_ENGINE_ 246d0: 54583100 050e444d 415f454e 47494e45 TX1...DMA_ENGINE 246e0: 5f4d4158 00060009 646d615f 656e6769 _MAX....dma_engi 246f0: 6e655f74 0000002a a40d646d 615f6966 ne_t...*..dma_if 24700: 74797065 00040000 2b7a0e44 4d415f49 type....+z.DMA_I 24710: 465f474d 41430000 0e444d41 5f49465f F_GMAC...DMA_IF_ 24720: 50434900 010e444d 415f4946 5f504349 PCI...DMA_IF_PCI 24730: 45000200 09646d61 5f696674 7970655f E....dma_iftype_ 24740: 74000000 2b3f0600 0012f201 0300002b t...+?.........+ 24750: 8c040002 01030000 2b990400 02010300 ........+....... 24760: 002ba204 00060000 09240103 00002bab .+.......$....+. 24770: 04000600 0012f201 0300002b b8040006 ...........+.... 24780: 000012f2 01030000 2bc50400 06000014 ........+....... 24790: 3d010300 002bd204 00020103 00002bdf =....+........+. 247a0: 04000764 6d615f6c 69625f61 70690034 ...dma_lib_api.4 247b0: 00002ce6 0874785f 696e6974 0000002b ..,..tx_init...+ 247c0: 92022300 0874785f 73746172 74000000 ..#..tx_start... 247d0: 2b9b0223 04087278 5f696e69 74000000 +..#..rx_init... 247e0: 2b920223 08087278 5f636f6e 66696700 +..#..rx_config. 247f0: 00002ba4 02230c08 72785f73 74617274 ..+..#..rx_start 24800: 0000002b 9b022310 08696e74 725f7374 ...+..#..intr_st 24810: 61747573 0000002b b1022314 08686172 atus...+..#..har 24820: 645f786d 69740000 002bbe02 23180866 d_xmit...+..#..f 24830: 6c757368 5f786d69 74000000 2b9b0223 lush_xmit...+..# 24840: 1c08786d 69745f64 6f6e6500 00002bcb ..xmit_done...+. 24850: 02232008 72656170 5f786d69 74746564 .# .reap_xmitted 24860: 0000002b d8022324 08726561 705f7265 ...+..#$.reap_re 24870: 63760000 002bd802 23280872 65747572 cv...+..#(.retur 24880: 6e5f7265 63760000 002be102 232c0872 n_recv...+..#,.r 24890: 6563765f 706b7400 00002bcb 02233000 ecv_pkt...+..#0. 248a0: 075f5f70 63695f73 6f667463 000c0000 .__pci_softc.... 248b0: 2d040873 77000000 28f00223 0000095f -..sw...(..#..._ 248c0: 5f706369 5f736f66 74635f74 0000002c _pci_softc_t..., 248d0: e6030000 2d040400 02010300 002d1e04 ....-........-.. 248e0: 00060000 12de0103 00002d27 04000d68 ..........-'...h 248f0: 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. 24900: 00002d87 0e484946 5f504349 5f504950 ..-..HIF_PCI_PIP 24910: 455f5458 3000000e 4849465f 5043495f E_TX0...HIF_PCI_ 24920: 50495045 5f545831 00010e48 49465f50 PIPE_TX1...HIF_P 24930: 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. 24940: 00096869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t 24950: 785f7400 00002d34 0600002b 2d010300 x_t...-4...+-... 24960: 002d9e04 000d6869 665f7063 695f7069 .-....hif_pci_pi 24970: 70655f72 78000400 002e240e 4849465f pe_rx.....$.HIF_ 24980: 5043495f 50495045 5f525830 00000e48 PCI_PIPE_RX0...H 24990: 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. 249a0: 010e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 249b0: 58320002 0e484946 5f504349 5f504950 X2...HIF_PCI_PIP 249c0: 455f5258 3300030e 4849465f 5043495f E_RX3...HIF_PCI_ 249d0: 50495045 5f52585f 4d415800 04000968 PIPE_RX_MAX....h 249e0: 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t 249f0: 0000002d ab060000 2b2d0103 00002e3b ...-....+-.....; 24a00: 04000768 69665f70 63695f61 70690024 ...hif_pci_api.$ 24a10: 00002f19 08706369 5f626f6f 745f696e ../..pci_boot_in 24a20: 69740000 00011102 23000870 63695f69 it......#..pci_i 24a30: 6e697400 000028e0 02230408 7063695f nit...(..#..pci_ 24a40: 72657365 74000000 01110223 08087063 reset......#..pc 24a50: 695f656e 61626c65 00000001 1102230c i_enable......#. 24a60: 08706369 5f726561 705f786d 69747465 .pci_reap_xmitte 24a70: 64000000 2d200223 10087063 695f7265 d...- .#..pci_re 24a80: 61705f72 65637600 00002d20 02231408 ap_recv...- .#.. 24a90: 7063695f 6765745f 70697065 0000002d pci_get_pipe...- 24aa0: 2d022318 08706369 5f676574 5f74785f -.#..pci_get_tx_ 24ab0: 656e6700 00002da4 02231c08 7063695f eng...-..#..pci_ 24ac0: 6765745f 72785f65 6e670000 002e4102 get_rx_eng....A. 24ad0: 23200007 676d6163 5f617069 00040000 # ..gmac_api.... 24ae0: 2f400867 6d61635f 626f6f74 5f696e69 /@.gmac_boot_ini 24af0: 74000000 01110223 00000f00 00032506 t......#......%. 24b00: 00002f4d 10050007 5f5f6574 68686472 ../M....__ethhdr 24b10: 000e0000 2f830864 73740000 002f4002 ..../..dst.../@. 24b20: 23000873 72630000 002f4002 23060865 #..src.../@.#..e 24b30: 74797065 00000012 f202230c 00075f5f type......#...__ 24b40: 61746868 64720004 00002fd1 15726573 athhdr..../..res 24b50: 00000012 de010002 02230015 70726f74 .........#..prot 24b60: 6f000000 12de0102 06022300 08726573 o.........#..res 24b70: 5f6c6f00 000012de 02230108 7265735f _lo......#..res_ 24b80: 68690000 0012f202 23020007 5f5f676d hi......#...__gm 24b90: 61635f68 64720014 0000300d 08657468 ac_hdr....0..eth 24ba0: 0000002f 4d022300 08617468 0000002f .../M.#..ath.../ 24bb0: 8302230e 08616c69 676e5f70 61640000 ..#..align_pad.. 24bc0: 0012f202 23120009 5f5f676d 61635f68 ....#...__gmac_h 24bd0: 64725f74 0000002f d1075f5f 676d6163 dr_t.../..__gmac 24be0: 5f736f66 74630024 00003057 08686472 _softc.$..0W.hdr 24bf0: 00000030 0d022300 08677261 6e000000 ...0..#..gran... 24c00: 12f20223 14087377 00000028 f0022318 ...#..sw...(..#. 24c10: 00075f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ 24c20: 63686563 6b000800 00309008 76657273 check....0..vers 24c30: 696f6e00 00000118 02230008 7461626c ion......#..tabl 24c40: 65000000 01180223 04000300 00305704 e......#.....0W. 24c50: 00060000 01180103 00003097 04000300 ..........0..... 24c60: 00041004 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i 24c70: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 24c80: 0001b800 0031e708 68616c5f 6c696e6b .....1..hal_link 24c90: 6167655f 63686563 6b000000 309d0223 age_check...0..# 24ca0: 00087374 6172745f 62737300 000030a4 ..start_bss...0. 24cb0: 02230408 6170705f 73746172 74000000 .#..app_start... 24cc0: 01110223 08086d65 6d000000 04500223 ...#..mem....P.# 24cd0: 0c086d69 73630000 00056f02 23200870 ..misc....o.# .p 24ce0: 72696e74 66000000 01450223 44087561 rintf....E.#D.ua 24cf0: 72740000 00020e02 234c0867 6d616300 rt......#L.gmac. 24d00: 00002f19 02236c08 75736200 00000f9e ../..#l.usb..... 24d10: 02237008 636c6f63 6b000000 0ae70323 .#p.clock......# 24d20: e0010874 696d6572 00000007 87032384 ...timer......#. 24d30: 0208696e 74720000 000c3703 23980208 ..intr....7.#... 24d40: 616c6c6f 6372616d 00000009 3f0323c4 allocram....?.#. 24d50: 0208726f 6d700000 00083103 23d00208 ..romp....1.#... 24d60: 7764745f 74696d65 72000000 0e140323 wdt_timer......# 24d70: e0020865 65700000 000f4203 23fc0208 ...eep....B.#... 24d80: 73747269 6e670000 00069303 238c0308 string......#... 24d90: 7461736b 6c657400 00000a3c 0323a403 tasklet....<.#.. 24da0: 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF 24db0: 49470010 0000325a 08676574 5f636f6d IG....2Z.get_com 24dc0: 6d616e64 5f627566 00000014 4a022300 mand_buf....J.#. 24dd0: 08726563 765f636f 6d6d616e 64000000 .recv_command... 24de0: 14600223 04086765 745f6576 656e745f .`.#..get_event_ 24df0: 62756600 0000144a 02230808 73656e64 buf....J.#..send 24e00: 5f657665 6e745f64 6f6e6500 00001460 _event_done....` 24e10: 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO 24e20: 4e464947 00000031 e7030000 325a0400 NFIG...1....2Z.. 24e30: 02010300 00327604 00077573 62666966 .....2v...usbfif 24e40: 6f5f6170 69000c00 0032cc08 5f696e69 o_api....2.._ini 24e50: 74000000 32780223 00085f65 6e61626c t...2x.#.._enabl 24e60: 655f6576 656e745f 69737200 00000111 e_event_isr..... 24e70: 02230408 70526573 65727665 64000000 .#..pReserved... 24e80: 040d0223 08000f00 00168402 000032d9 ...#..........2. 24e90: 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H 24ea0: 44520008 0000334b 08456e64 706f696e DR....3K.Endpoin 24eb0: 74494400 00001684 02230008 466c6167 tID......#..Flag 24ec0: 73000000 16840223 01085061 796c6f61 s......#..Payloa 24ed0: 644c656e 0000001c ac022302 08436f6e dLen......#..Con 24ee0: 74726f6c 42797465 73000000 32cc0223 trolBytes...2..# 24ef0: 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... 24f00: ac022306 00120200 00336408 4d657373 ..#......3d.Mess 24f10: 61676549 44000000 1cac0223 00001208 ageID......#.... 24f20: 000033c7 084d6573 73616765 49440000 ..3..MessageID.. 24f30: 001cac02 23000843 72656469 74436f75 ....#..CreditCou 24f40: 6e740000 001cac02 23020843 72656469 nt......#..Credi 24f50: 7453697a 65000000 1cac0223 04084d61 tSize......#..Ma 24f60: 78456e64 706f696e 74730000 00168402 xEndpoints...... 24f70: 2306085f 50616431 00000016 84022307 #.._Pad1......#. 24f80: 00120a00 00345e08 4d657373 61676549 .....4^.MessageI 24f90: 44000000 1cac0223 00085365 72766963 D......#..Servic 24fa0: 65494400 00001cac 02230208 436f6e6e eID......#..Conn 24fb0: 65637469 6f6e466c 61677300 00001cac ectionFlags..... 24fc0: 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe 24fd0: 49440000 00168402 23060855 704c696e ID......#..UpLin 24fe0: 6b506970 65494400 00001684 02230708 kPipeID......#.. 24ff0: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 25000: 68000000 16840223 08085f50 61643100 h......#.._Pad1. 25010: 00001684 02230900 120a0000 34e6084d .....#......4..M 25020: 65737361 67654944 0000001c ac022300 essageID......#. 25030: 08536572 76696365 49440000 001cac02 .ServiceID...... 25040: 23020853 74617475 73000000 16840223 #..Status......# 25050: 0408456e 64706f69 6e744944 00000016 ..EndpointID.... 25060: 84022305 084d6178 4d736753 697a6500 ..#..MaxMsgSize. 25070: 00001cac 02230608 53657276 6963654d .....#..ServiceM 25080: 6574614c 656e6774 68000000 16840223 etaLength......# 25090: 08085f50 61643100 00001684 02230900 .._Pad1......#.. 250a0: 12020000 34ff084d 65737361 67654944 ....4..MessageID 250b0: 0000001c ac022300 00120400 00353b08 ......#......5;. 250c0: 4d657373 61676549 44000000 1cac0223 MessageID......# 250d0: 00085069 70654944 00000016 84022302 ..PipeID......#. 250e0: 08437265 64697443 6f756e74 00000016 .CreditCount.... 250f0: 84022303 00120400 00357208 4d657373 ..#......5r.Mess 25100: 61676549 44000000 1cac0223 00085069 ageID......#..Pi 25110: 70654944 00000016 84022302 08537461 peID......#..Sta 25120: 74757300 00001684 02230300 12020000 tus......#...... 25130: 35990852 65636f72 64494400 00001684 5..RecordID..... 25140: 02230008 4c656e67 74680000 00168402 .#..Length...... 25150: 23010012 02000035 c308456e 64706f69 #......5..Endpoi 25160: 6e744944 00000016 84022300 08437265 ntID......#..Cre 25170: 64697473 00000016 84022301 00120400 dits......#..... 25180: 00360408 456e6470 6f696e74 49440000 .6..EndpointID.. 25190: 00168402 23000843 72656469 74730000 ....#..Credits.. 251a0: 00168402 23010854 67744372 65646974 ....#..TgtCredit 251b0: 5365714e 6f000000 1cac0223 02000f00 SeqNo......#.... 251c0: 00168404 00003611 10030012 06000036 ......6........6 251d0: 4d085072 6556616c 69640000 00168402 M.PreValid...... 251e0: 2300084c 6f6f6b41 68656164 00000036 #..LookAhead...6 251f0: 04022301 08506f73 7456616c 69640000 ..#..PostValid.. 25200: 00168402 23050009 706f6f6c 5f68616e ....#...pool_han 25210: 646c655f 74000000 040d0600 00364d01 dle_t........6M. 25220: 03000036 60040002 01030000 366d0400 ...6`.......6m.. 25230: 14040000 36eb0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H 25240: 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO 25250: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD 25260: 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID 25270: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. 25280: 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX 25290: 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M 252a0: 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I 252b0: 44000000 36760201 03000036 fc040006 D...6v.....6.... 252c0: 00002649 01030000 37050400 06000026 ..&I....7......& 252d0: 49010300 00371204 00020103 0000371f I....7........7. 252e0: 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. 252f0: 1c000037 c1085f69 6e697400 00003666 ...7.._init...6f 25300: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... 25310: 366f0223 04085f63 72656174 655f706f 6o.#.._create_po 25320: 6f6c0000 0036fe02 2308085f 616c6c6f ol...6..#.._allo 25330: 635f6275 66000000 370b0223 0c085f61 c_buf...7..#.._a 25340: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. 25350: 00371802 2310085f 66726565 5f627566 .7..#.._free_buf 25360: 00000037 21022314 08705265 73657276 ...7!.#..pReserv 25370: 65640000 00040d02 23180007 5f485443 ed......#..._HTC 25380: 5f534552 56494345 001c0000 38a00870 _SERVICE....8..p 25390: 4e657874 00000038 a0022300 0850726f Next...8..#..Pro 253a0: 63657373 52656376 4d736700 00003955 cessRecvMsg...9U 253b0: 02230408 50726f63 65737353 656e6442 .#..ProcessSendB 253c0: 75666665 72436f6d 706c6574 65000000 ufferComplete... 253d0: 395e0223 08085072 6f636573 73436f6e 9^.#..ProcessCon 253e0: 6e656374 00000039 7202230c 08536572 nect...9r.#..Ser 253f0: 76696365 49440000 0012f202 23100853 viceID......#..S 25400: 65727669 6365466c 61677300 000012f2 erviceFlags..... 25410: 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz 25420: 65000000 12f20223 14085472 61696c65 e......#..Traile 25430: 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. 25440: 0012f202 23160853 65727669 63654374 ....#..ServiceCt 25450: 78000000 040d0223 18000300 0037c104 x......#.....7.. 25460: 00140400 00393e19 454e4450 4f494e54 .....9>.ENDPOINT 25470: 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END 25480: 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN 25490: 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. 254a0: 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END 254b0: 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN 254c0: 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. 254d0: 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END 254e0: 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN 254f0: 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END 25500: 504f494e 545f4944 00000038 a7020103 POINT_ID...8.... 25510: 00003953 04000201 03000039 5c040003 ..9S.......9\... 25520: 00000118 04000600 0012de01 03000039 ...............9 25530: 6c040003 000037c1 0400075f 4854435f l.....7...._HTC_ 25540: 434f4e46 49470014 000039f1 08437265 CONFIG....9..Cre 25550: 64697453 697a6500 00000118 02230008 ditSize......#.. 25560: 43726564 69744e75 6d626572 00000001 CreditNumber.... 25570: 18022304 084f5348 616e646c 65000000 ..#..OSHandle... 25580: 1a480223 08084849 4648616e 646c6500 .H.#..HIFHandle. 25590: 000028b1 02230c08 506f6f6c 48616e64 ..(..#..PoolHand 255a0: 6c650000 00364d02 23100007 5f485443 le...6M.#..._HTC 255b0: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... 255c0: 3a2d0865 6e645f70 6f696e74 00000012 :-.end_point.... 255d0: de022300 08687463 5f666c61 67730000 ..#..htc_flags.. 255e0: 0012de02 23010009 6874635f 68616e64 ....#...htc_hand 255f0: 6c655f74 00000004 0d094854 435f5345 le_t......HTC_SE 25600: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. 25610: 00000111 09485443 5f434f4e 46494700 .....HTC_CONFIG. 25620: 00003980 0300003a 5a040006 00003a2d ..9....:Z.....:- 25630: 01030000 3a710400 02010300 003a7e04 ....:q.......:~. 25640: 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... 25650: 37c10300 003a8704 00020103 00003a9f 7....:........:. 25660: 04000201 0300003a a8040002 01030000 .......:........ 25670: 3ab10400 06000001 18010300 003aba04 :............:.. 25680: 00076874 635f6170 69730034 00003c37 ..htc_apis.4..<7 25690: 085f4854 435f496e 69740000 003a7702 ._HTC_Init...:w. 256a0: 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown 256b0: 0000003a 80022304 085f4854 435f5265 ...:..#.._HTC_Re 256c0: 67697374 65725365 72766963 65000000 gisterService... 256d0: 3aa10223 08085f48 54435f52 65616479 :..#.._HTC_Ready 256e0: 0000003a 8002230c 085f4854 435f5265 ...:..#.._HTC_Re 256f0: 7475726e 42756666 65727300 00003aaa turnBuffers...:. 25700: 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 25710: 75666665 72734c69 73740000 003ab302 uffersList...:.. 25720: 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. 25730: 00003aaa 02231808 5f485443 5f476574 ..:..#.._HTC_Get 25740: 52657365 72766564 48656164 726f6f6d ReservedHeadroom 25750: 0000003a c002231c 085f4854 435f4d73 ...:..#.._HTC_Ms 25760: 67526563 7648616e 646c6572 00000028 gRecvHandler...( 25770: 5e022320 085f4854 435f5365 6e64446f ^.# ._HTC_SendDo 25780: 6e654861 6e646c65 72000000 28550223 neHandler...(U.# 25790: 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv 257a0: 6350726f 63657373 4d736700 00003955 cProcessMsg...9U 257b0: 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control 257c0: 53766350 726f6365 73735365 6e64436f SvcProcessSendCo 257d0: 6d706c65 74650000 00395e02 232c0870 mplete...9^.#,.p 257e0: 52657365 72766564 00000004 0d022330 Reserved......#0 257f0: 0007686f 73745f61 70705f61 7265615f ..host_app_area_ 25800: 73000400 003c6708 776d695f 70726f74 s......tupleNu 259a0: 6d4c0000 001cac02 23000874 75706c65 mL......#..tuple 259b0: 4e756d48 0000001c ac022302 08617674 NumH......#..avt 259c0: 0000003d cb022304 00120100 003e3108 ...=..#......>1. 259d0: 62656163 6f6e5065 6e64696e 67436f75 beaconPendingCou 259e0: 6e740000 00168402 23000007 5f574d49 nt......#..._WMI 259f0: 5f535643 5f434f4e 46494700 1000003e _SVC_CONFIG....> 25a00: 9a084874 6348616e 646c6500 00003a2d ..HtcHandle...:- 25a10: 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 25a20: 00364d02 2304084d 6178436d 64526570 .6M.#..MaxCmdRep 25a30: 6c794576 74730000 00011802 2308084d lyEvts......#..M 25a40: 61784576 656e7445 76747300 00000118 axEventEvts..... 25a50: 02230c00 02010300 003e9a04 0009574d .#.......>....WM 25a60: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... 25a70: 3e9c075f 574d495f 44495350 41544348 >.._WMI_DISPATCH 25a80: 5f454e54 52590008 00003f03 0870436d _ENTRY....?..pCm 25a90: 6448616e 646c6572 0000003e a3022300 dHandler...>..#. 25aa0: 08436d64 49440000 0012f202 23040846 .CmdID......#..F 25ab0: 6c616773 00000012 f2022306 00075f57 lags......#..._W 25ac0: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 25ad0: 45001000 003f6408 704e6578 74000000 E....?d.pNext... 25ae0: 3f640223 00087043 6f6e7465 78740000 ?d.#..pContext.. 25af0: 00040d02 2304084e 756d6265 724f6645 ....#..NumberOfE 25b00: 6e747269 65730000 00011802 23080870 ntries......#..p 25b10: 5461626c 65000000 3f830223 0c000300 Table...?..#.... 25b20: 003f0304 0009574d 495f4449 53504154 .?....WMI_DISPAT 25b30: 43485f45 4e545259 0000003e b8030000 CH_ENTRY...>.... 25b40: 3f6b0400 0300003f 03040009 4854435f ?k.....?....HTC_ 25b50: 4255465f 434f4e54 45585400 000039f1 BUF_CONTEXT...9. 25b60: 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. 25b70: 0000401b 19574d49 5f455654 5f434c41 ..@..WMI_EVT_CLA 25b80: 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI 25b90: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E 25ba0: 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C 25bb0: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. 25bc0: 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M 25bd0: 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL 25be0: 41535300 00003fa6 075f574d 495f4255 ASS...?.._WMI_BU 25bf0: 465f434f 4e544558 54000c00 00407908 F_CONTEXT....@y. 25c00: 48746342 75664374 78000000 3f910223 HtcBufCtx...?..# 25c10: 00084576 656e7443 6c617373 00000040 ..EventClass...@ 25c20: 1b022304 08466c61 67730000 0012f202 ..#..Flags...... 25c30: 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t 25c40: 00000004 0d09574d 495f5356 435f434f ......WMI_SVC_CO 25c50: 4e464947 0000003e 31030000 408b0400 NFIG...>1...@... 25c60: 06000040 79010300 0040a604 0009574d ...@y....@....WM 25c70: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 25c80: 0000003f 03030000 40b30400 02010300 ...?....@....... 25c90: 0040d204 00060000 26490103 000040db .@......&I....@. 25ca0: 04000201 03000040 e8040006 00000118 .......@........ 25cb0: 01030000 40f10400 02010300 0040fe04 ....@........@.. 25cc0: 00060000 12de0103 00004107 0400075f ..........A...._ 25cd0: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. 25ce0: 424f085f 574d495f 496e6974 00000040 BO._WMI_Init...@ 25cf0: ac022300 085f574d 495f5265 67697374 ..#.._WMI_Regist 25d00: 65724469 73706174 63685461 626c6500 erDispatchTable. 25d10: 000040d4 02230408 5f574d49 5f416c6c ..@..#.._WMI_All 25d20: 6f634576 656e7400 000040e1 02230808 ocEvent...@..#.. 25d30: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. 25d40: 0040ea02 230c085f 574d495f 47657450 .@..#.._WMI_GetP 25d50: 656e6469 6e674576 656e7473 436f756e endingEventsCoun 25d60: 74000000 40f70223 10085f57 4d495f53 t...@..#.._WMI_S 25d70: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl 25d80: 65720000 00395e02 2314085f 574d495f er...9^.#.._WMI_ 25d90: 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ 25da0: f7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo 25db0: 776e0000 00410002 231c085f 574d495f wn...A..#.._WMI_ 25dc0: 52656376 4d657373 61676548 616e646c RecvMessageHandl 25dd0: 65720000 00395502 2320085f 574d495f er...9U.# ._WMI_ 25de0: 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. 25df0: 00410d02 23240870 52657365 72766564 .A..#$.pReserved 25e00: 00000004 0d022328 00077a73 446d6144 ......#(..zsDmaD 25e10: 65736300 14000042 d1086374 726c0000 esc....B..ctrl.. 25e20: 00017c02 23000873 74617475 73000000 ..|.#..status... 25e30: 017c0223 0208746f 74616c4c 656e0000 .|.#..totalLen.. 25e40: 00017c02 23040864 61746153 697a6500 ..|.#..dataSize. 25e50: 0000017c 02230608 6c617374 41646472 ...|.#..lastAddr 25e60: 00000042 d1022308 08646174 61416464 ...B..#..dataAdd 25e70: 72000000 01a00223 0c086e65 78744164 r......#..nextAd 25e80: 64720000 0042d102 23100003 0000424f dr...B..#.....BO 25e90: 04000300 00424f04 00077a73 446d6151 .....BO...zsDmaQ 25ea0: 75657565 00080000 43110868 65616400 ueue....C..head. 25eb0: 000042d8 02230008 7465726d 696e6174 ..B..#..terminat 25ec0: 6f720000 0042d802 23040007 7a735478 or...B..#...zsTx 25ed0: 446d6151 75657565 00100000 43750868 DmaQueue....Cu.h 25ee0: 65616400 000042d8 02230008 7465726d ead...B..#..term 25ef0: 696e6174 6f720000 0042d802 23040878 inator...B..#..x 25f00: 6d697465 645f6275 665f6865 61640000 mited_buf_head.. 25f10: 00143d02 23080878 6d697465 645f6275 ..=.#..xmited_bu 25f20: 665f7461 696c0000 00143d02 230c0002 f_tail....=.#... 25f30: 01030000 43750400 03000042 df040002 ....Cu.....B.... 25f40: 01030000 43850400 03000043 11040002 ....C......C.... 25f50: 01030000 43950400 02010300 00439e04 ....C........C.. 25f60: 00020103 000043a7 04000600 00143d01 ......C.......=. 25f70: 03000043 b0040002 01030000 43bd0400 ...C........C... 25f80: 06000014 3d010300 0043c604 00020103 ....=....C...... 25f90: 000043d3 04000600 00011801 03000043 ..C............C 25fa0: dc040006 000042d8 01030000 43e90400 ......B.....C... 25fb0: 02010300 0043f604 0007646d 615f656e .....C....dma_en 25fc0: 67696e65 5f617069 00400000 456c085f gine_api.@..El._ 25fd0: 696e6974 00000043 77022300 085f696e init...Cw.#.._in 25fe0: 69745f72 785f7175 65756500 00004387 it_rx_queue...C. 25ff0: 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que 26000: 75650000 00439702 2308085f 636f6e66 ue...C..#.._conf 26010: 69675f72 785f7175 65756500 000043a0 ig_rx_queue...C. 26020: 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... 26030: 43a90223 10085f66 6c757368 5f786d69 C..#.._flush_xmi 26040: 74000000 43870223 14085f72 6561705f t...C..#.._reap_ 26050: 72656376 5f627566 00000043 b6022318 recv_buf...C..#. 26060: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu 26070: 66000000 43bf0223 1c085f72 6561705f f...C..#.._reap_ 26080: 786d6974 65645f62 75660000 0043cc02 xmited_buf...C.. 26090: 2320085f 73776170 5f646174 61000000 # ._swap_data... 260a0: 43d50223 24085f68 61735f63 6f6d706c C..#$._has_compl 260b0: 5f706163 6b657473 00000043 e2022328 _packets...C..#( 260c0: 085f6465 73635f64 756d7000 00004387 ._desc_dump...C. 260d0: 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. 260e0: 000043ef 02233008 5f726563 6c61696d ..C..#0._reclaim 260f0: 5f706163 6b657400 000043f8 02233408 _packet...C..#4. 26100: 5f707574 5f706163 6b657400 000043f8 _put_packet...C. 26110: 02233808 70526573 65727665 64000000 .#8.pReserved... 26120: 040d0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ 26130: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 26140: 655f7400 000030ab 09574d49 5f535643 e_t...0..WMI_SVC 26150: 5f415049 53000000 4114175f 415f6d61 _APIS...A.._A_ma 26160: 67706965 5f696e64 69726563 74696f6e gpie_indirection 26170: 5f746162 6c650003 4c000046 9a08636d _table..L..F..cm 26180: 6e6f7300 0000456c 02230008 64626700 nos...El.#..dbg. 26190: 000003da 0323b803 08686966 00000029 .....#...hif...) 261a0: 540323c0 03086874 63000000 3ac70323 T.#...htc...:..# 261b0: f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. 261c0: 00458e03 23ac0408 75736266 69666f5f .E..#...usbfifo_ 261d0: 61706900 0000327f 0323d804 08627566 api...2..#...buf 261e0: 5f706f6f 6c000000 37280323 e4040876 _pool...7(.#...v 261f0: 62756600 00001467 03238005 08766465 buf....g.#...vde 26200: 73630000 00134903 23940508 616c6c6f sc....I.#...allo 26210: 6372616d 00000009 3f0323a8 0508646d cram....?.#...dm 26220: 615f656e 67696e65 00000043 ff0323b4 a_engine...C..#. 26230: 0508646d 615f6c69 62000000 2be80323 ..dma_lib...+..# 26240: f4050868 69665f70 63690000 002e4803 ...hif_pci....H. 26250: 23a80600 095f415f 6d616770 69655f69 #...._A_magpie_i 26260: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 26270: 5f740000 0045a002 01030000 46bd0400 _t...E......F... 26280: 1a5f7075 74630000 0046bf05 03005007 ._putc...F....P. 26290: 0001046c 6f6e6720 696e7400 05040600 ...long int..... 262a0: 00011801 0f000001 1f500000 46f7104f .........P..F..O 262b0: 00095f5f 676e7563 5f76615f 6c697374 ..__gnuc_va_list 262c0: 00000015 45060000 0118010f 0000011f ....E........... 262d0: 20000047 1e101f00 03000046 d804000f ..G.......F.... 262e0: 0000011f 0b000047 32100a00 03000047 .......G2......G 262f0: 2504000f 0000011f 11000047 46101000 %..........GF... 26300: 03000047 39040003 0000037b 04000f00 ...G9......{.... 26310: 00011f07 00004761 10060003 00004754 ......Ga......GT 26320: 04000300 0046f704 00030000 01450400 .....F.......E.. 26330: 02011b01 3b636d6e 6f735f77 72697465 ....;cmnos_write 26340: 5f636861 72000101 03920120 02900000 _char...... .... 26350: 8e24b800 8e24e200 0047ad1c 013b6300 .$...$...G...;c. 26360: 0000011f 0152001d 01485f63 76740000 .....R...H_cvt.. 26370: 00011801 049201f0 00029000 008e24e4 ..............$. 26380: 008e2538 0000482a 1c014876 616c0000 ..%8..H*..Hval.. 26390: 0001a001 521c0148 62756600 0000037b ....R..Hbuf....{ 263a0: 01531c01 48726164 69780000 0046d801 .S..Hradix...F.. 263b0: 541c0148 64696769 74730000 00037b01 T..Hdigits....{. 263c0: 551e6370 00000003 7b1f7465 6d700000 U.cp....{.temp.. 263d0: 0046ea03 91907f1e 6c656e67 74680000 .F......length.. 263e0: 00011800 1d016063 6d6e6f73 5f767072 ......`cmnos_vpr 263f0: 696e7466 00000001 18010492 01f00002 intf............ 26400: 9000008e 2538008e 297d0000 49b31c01 ....%8..)}..I... 26410: 60707574 63000000 46bf0152 1c016066 `putc...F..R..`f 26420: 6d740000 00013101 531c0160 61700000 mt....1.S..`ap.. 26430: 0046f701 541e6370 00000003 7b1f6275 .F..T.cp....{.bu 26440: 66000000 47110391 907f1e76 616c0000 f...G......val.. 26450: 0046d81e 72657300 00000118 1e6c656e .F..res......len 26460: 67746800 00000118 1e630000 00011f1e gth......c...... 26470: 69736c6f 6e676c6f 6e670000 0001181e islonglong...... 26480: 69736c6f 6e670000 0001181e 7061645f islong......pad_ 26490: 6f6e5f72 69676874 00000001 181e7269 on_right......ri 264a0: 6768745f 70726563 00000001 181e6c65 ght_prec......le 264b0: 66745f70 72656300 00000118 1e7a6572 ft_prec......zer 264c0: 6f5f6669 6c6c0000 0001181e 7369676e o_fill......sign 264d0: 00000001 1f1e6f72 69675f6e 64780000 ......orig_ndx.. 264e0: 0001181e 5f5f6172 72617900 0000037b ....__array....{ 264f0: 1e6f7269 675f6e64 78000000 01181e5f .orig_ndx......_ 26500: 5f617272 61790000 00037b1e 6f726967 _array....{.orig 26510: 5f6e6478 00000001 181e5f5f 61727261 _ndx......__arra 26520: 79000000 037b1e6f 7269675f 6e647800 y....{.orig_ndx. 26530: 00000118 1e5f5f61 72726179 00000003 .....__array.... 26540: 7b1e6f72 69675f6e 64780000 0001181e {.orig_ndx...... 26550: 5f5f6172 72617900 0000037b 1e690000 __array....{.i.. 26560: 0001181e 70616400 00000118 00200101 ....pad...... .. 26570: 24636d6e 6f735f70 72696e74 66000000 $cmnos_printf... 26580: 01180101 049201d0 00029000 008e2980 ..............). 26590: 008e29b3 000049fe 21010124 666d7400 ..)...I.!..$fmt. 265a0: 00000131 01521e61 70000000 46f71e72 ...1.R.ap...F..r 265b0: 65740000 00011800 22010138 636d6e6f et......"..8cmno 265c0: 735f7072 696e7466 5f696e69 74000101 s_printf_init... 265d0: 03920120 02900000 8e29b400 8e29b923 ... .....)...).# 265e0: 01013c63 6d6e6f73 5f707269 6e74665f .....pri 26770: 6e74665f 61706900 08000001 82085f70 ntf_api......._p 26780: 72696e74 665f696e 69740000 00011702 rintf_init...... 26790: 2300085f 7072696e 74660000 00014402 #.._printf....D. 267a0: 23040004 73686f72 7420756e 7369676e #...short unsign 267b0: 65642069 6e740007 02097569 6e743136 ed int....uint16 267c0: 5f740000 00018204 6c6f6e67 20756e73 _t......long uns 267d0: 69676e65 6420696e 74000704 0975696e igned int....uin 267e0: 7433325f 74000000 01a60775 6172745f t32_t......uart_ 267f0: 6669666f 00080000 02140873 74617274 fifo.......start 26800: 5f696e64 65780000 00019802 23000865 _index......#..e 26810: 6e645f69 6e646578 00000001 98022302 nd_index......#. 26820: 086f7665 7272756e 5f657272 00000001 .overrun_err.... 26830: bb022304 00077561 72745f61 70690020 ..#...uart_api. 26840: 000002cd 085f7561 72745f69 6e697400 ....._uart_init. 26850: 00000324 02230008 5f756172 745f6368 ...$.#.._uart_ch 26860: 61725f70 75740000 00034b02 2304085f ar_put....K.#.._ 26870: 75617274 5f636861 725f6765 74000000 uart_char_get... 26880: 035f0223 08085f75 6172745f 7374725f ._.#.._uart_str_ 26890: 6f757400 00000368 02230c08 5f756172 out....h.#.._uar 268a0: 745f7461 736b0000 00011702 2310085f t_task......#.._ 268b0: 75617274 5f737461 74757300 00000324 uart_status....$ 268c0: 02231408 5f756172 745f636f 6e666967 .#.._uart_config 268d0: 00000003 71022318 085f7561 72745f68 ....q.#.._uart_h 268e0: 77696e69 74000000 037a0223 1c000300 winit....z.#.... 268f0: 00021404 00077561 72745f62 6c6b0010 ......uart_blk.. 26900: 0000031e 08646562 75675f6d 6f646500 .....debug_mode. 26910: 00000198 02230008 62617564 00000001 .....#..baud.... 26920: 98022302 085f7561 72740000 0002cd02 ..#.._uart...... 26930: 2304085f 74780000 0001c902 23080006 #.._tx......#... 26940: 000001bb 01030000 031e0400 04756e73 .............uns 26950: 69676e65 64206368 61720007 01097569 igned char....ui 26960: 6e74385f 74000000 032b0201 03000003 nt8_t....+...... 26970: 49040003 0000033c 04000600 00019801 I......<........ 26980: 03000003 59040002 01030000 03660400 ....Y........f.. 26990: 02010300 00036f04 00020103 00000378 ......o........x 269a0: 04000300 00012504 00060000 011e0103 ......%......... 269b0: 00000388 04000744 425f434f 4d4d414e .......DB_COMMAN 269c0: 445f5354 52554354 000c0000 03e00863 D_STRUCT.......c 269d0: 6d645f73 74720000 00038102 23000868 md_str......#..h 269e0: 656c705f 73747200 00000381 02230408 elp_str......#.. 269f0: 636d645f 66756e63 00000003 8e022308 cmd_func......#. 26a00: 00076462 675f6170 69000800 00041308 ..dbg_api....... 26a10: 5f646267 5f696e69 74000000 01170223 _dbg_init......# 26a20: 00085f64 62675f74 61736b00 00000117 .._dbg_task..... 26a30: 02230400 0a040004 756e7369 676e6564 .#......unsigned 26a40: 20696e74 00070406 00000413 01030000 int............ 26a50: 04260400 0b0b0300 00043404 00060000 .&........4..... 26a60: 04130103 0000043c 04000600 00011e01 .......<........ 26a70: 03000004 49040007 6d656d5f 61706900 ....I...mem_api. 26a80: 14000004 b8085f6d 656d5f69 6e697400 ......_mem_init. 26a90: 00000117 02230008 5f6d656d 73657400 .....#.._memset. 26aa0: 0000042c 02230408 5f6d656d 63707900 ...,.#.._memcpy. 26ab0: 00000442 02230808 5f6d656d 6d6f7665 ...B.#.._memmove 26ac0: 00000004 4202230c 085f6d65 6d636d70 ....B.#.._memcmp 26ad0: 00000004 4f022310 000c7265 67697374 ....O.#...regist 26ae0: 65725f64 756d705f 73000001 03000004 er_dump_s....... 26af0: b8040002 01030000 04d20400 02010300 ................ 26b00: 0004db04 00060000 011e0103 000004e4 ................ 26b10: 04000d68 6f737469 665f7300 04000005 ...hostif_s..... 26b20: 400e4849 465f5553 4200000e 4849465f @.HIF_USB...HIF_ 26b30: 50434945 00010e48 49465f47 4d414300 PCIE...HIF_GMAC. 26b40: 020e4849 465f5043 4900030e 4849465f ..HIF_PCI...HIF_ 26b50: 4e554d00 040e4849 465f4e4f 4e450005 NUM...HIF_NONE.. 26b60: 0009415f 484f5354 49460000 0004f106 ..A_HOSTIF...... 26b70: 00000540 01030000 054e0400 06000003 ...@.....N...... 26b80: 3c010300 00055b04 00060000 01980103 <.....[......... 26b90: 00000568 0400076d 6973635f 61706900 ...h...misc_api. 26ba0: 24000006 58085f73 79737465 6d5f7265 $...X._system_re 26bb0: 73657400 00000117 02230008 5f6d6163 set......#.._mac 26bc0: 5f726573 65740000 00011702 2304085f _reset......#.._ 26bd0: 61737366 61696c00 000004d4 02230808 assfail......#.. 26be0: 5f6d6973 616c6967 6e65645f 6c6f6164 _misaligned_load 26bf0: 5f68616e 646c6572 00000004 d402230c _handler......#. 26c00: 085f7265 706f7274 5f666169 6c757265 ._report_failure 26c10: 5f746f5f 686f7374 00000004 dd022310 _to_host......#. 26c20: 085f7461 72676574 5f69645f 67657400 ._target_id_get. 26c30: 000004ea 02231408 5f69735f 686f7374 .....#.._is_host 26c40: 5f707265 73656e74 00000005 54022318 _present....T.#. 26c50: 085f6b62 68697400 00000561 02231c08 ._kbhit....a.#.. 26c60: 5f726f6d 5f766572 73696f6e 5f676574 _rom_version_get 26c70: 00000005 6e022320 00060000 03810103 ....n.# ........ 26c80: 00000658 04000600 00038101 03000006 ...X............ 26c90: 65040006 0000011e 01030000 06720400 e............r.. 26ca0: 06000001 1e010300 00067f04 00060000 ................ 26cb0: 011e0103 0000068c 04000773 7472696e ...........strin 26cc0: 675f6170 69001800 00071208 5f737472 g_api......._str 26cd0: 696e675f 696e6974 00000001 17022300 ing_init......#. 26ce0: 085f7374 72637079 00000006 5e022304 ._strcpy....^.#. 26cf0: 085f7374 726e6370 79000000 066b0223 ._strncpy....k.# 26d00: 08085f73 74726c65 6e000000 06780223 .._strlen....x.# 26d10: 0c085f73 7472636d 70000000 06850223 .._strcmp......# 26d20: 10085f73 74726e63 6d700000 00069202 .._strncmp...... 26d30: 2314000f 00000416 14000007 1f100400 #............... 26d40: 095f415f 54494d45 525f5350 41434500 ._A_TIMER_SPACE. 26d50: 00000712 09415f74 696d6572 5f740000 .....A_timer_t.. 26d60: 00071f03 00000733 04000201 03000007 .......3........ 26d70: 49040002 01030000 07520400 09415f48 I........R...A_H 26d80: 414e444c 45000000 04160201 09415f54 ANDLE........A_T 26d90: 494d4552 5f46554e 43000000 07690300 IMER_FUNC....i.. 26da0: 00076b04 00020103 00000784 04000774 ..k............t 26db0: 696d6572 5f617069 00140000 0803085f imer_api......._ 26dc0: 74696d65 725f696e 69740000 00011702 timer_init...... 26dd0: 2300085f 74696d65 725f6172 6d000000 #.._timer_arm... 26de0: 074b0223 04085f74 696d6572 5f646973 .K.#.._timer_dis 26df0: 61726d00 00000754 02230808 5f74696d arm....T.#.._tim 26e00: 65725f73 6574666e 00000007 8602230c er_setfn......#. 26e10: 085f7469 6d65725f 72756e00 00000117 ._timer_run..... 26e20: 02231000 09424f4f 4c45414e 00000001 .#...BOOLEAN.... 26e30: 98060000 08030103 00000810 04000600 ................ 26e40: 00080301 03000008 1d040006 00000803 ................ 26e50: 01030000 082a0400 07726f6d 705f6170 .....*...romp_ap 26e60: 69001000 00089c08 5f726f6d 705f696e i......._romp_in 26e70: 69740000 00011702 2300085f 726f6d70 it......#.._romp 26e80: 5f646f77 6e6c6f61 64000000 08160223 _download......# 26e90: 04085f72 6f6d705f 696e7374 616c6c00 .._romp_install. 26ea0: 00000823 02230808 5f726f6d 705f6465 ...#.#.._romp_de 26eb0: 636f6465 00000008 3002230c 0007726f code....0.#...ro 26ec0: 6d5f7061 7463685f 73740010 000008f8 m_patch_st...... 26ed0: 08637263 31360000 00019802 2300086c .crc16......#..l 26ee0: 656e0000 00019802 2302086c 645f6164 en......#..ld_ad 26ef0: 64720000 0001bb02 23040866 756e5f61 dr......#..fun_a 26f00: 64647200 000001bb 02230808 7066756e ddr......#..pfun 26f10: 00000003 5202230c 00076565 705f7265 ....R.#...eep_re 26f20: 6469725f 61646472 00040000 092a086f dir_addr.....*.o 26f30: 66667365 74000000 01980223 00087369 ffset......#..si 26f40: 7a650000 00019802 23020009 415f5549 ze......#...A_UI 26f50: 4e543332 00000004 16060000 04130103 NT32............ 26f60: 00000938 04000761 6c6c6f63 72616d5f ...8...allocram_ 26f70: 61706900 0c000009 a908636d 6e6f735f api.......cmnos_ 26f80: 616c6c6f 6372616d 5f696e69 74000000 allocram_init... 26f90: 093e0223 0008636d 6e6f735f 616c6c6f .>.#..cmnos_allo 26fa0: 6372616d 00000009 3e022304 08636d6e cram....>.#..cmn 26fb0: 6f735f61 6c6c6f63 72616d5f 64656275 os_allocram_debu 26fc0: 67000000 01170223 08000201 03000009 g......#........ 26fd0: a9040009 415f5441 534b4c45 545f4655 ....A_TASKLET_FU 26fe0: 4e430000 0009ab07 5f746173 6b6c6574 NC......_tasklet 26ff0: 00100000 0a0a0866 756e6300 000009b2 .......func..... 27000: 02230008 61726700 00000413 02230408 .#..arg......#.. 27010: 73746174 65000000 011e0223 08086e65 state......#..ne 27020: 78740000 000a0a02 230c0003 000009c6 xt......#....... 27030: 04000300 0009c604 0009415f 7461736b ..........A_task 27040: 6c65745f 74000000 09c60300 000a1804 let_t........... 27050: 00020103 00000a30 04000201 0300000a .......0........ 27060: 39040007 7461736b 6c65745f 61706900 9...tasklet_api. 27070: 1400000a ce085f74 61736b6c 65745f69 ......_tasklet_i 27080: 6e697400 00000117 02230008 5f746173 nit......#.._tas 27090: 6b6c6574 5f696e69 745f7461 736b0000 klet_init_task.. 270a0: 000a3202 2304085f 7461736b 6c65745f ..2.#.._tasklet_ 270b0: 64697361 626c6500 00000a3b 02230808 disable....;.#.. 270c0: 5f746173 6b6c6574 5f736368 6564756c _tasklet_schedul 270d0: 65000000 0a3b0223 0c085f74 61736b6c e....;.#.._taskl 270e0: 65745f72 756e0000 00011702 23100002 et_run......#... 270f0: 01030000 0ace0400 06000009 2a010300 ............*... 27100: 000ad704 00020103 00000ae4 04000763 ...............c 27110: 6c6f636b 5f617069 00240000 0bc6085f lock_api.$....._ 27120: 636c6f63 6b5f696e 69740000 000ad002 clock_init...... 27130: 2300085f 636c6f63 6b726567 735f696e #.._clockregs_in 27140: 69740000 00011702 2304085f 75617274 it......#.._uart 27150: 5f667265 7175656e 63790000 000add02 _frequency...... 27160: 2308085f 64656c61 795f7573 0000000a #.._delay_us.... 27170: e602230c 085f776c 616e5f62 616e645f ..#.._wlan_band_ 27180: 73657400 00000ae6 02231008 5f726566 set......#.._ref 27190: 636c6b5f 73706565 645f6765 74000000 clk_speed_get... 271a0: 0add0223 14085f6d 696c6c69 7365636f ...#.._milliseco 271b0: 6e647300 00000add 02231808 5f737973 nds......#.._sys 271c0: 636c6b5f 6368616e 67650000 00011702 clk_change...... 271d0: 231c085f 636c6f63 6b5f7469 636b0000 #.._clock_tick.. 271e0: 00011702 23200006 000001bb 01030000 ....# .......... 271f0: 0bc60400 09415f6f 6c645f69 6e74725f .....A_old_intr_ 27200: 74000000 01bb0600 000bd301 0300000b t............... 27210: e5040002 01030000 0bf20400 02010300 ................ 27220: 000bfb04 00060000 01bb0103 00000c04 ................ 27230: 04000941 5f697372 5f740000 000c0a02 ...A_isr_t...... 27240: 01030000 0c1e0400 06000004 16010300 ................ 27250: 000c2704 00020103 00000c34 04000769 ..'........4...i 27260: 6e74725f 61706900 2c00000d 56085f69 ntr_api.,...V._i 27270: 6e74725f 696e6974 00000001 17022300 ntr_init......#. 27280: 085f696e 74725f69 6e766f6b 655f6973 ._intr_invoke_is 27290: 72000000 0bcc0223 04085f69 6e74725f r......#.._intr_ 272a0: 64697361 626c6500 00000beb 02230808 disable......#.. 272b0: 5f696e74 725f7265 73746f72 65000000 _intr_restore... 272c0: 0bf40223 0c085f69 6e74725f 6d61736b ...#.._intr_mask 272d0: 5f696e75 6d000000 0bfd0223 10085f69 _inum......#.._i 272e0: 6e74725f 756e6d61 736b5f69 6e756d00 ntr_unmask_inum. 272f0: 00000bfd 02231408 5f696e74 725f6174 .....#.._intr_at 27300: 74616368 5f697372 0000000c 20022318 tach_isr.... .#. 27310: 085f6765 745f696e 7472656e 61626c65 ._get_intrenable 27320: 0000000c 2d02231c 085f7365 745f696e ....-.#.._set_in 27330: 7472656e 61626c65 0000000c 36022320 trenable....6.# 27340: 085f6765 745f696e 74727065 6e64696e ._get_intrpendin 27350: 67000000 0c2d0223 24085f75 6e626c6f g....-.#$._unblo 27360: 636b5f61 6c6c5f69 6e74726c 766c0000 ck_all_intrlvl.. 27370: 00011702 23280011 0400000d 7c087469 ....#(......|.ti 27380: 6d656f75 74000000 01bb0223 00086163 meout......#..ac 27390: 74696f6e 00000001 bb022300 00120800 tion......#..... 273a0: 000d9708 636d6400 000001bb 02230013 ....cmd......#.. 273b0: 00000d56 02230400 09545f57 44545f43 ...V.#...T_WDT_C 273c0: 4d440000 000d7c02 01030000 0da60400 MD....|......... 273d0: 14040000 0dfc0e45 4e554d5f 5744545f .......ENUM_WDT_ 273e0: 424f4f54 00010e45 4e554d5f 434f4c44 BOOT...ENUM_COLD 273f0: 5f424f4f 5400020e 454e554d 5f535553 _BOOT...ENUM_SUS 27400: 505f424f 4f540003 0e454e55 4d5f554e P_BOOT...ENUM_UN 27410: 4b4e4f57 4e5f424f 4f540004 0009545f KNOWN_BOOT....T_ 27420: 424f4f54 5f545950 45000000 0daf0600 BOOT_TYPE....... 27430: 000dfc01 0300000e 0d040007 7764745f ............wdt_ 27440: 61706900 1c00000e b1085f77 64745f69 api......._wdt_i 27450: 6e697400 00000117 02230008 5f776474 nit......#.._wdt 27460: 5f656e61 626c6500 00000117 02230408 _enable......#.. 27470: 5f776474 5f646973 61626c65 00000001 _wdt_disable.... 27480: 17022308 085f7764 745f7365 74000000 ..#.._wdt_set... 27490: 0da80223 0c085f77 64745f74 61736b00 ...#.._wdt_task. 274a0: 00000117 02231008 5f776474 5f726573 .....#.._wdt_res 274b0: 65740000 00011702 2314085f 7764745f et......#.._wdt_ 274c0: 6c617374 5f626f6f 74000000 0e130223 last_boot......# 274d0: 18001404 00000f18 0e524554 5f535543 .........RET_SUC 274e0: 43455353 00000e52 45545f4e 4f545f49 CESS...RET_NOT_I 274f0: 4e495400 010e5245 545f4e4f 545f4558 NIT...RET_NOT_EX 27500: 49535400 020e5245 545f4545 505f434f IST...RET_EEP_CO 27510: 52525550 5400030e 5245545f 4545505f RRUPT...RET_EEP_ 27520: 4f564552 464c4f57 00040e52 45545f55 OVERFLOW...RET_U 27530: 4e4b4e4f 574e0005 0009545f 4545505f NKNOWN....T_EEP_ 27540: 52455400 00000eb1 03000001 98040006 RET............. 27550: 00000f18 01030000 0f2e0400 0600000f ................ 27560: 18010300 000f3b04 00076565 705f6170 ......;...eep_ap 27570: 69001000 000fa408 5f656570 5f696e69 i......._eep_ini 27580: 74000000 01170223 00085f65 65705f72 t......#.._eep_r 27590: 65616400 00000f34 02230408 5f656570 ead....4.#.._eep 275a0: 5f777269 74650000 000f3402 2308085f _write....4.#.._ 275b0: 6565705f 69735f65 78697374 0000000f eep_is_exist.... 275c0: 4102230c 00077573 625f6170 69007000 A.#...usb_api.p. 275d0: 00125108 5f757362 5f696e69 74000000 ..Q._usb_init... 275e0: 01170223 00085f75 73625f72 6f6d5f74 ...#.._usb_rom_t 275f0: 61736b00 00000117 02230408 5f757362 ask......#.._usb 27600: 5f66775f 7461736b 00000001 17022308 _fw_task......#. 27610: 085f7573 625f696e 69745f70 68790000 ._usb_init_phy.. 27620: 00011702 230c085f 7573625f 6570305f ....#.._usb_ep0_ 27630: 73657475 70000000 01170223 10085f75 setup......#.._u 27640: 73625f65 70305f74 78000000 01170223 sb_ep0_tx......# 27650: 14085f75 73625f65 70305f72 78000000 .._usb_ep0_rx... 27660: 01170223 18085f75 73625f67 65745f69 ...#.._usb_get_i 27670: 6e746572 66616365 00000008 2302231c nterface....#.#. 27680: 085f7573 625f7365 745f696e 74657266 ._usb_set_interf 27690: 61636500 00000823 02232008 5f757362 ace....#.# ._usb 276a0: 5f676574 5f636f6e 66696775 72617469 _get_configurati 276b0: 6f6e0000 00082302 2324085f 7573625f on....#.#$._usb_ 276c0: 7365745f 636f6e66 69677572 6174696f set_configuratio 276d0: 6e000000 08230223 28085f75 73625f73 n....#.#(._usb_s 276e0: 74616e64 6172645f 636d6400 00000823 tandard_cmd....# 276f0: 02232c08 5f757362 5f76656e 646f725f .#,._usb_vendor_ 27700: 636d6400 00000117 02233008 5f757362 cmd......#0._usb 27710: 5f706f77 65725f6f 66660000 00011702 _power_off...... 27720: 2334085f 7573625f 72657365 745f6669 #4._usb_reset_fi 27730: 666f0000 00011702 2338085f 7573625f fo......#8._usb_ 27740: 67656e5f 77647400 00000117 02233c08 gen_wdt......#<. 27750: 5f757362 5f6a756d 705f626f 6f740000 _usb_jump_boot.. 27760: 00011702 2340085f 7573625f 636c725f ....#@._usb_clr_ 27770: 66656174 75726500 00000823 02234408 feature....#.#D. 27780: 5f757362 5f736574 5f666561 74757265 _usb_set_feature 27790: 00000008 23022348 085f7573 625f7365 ....#.#H._usb_se 277a0: 745f6164 64726573 73000000 08230223 t_address....#.# 277b0: 4c085f75 73625f67 65745f64 65736372 L._usb_get_descr 277c0: 6970746f 72000000 08230223 50085f75 iptor....#.#P._u 277d0: 73625f67 65745f73 74617475 73000000 sb_get_status... 277e0: 08230223 54085f75 73625f73 65747570 .#.#T._usb_setup 277f0: 5f646573 63000000 01170223 58085f75 _desc......#X._u 27800: 73625f72 65675f6f 75740000 00011702 sb_reg_out...... 27810: 235c085f 7573625f 73746174 75735f69 #\._usb_status_i 27820: 6e000000 01170223 60085f75 73625f65 n......#`._usb_e 27830: 70305f74 785f6461 74610000 00011702 p0_tx_data...... 27840: 2364085f 7573625f 6570305f 72785f64 #d._usb_ep0_rx_d 27850: 61746100 00000117 02236808 5f757362 ata......#h._usb 27860: 5f636c6b 5f696e69 74000000 01170223 _clk_init......# 27870: 6c00075f 56444553 43002400 0012dd08 l.._VDESC.$..... 27880: 6e657874 5f646573 63000000 12dd0223 next_desc......# 27890: 00086275 665f6164 64720000 0012f102 ..buf_addr...... 278a0: 23040862 75665f73 697a6500 000012f8 #..buf_size..... 278b0: 02230808 64617461 5f6f6666 73657400 .#..data_offset. 278c0: 000012f8 02230a08 64617461 5f73697a .....#..data_siz 278d0: 65000000 12f80223 0c08636f 6e74726f e......#..contro 278e0: 6c000000 12f80223 0e086877 5f646573 l......#..hw_des 278f0: 635f6275 66000000 13060223 10000300 c_buf......#.... 27900: 00125104 0009415f 55494e54 38000000 ..Q...A_UINT8... 27910: 032b0300 0012e404 0009415f 55494e54 .+........A_UINT 27920: 31360000 0001820f 000012e4 14000013 16.............. 27930: 13101300 03000012 51040009 56444553 ........Q...VDES 27940: 43000000 12510300 00131a04 00060000 C....Q.......... 27950: 13250103 0000132c 04000600 0012f101 .%.....,........ 27960: 03000013 39040002 01030000 13460400 ....9........F.. 27970: 07766465 73635f61 70690014 000013be .vdesc_api...... 27980: 085f696e 69740000 000ae602 2300085f ._init......#.._ 27990: 616c6c6f 635f7664 65736300 00001332 alloc_vdesc....2 279a0: 02230408 5f676574 5f68775f 64657363 .#.._get_hw_desc 279b0: 00000013 3f022308 085f7377 61705f76 ....?.#.._swap_v 279c0: 64657363 00000013 4802230c 08705265 desc....H.#..pRe 279d0: 73657276 65640000 00041302 23100007 served......#... 279e0: 5f564255 46002000 00141e08 64657363 _VBUF. .....desc 279f0: 5f6c6973 74000000 13250223 00086e65 _list....%.#..ne 27a00: 78745f62 75660000 00141e02 23040862 xt_buf......#..b 27a10: 75665f6c 656e6774 68000000 12f80223 uf_length......# 27a20: 08087265 73657276 65640000 00142502 ..reserved....%. 27a30: 230a0863 74780000 00130602 230c0003 #..ctx......#... 27a40: 000013be 04000f00 0012e402 00001432 ...............2 27a50: 10010003 000013be 04000956 42554600 ...........VBUF. 27a60: 000013be 03000014 39040006 00001443 ........9......C 27a70: 01030000 144a0400 06000014 43010300 .....J......C... 27a80: 00145704 00020103 00001464 04000776 ..W........d...v 27a90: 6275665f 61706900 14000014 e2085f69 buf_api......._i 27aa0: 6e697400 00000ae6 02230008 5f616c6c nit......#.._all 27ab0: 6f635f76 62756600 00001450 02230408 oc_vbuf....P.#.. 27ac0: 5f616c6c 6f635f76 6275665f 77697468 _alloc_vbuf_with 27ad0: 5f73697a 65000000 145d0223 08085f66 _size....].#.._f 27ae0: 7265655f 76627566 00000014 6602230c ree_vbuf....f.#. 27af0: 08705265 73657276 65640000 00041302 .pReserved...... 27b00: 23100007 5f5f6164 665f6465 76696365 #...__adf_device 27b10: 00040000 15040864 756d6d79 00000001 .......dummy.... 27b20: 1e022300 00030000 092a0400 075f5f61 ..#......*...__a 27b30: 64665f64 6d615f6d 6170000c 0000154b df_dma_map.....K 27b40: 08627566 00000014 43022300 0864735f .buf....C.#..ds_ 27b50: 61646472 00000015 04022304 0864735f addr......#..ds_ 27b60: 6c656e00 000012f8 02230800 120c0000 len......#...... 27b70: 1585085f 5f76615f 73746b00 00000381 ...__va_stk..... 27b80: 02230008 5f5f7661 5f726567 00000003 .#..__va_reg.... 27b90: 81022304 085f5f76 615f6e64 78000000 ..#..__va_ndx... 27ba0: 011e0223 0800095f 5f616466 5f6f735f ...#...__adf_os_ 27bb0: 646d615f 61646472 5f740000 00092a09 dma_addr_t....*. 27bc0: 6164665f 6f735f64 6d615f61 6464725f adf_os_dma_addr_ 27bd0: 74000000 1585095f 5f616466 5f6f735f t......__adf_os_ 27be0: 646d615f 73697a65 5f740000 00092a09 dma_size_t....*. 27bf0: 6164665f 6f735f64 6d615f73 697a655f adf_os_dma_size_ 27c00: 74000000 15b5075f 5f646d61 5f736567 t......__dma_seg 27c10: 73000800 00161108 70616464 72000000 s.......paddr... 27c20: 159e0223 00086c65 6e000000 15ce0223 ...#..len......# 27c30: 0400095f 5f615f75 696e7433 325f7400 ...__a_uint32_t. 27c40: 0000092a 09615f75 696e7433 325f7400 ...*.a_uint32_t. 27c50: 00001611 0f000015 e5080000 16401000 .............@.. 27c60: 00076164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ 27c70: 696e666f 000c0000 1679086e 73656773 info.....y.nsegs 27c80: 00000016 23022300 08646d61 5f736567 ....#.#..dma_seg 27c90: 73000000 16330223 0400095f 5f615f75 s....3.#...__a_u 27ca0: 696e7438 5f740000 0012e409 615f7569 int8_t......a_ui 27cb0: 6e74385f 74000000 16790300 00168a04 nt8_t....y...... 27cc0: 00075f5f 73675f73 65677300 08000016 ..__sg_segs..... 27cd0: cb087661 64647200 00001699 02230008 ..vaddr......#.. 27ce0: 6c656e00 00001623 02230400 0f000016 len....#.#...... 27cf0: a0200000 16d81003 00076164 665f6f73 . ........adf_os 27d00: 5f73676c 69737400 24000017 0b086e73 _sglist.$.....ns 27d10: 65677300 00001623 02230008 73675f73 egs....#.#..sg_s 27d20: 65677300 000016cb 02230400 12100000 egs......#...... 27d30: 17540876 656e646f 72000000 16230223 .T.vendor....#.# 27d40: 00086465 76696365 00000016 23022304 ..device....#.#. 27d50: 08737562 76656e64 6f720000 00162302 .subvendor....#. 27d60: 23080873 75626465 76696365 00000016 #..subdevice.... 27d70: 2302230c 00046c6f 6e67206c 6f6e6720 #.#...long long 27d80: 756e7369 676e6564 20696e74 00070809 unsigned int.... 27d90: 415f5549 4e543634 00000017 54095f5f A_UINT64....T.__ 27da0: 615f7569 6e743634 5f740000 00176e09 a_uint64_t....n. 27db0: 615f7569 6e743634 5f740000 00177c14 a_uint64_t....|. 27dc0: 04000017 da0e4144 465f4f53 5f524553 ......ADF_OS_RES 27dd0: 4f555243 455f5459 50455f4d 454d0000 OURCE_TYPE_MEM.. 27de0: 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 27df0: 5f545950 455f494f 00010009 6164665f _TYPE_IO....adf_ 27e00: 6f735f72 65736f75 7263655f 74797065 os_resource_type 27e10: 5f740000 00179e12 18000018 24087374 _t..........$.st 27e20: 61727400 0000178e 02230008 656e6400 art......#..end. 27e30: 0000178e 02230808 74797065 00000017 .....#..type.... 27e40: da022310 00096164 665f6f73 5f706369 ..#...adf_os_pci 27e50: 5f646576 5f69645f 74000000 170b0300 _dev_id_t....... 27e60: 00182404 00110400 00186308 70636900 ..$.......c.pci. 27e70: 0000183d 02230008 72617700 00000413 ...=.#..raw..... 27e80: 02230000 11100000 18820870 63690000 .#.........pci.. 27e90: 00182402 23000872 61770000 00041302 ..$.#..raw...... 27ea0: 23000009 6164665f 6472765f 68616e64 #...adf_drv_hand 27eb0: 6c655f74 00000004 13096164 665f6f73 le_t......adf_os 27ec0: 5f726573 6f757263 655f7400 000017f6 _resource_t..... 27ed0: 03000018 98040009 6164665f 6f735f61 ........adf_os_a 27ee0: 74746163 685f6461 74615f74 00000018 ttach_data_t.... 27ef0: 63030000 18b60400 03000014 e2040009 c............... 27f00: 5f5f6164 665f6f73 5f646576 6963655f __adf_os_device_ 27f10: 74000000 18d70961 64665f6f 735f6465 t......adf_os_de 27f20: 76696365 5f740000 0018de06 00001882 vice_t.......... 27f30: 01030000 190a0400 02010300 00191704 ................ 27f40: 00096164 665f6f73 5f706d5f 74000000 ..adf_os_pm_t... 27f50: 04130201 03000019 31040014 04000019 ........1....... 27f60: 710e4144 465f4f53 5f425553 5f545950 q.ADF_OS_BUS_TYP 27f70: 455f5043 4900010e 4144465f 4f535f42 E_PCI...ADF_OS_B 27f80: 55535f54 5950455f 47454e45 52494300 US_TYPE_GENERIC. 27f90: 02000961 64665f6f 735f6275 735f7479 ...adf_os_bus_ty 27fa0: 70655f74 00000019 3a096164 665f6f73 pe_t....:.adf_os 27fb0: 5f627573 5f726567 5f646174 615f7400 _bus_reg_data_t. 27fc0: 00001844 03000003 2b040007 5f616466 ...D....+..._adf 27fd0: 5f647276 5f696e66 6f002000 001a4e08 _drv_info. ...N. 27fe0: 6472765f 61747461 63680000 00191002 drv_attach...... 27ff0: 23000864 72765f64 65746163 68000000 #..drv_detach... 28000: 19190223 04086472 765f7375 7370656e ...#..drv_suspen 28010: 64000000 19330223 08086472 765f7265 d....3.#..drv_re 28020: 73756d65 00000019 1902230c 08627573 sume......#..bus 28030: 5f747970 65000000 19710223 10086275 _type....q.#..bu 28040: 735f6461 74610000 00198802 2314086d s_data......#..m 28050: 6f645f6e 616d6500 000019a3 02231808 od_name......#.. 28060: 69666e61 6d650000 0019a302 231c0009 ifname......#... 28070: 6164665f 6f735f68 616e646c 655f7400 adf_os_handle_t. 28080: 00000413 03000016 79040002 01020109 ........y....... 28090: 5f5f6164 665f6f73 5f73697a 655f7400 __adf_os_size_t. 280a0: 00000416 14040000 1a9d0e41 5f46414c ...........A_FAL 280b0: 53450000 0e415f54 52554500 01000961 SE...A_TRUE....a 280c0: 5f626f6f 6c5f7400 00001a83 03000015 _bool_t......... 280d0: 0b040009 5f5f6164 665f6f73 5f646d61 ....__adf_os_dma 280e0: 5f6d6170 5f740000 001aab02 010d6164 _map_t........ad 280f0: 665f6f73 5f636163 68655f73 796e6300 f_os_cache_sync. 28100: 0400001b 350e4144 465f5359 4e435f50 ....5.ADF_SYNC_P 28110: 52455245 41440000 0e414446 5f53594e REREAD...ADF_SYN 28120: 435f5052 45575249 54450002 0e414446 C_PREWRITE...ADF 28130: 5f53594e 435f504f 53545245 41440001 _SYNC_POSTREAD.. 28140: 0e414446 5f53594e 435f504f 53545752 .ADF_SYNC_POSTWR 28150: 49544500 03000961 64665f6f 735f6361 ITE....adf_os_ca 28160: 6368655f 73796e63 5f740000 001acc02 che_sync_t...... 28170: 01096164 665f6f73 5f73697a 655f7400 ..adf_os_size_t. 28180: 00001a6e 0600001b 50010961 64665f6f ...n....P..adf_o 28190: 735f646d 615f6d61 705f7400 00001ab2 s_dma_map_t..... 281a0: 0300001b 69040006 00000413 01030000 ....i........... 281b0: 1ab20400 06000004 13010201 06000015 ................ 281c0: 9e010201 0473686f 72742069 6e740005 .....short int.. 281d0: 0209415f 494e5431 36000000 1ba3095f ..A_INT16......_ 281e0: 5f615f69 6e743136 5f740000 001bb009 _a_int16_t...... 281f0: 615f696e 7431365f 74000000 1bbd0473 a_int16_t......s 28200: 69676e65 64206368 61720005 0109415f igned char....A_ 28210: 494e5438 0000001b dd095f5f 615f696e INT8......__a_in 28220: 74385f74 0000001b ec09615f 696e7438 t8_t......a_int8 28230: 5f740000 001bf812 0c00001c 6f087375 _t..........o.su 28240: 70706f72 74656400 00001623 02230008 pported....#.#.. 28250: 61647665 7274697a 65640000 00162302 advertized....#. 28260: 23040873 70656564 0000001b ce022308 #..speed......#. 28270: 08647570 6c657800 00001c08 02230a08 .duplex......#.. 28280: 6175746f 6e656700 0000168a 02230b00 autoneg......#.. 28290: 0f000016 8a060000 1c7c1005 00076164 .........|....ad 282a0: 665f6e65 745f6574 68616464 72000600 f_net_ethaddr... 282b0: 001ca008 61646472 0000001c 6f022300 ....addr....o.#. 282c0: 00095f5f 615f7569 6e743136 5f740000 ..__a_uint16_t.. 282d0: 0012f809 615f7569 6e743136 5f740000 ....a_uint16_t.. 282e0: 001ca012 0e00001d 04086574 6865725f ..........ether_ 282f0: 64686f73 74000000 1c6f0223 00086574 dhost....o.#..et 28300: 6865725f 73686f73 74000000 1c6f0223 her_shost....o.# 28310: 06086574 6865725f 74797065 0000001c ..ether_type.... 28320: b202230c 00121400 001dc515 69705f76 ..#.........ip_v 28330: 65727369 6f6e0000 00168a01 00040223 ersion.........# 28340: 00156970 5f686c00 0000168a 01040402 ..ip_hl......... 28350: 23000869 705f746f 73000000 168a0223 #..ip_tos......# 28360: 01086970 5f6c656e 0000001c b2022302 ..ip_len......#. 28370: 0869705f 69640000 001cb202 23040869 .ip_id......#..i 28380: 705f6672 61675f6f 66660000 001cb202 p_frag_off...... 28390: 23060869 705f7474 6c000000 168a0223 #..ip_ttl......# 283a0: 08086970 5f70726f 746f0000 00168a02 ..ip_proto...... 283b0: 23090869 705f6368 65636b00 00001cb2 #..ip_check..... 283c0: 02230a08 69705f73 61646472 00000016 .#..ip_saddr.... 283d0: 2302230c 0869705f 64616464 72000000 #.#..ip_daddr... 283e0: 16230223 10000761 64665f6e 65745f76 .#.#...adf_net_v 283f0: 6c616e68 64720004 00001e17 08747069 lanhdr.......tpi 28400: 64000000 1cb20223 00157072 696f0000 d......#..prio.. 28410: 00168a01 00030223 02156366 69000000 .......#..cfi... 28420: 168a0103 01022302 15766964 0000001c ......#..vid.... 28430: b202040c 02230200 07616466 5f6e6574 .....#...adf_net 28440: 5f766964 00020000 1e481572 65730000 _vid.....H.res.. 28450: 00168a01 00040223 00157661 6c000000 .......#..val... 28460: 1cb20204 0c022300 00120c00 001e8408 ......#......... 28470: 72785f62 75667369 7a650000 00162302 rx_bufsize....#. 28480: 23000872 785f6e64 65736300 00001623 #..rx_ndesc....# 28490: 02230408 74785f6e 64657363 00000016 .#..tx_ndesc.... 284a0: 23022308 00120800 001eaa08 706f6c6c #.#.........poll 284b0: 65640000 001a9d02 23000870 6f6c6c5f ed......#..poll_ 284c0: 77740000 00162302 2304000f 0000168a wt....#.#....... 284d0: 4000001e b7103f00 12460000 1edf0869 @.....?..F.....i 284e0: 665f6e61 6d650000 001eaa02 23000864 f_name......#..d 284f0: 65765f61 64647200 00001c6f 02234000 ev_addr....o.#@. 28500: 14040000 1f160e41 44465f4f 535f444d .......ADF_OS_DM 28510: 415f4d41 534b5f33 32424954 00000e41 A_MASK_32BIT...A 28520: 44465f4f 535f444d 415f4d41 534b5f36 DF_OS_DMA_MASK_6 28530: 34424954 00010009 6164665f 6f735f64 4BIT....adf_os_d 28540: 6d615f6d 61736b5f 74000000 1edf0761 ma_mask_t......a 28550: 64665f64 6d615f69 6e666f00 0800001f df_dma_info..... 28560: 6308646d 615f6d61 736b0000 001f1602 c.dma_mask...... 28570: 23000873 675f6e73 65677300 00001623 #..sg_nsegs....# 28580: 02230400 14040000 1fb90e41 44465f4e .#.........ADF_N 28590: 45545f43 4b53554d 5f4e4f4e 4500000e ET_CKSUM_NONE... 285a0: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC 285b0: 505f5544 505f4950 76340001 0e414446 P_UDP_IPv4...ADF 285c0: 5f4e4554 5f434b53 554d5f54 43505f55 _NET_CKSUM_TCP_U 285d0: 44505f49 50763600 02000961 64665f6e DP_IPv6....adf_n 285e0: 65745f63 6b73756d 5f747970 655f7400 et_cksum_type_t. 285f0: 00001f63 12080000 1ffc0874 785f636b ...c.......tx_ck 28600: 73756d00 00001fb9 02230008 72785f63 sum......#..rx_c 28610: 6b73756d 0000001f b9022304 00096164 ksum......#...ad 28620: 665f6e65 745f636b 73756d5f 696e666f f_net_cksum_info 28630: 5f740000 001fd314 04000020 550e4144 _t......... U.AD 28640: 465f4e45 545f5453 4f5f4e4f 4e450000 F_NET_TSO_NONE.. 28650: 0e414446 5f4e4554 5f54534f 5f495056 .ADF_NET_TSO_IPV 28660: 3400010e 4144465f 4e45545f 54534f5f 4...ADF_NET_TSO_ 28670: 414c4c00 02000961 64665f6e 65745f74 ALL....adf_net_t 28680: 736f5f74 7970655f 74000000 20161210 so_type_t... ... 28690: 000020a9 08636b73 756d5f63 61700000 .. ..cksum_cap.. 286a0: 001ffc02 23000874 736f0000 00205502 ....#..tso... U. 286b0: 23080876 6c616e5f 73757070 6f727465 #..vlan_supporte 286c0: 64000000 168a0223 0c001220 00002142 d......#... ..!B 286d0: 0874785f 7061636b 65747300 00001623 .tx_packets....# 286e0: 02230008 72785f70 61636b65 74730000 .#..rx_packets.. 286f0: 00162302 23040874 785f6279 74657300 ..#.#..tx_bytes. 28700: 00001623 02230808 72785f62 79746573 ...#.#..rx_bytes 28710: 00000016 2302230c 0874785f 64726f70 ....#.#..tx_drop 28720: 70656400 00001623 02231008 72785f64 ped....#.#..rx_d 28730: 726f7070 65640000 00162302 23140872 ropped....#.#..r 28740: 785f6572 726f7273 00000016 23022318 x_errors....#.#. 28750: 0874785f 6572726f 72730000 00162302 .tx_errors....#. 28760: 231c0009 6164665f 6e65745f 65746861 #...adf_net_etha 28770: 6464725f 74000000 1c7c1600 00214203 ddr_t....|...!B. 28780: 00000021 67107f00 17616466 5f6e6574 ...!g....adf_net 28790: 5f636d64 5f6d6361 64647200 03040000 _cmd_mcaddr..... 287a0: 219e086e 656c656d 00000016 23022300 !..nelem....#.#. 287b0: 086d6361 73740000 00215902 23040009 .mcast...!Y.#... 287c0: 6164665f 6e65745f 636d645f 6c696e6b adf_net_cmd_link 287d0: 5f696e66 6f5f7400 00001c16 09616466 _info_t......adf 287e0: 5f6e6574 5f636d64 5f706f6c 6c5f696e _net_cmd_poll_in 287f0: 666f5f74 0000001e 84096164 665f6e65 fo_t......adf_ne 28800: 745f636d 645f636b 73756d5f 696e666f t_cmd_cksum_info 28810: 5f740000 001ffc09 6164665f 6e65745f _t......adf_net_ 28820: 636d645f 72696e67 5f696e66 6f5f7400 cmd_ring_info_t. 28830: 00001e48 09616466 5f6e6574 5f636d64 ...H.adf_net_cmd 28840: 5f646d61 5f696e66 6f5f7400 00001f2d _dma_info_t....- 28850: 09616466 5f6e6574 5f636d64 5f766964 .adf_net_cmd_vid 28860: 5f740000 001cb209 6164665f 6e65745f _t......adf_net_ 28870: 636d645f 6f66666c 6f61645f 6361705f cmd_offload_cap_ 28880: 74000000 206d0961 64665f6e 65745f63 t... m.adf_net_c 28890: 6d645f73 74617473 5f740000 0020a909 md_stats_t... .. 288a0: 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad 288b0: 64725f74 00000021 670d6164 665f6e65 dr_t...!g.adf_ne 288c0: 745f636d 645f6d63 6173745f 63617000 t_cmd_mcast_cap. 288d0: 04000022 e00e4144 465f4e45 545f4d43 ..."..ADF_NET_MC 288e0: 4153545f 53555000 000e4144 465f4e45 AST_SUP...ADF_NE 288f0: 545f4d43 4153545f 4e4f5453 55500001 T_MCAST_NOTSUP.. 28900: 00096164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc 28910: 6173745f 6361705f 74000000 22981803 ast_cap_t..."... 28920: 04000023 b2086c69 6e6b5f69 6e666f00 ...#..link_info. 28930: 0000219e 02230008 706f6c6c 5f696e66 ..!..#..poll_inf 28940: 6f000000 21bb0223 0008636b 73756d5f o...!..#..cksum_ 28950: 696e666f 00000021 d8022300 0872696e info...!..#..rin 28960: 675f696e 666f0000 0021f602 23000864 g_info...!..#..d 28970: 6d615f69 6e666f00 00002213 02230008 ma_info..."..#.. 28980: 76696400 0000222f 02230008 6f66666c vid..."/.#..offl 28990: 6f61645f 63617000 00002246 02230008 oad_cap..."F.#.. 289a0: 73746174 73000000 22650223 00086d63 stats..."e.#..mc 289b0: 6173745f 696e666f 00000022 7e022300 ast_info..."~.#. 289c0: 086d6361 73745f63 61700000 0022e002 .mcast_cap...".. 289d0: 23000014 04000024 090e4144 465f4e42 #......$..ADF_NB 289e0: 55465f52 585f434b 53554d5f 4e4f4e45 UF_RX_CKSUM_NONE 289f0: 00000e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C 28a00: 4b53554d 5f485700 010e4144 465f4e42 KSUM_HW...ADF_NB 28a10: 55465f52 585f434b 53554d5f 554e4e45 UF_RX_CKSUM_UNNE 28a20: 43455353 41525900 02000961 64665f6e CESSARY....adf_n 28a30: 6275665f 72785f63 6b73756d 5f747970 buf_rx_cksum_typ 28a40: 655f7400 000023b2 12080000 24490872 e_t...#.....$I.r 28a50: 6573756c 74000000 24090223 00087661 esult...$..#..va 28a60: 6c000000 16230223 04001208 00002479 l....#.#......$y 28a70: 08747970 65000000 20550223 00086d73 .type... U.#..ms 28a80: 73000000 1cb20223 04086864 725f6f66 s......#..hdr_of 28a90: 66000000 168a0223 0600075f 5f616466 f......#...__adf 28aa0: 5f6e6275 665f7168 65616400 0c000024 _nbuf_qhead....$ 28ab0: b8086865 61640000 00144302 23000874 ..head....C.#..t 28ac0: 61696c00 00001443 02230408 716c656e ail....C.#..qlen 28ad0: 00000016 23022308 00095f5f 6164665f ....#.#...__adf_ 28ae0: 6e627566 5f740000 00144303 00001699 nbuf_t....C..... 28af0: 04000300 00162304 00020106 00001325 ......#........% 28b00: 01060000 16230106 00001699 01060000 .....#.......... 28b10: 16990103 00001306 0400095f 5f616466 ...........__adf 28b20: 5f6e6275 665f7168 6561645f 74000000 _nbuf_qhead_t... 28b30: 2479095f 5f616466 5f6e6275 665f7175 $y.__adf_nbuf_qu 28b40: 6575655f 74000000 24f90300 00251104 eue_t...$....%.. 28b50: 00060000 24b80106 000024b8 01140400 ....$.....$..... 28b60: 0026310e 415f5354 41545553 5f4f4b00 .&1.A_STATUS_OK. 28b70: 000e415f 53544154 55535f46 41494c45 ..A_STATUS_FAILE 28b80: 4400010e 415f5354 41545553 5f454e4f D...A_STATUS_ENO 28b90: 454e5400 020e415f 53544154 55535f45 ENT...A_STATUS_E 28ba0: 4e4f4d45 4d00030e 415f5354 41545553 NOMEM...A_STATUS 28bb0: 5f45494e 56414c00 040e415f 53544154 _EINVAL...A_STAT 28bc0: 55535f45 494e5052 4f475245 53530005 US_EINPROGRESS.. 28bd0: 0e415f53 54415455 535f454e 4f545355 .A_STATUS_ENOTSU 28be0: 50500006 0e415f53 54415455 535f4542 PP...A_STATUS_EB 28bf0: 55535900 070e415f 53544154 55535f45 USY...A_STATUS_E 28c00: 32424947 00080e41 5f535441 5455535f 2BIG...A_STATUS_ 28c10: 45414444 524e4f54 41564149 4c00090e EADDRNOTAVAIL... 28c20: 415f5354 41545553 5f454e58 494f000a A_STATUS_ENXIO.. 28c30: 0e415f53 54415455 535f4546 41554c54 .A_STATUS_EFAULT 28c40: 000b0e41 5f535441 5455535f 45494f00 ...A_STATUS_EIO. 28c50: 0c000961 5f737461 7475735f 74000000 ...a_status_t... 28c60: 253c0600 00263101 06000001 1e010201 %<...&1......... 28c70: 09616466 5f6e6275 665f7400 000024b8 .adf_nbuf_t...$. 28c80: 14040000 26960e41 44465f4f 535f444d ....&..ADF_OS_DM 28c90: 415f544f 5f444556 49434500 000e4144 A_TO_DEVICE...AD 28ca0: 465f4f53 5f444d41 5f46524f 4d5f4445 F_OS_DMA_FROM_DE 28cb0: 56494345 00010009 6164665f 6f735f64 VICE....adf_os_d 28cc0: 6d615f64 69725f74 00000026 5f060000 ma_dir_t...&_... 28cd0: 26310102 01096164 665f6f73 5f646d61 &1....adf_os_dma 28ce0: 6d61705f 696e666f 5f740000 00164003 map_info_t....@. 28cf0: 000026b4 04000201 02010600 00264f01 ..&..........&O. 28d00: 06000024 b8010201 02010600 00264f01 ...$.........&O. 28d10: 06000024 b8010600 00264f01 06000024 ...$.....&O....$ 28d20: b8010600 00264f01 02010201 06000016 .....&O......... 28d30: 23010600 00169901 02010201 0600001b #............... 28d40: 50010600 001a9d01 0600001a 9d010961 P..............a 28d50: 64665f6f 735f7367 6c697374 5f740000 df_os_sglist_t.. 28d60: 0016d803 0000272d 04000201 02010201 ......'-........ 28d70: 06000016 99010961 64665f6e 6275665f .......adf_nbuf_ 28d80: 71756575 655f7400 00002511 03000027 queue_t...%....' 28d90: 55040002 01030000 24f90400 02010201 U.......$....... 28da0: 02010600 00264f01 06000024 b8010600 .....&O....$.... 28db0: 00162301 06000016 23010600 001a9d01 ..#.....#....... 28dc0: 0600001a 9d010600 001fb901 06000016 ................ 28dd0: 23010961 64665f6e 6275665f 72785f63 #..adf_nbuf_rx_c 28de0: 6b73756d 5f740000 00242703 000027b1 ksum_t...$'...'. 28df0: 04000201 02010961 64665f6e 6275665f .......adf_nbuf_ 28e00: 74736f5f 74000000 24490300 0027d504 tso_t...$I...'.. 28e10: 00020102 01096164 665f6e65 745f6861 ......adf_net_ha 28e20: 6e646c65 5f740000 00041309 6164665f ndle_t......adf_ 28e30: 6e65745f 766c616e 6864725f 74000000 net_vlanhdr_t... 28e40: 1dc50300 00280a04 00060000 26310106 .....(......&1.. 28e50: 00002631 01020102 01075f48 49465f43 ..&1......_HIF_C 28e60: 4f4e4649 47000400 00285908 64756d6d ONFIG....(Y.dumm 28e70: 79000000 011e0223 00000201 03000028 y......#.......( 28e80: 59040002 01030000 28620400 075f4849 Y.......(b..._HI 28e90: 465f4341 4c4c4241 434b000c 000028b7 F_CALLBACK....(. 28ea0: 0873656e 645f6275 665f646f 6e650000 .send_buf_done.. 28eb0: 00285b02 23000872 6563765f 62756600 .([.#..recv_buf. 28ec0: 00002864 02230408 636f6e74 65787400 ..(d.#..context. 28ed0: 00000413 02230800 09686966 5f68616e .....#...hif_han 28ee0: 646c655f 74000000 04130948 49465f43 dle_t......HIF_C 28ef0: 4f4e4649 47000000 28380300 0028c904 ONFIG...(8...(.. 28f00: 00060000 28b70103 000028e0 04000201 ....(.....(..... 28f10: 03000028 ed040009 4849465f 43414c4c ...(....HIF_CALL 28f20: 4241434b 00000028 6b030000 28f60400 BACK...(k...(... 28f30: 02010300 00290f04 00060000 011e0103 .....).......... 28f40: 00002918 04000201 03000029 25040006 ..)........)%... 28f50: 0000011e 01030000 292e0400 02010300 ........)....... 28f60: 00293b04 00060000 011e0103 00002944 .);...........)D 28f70: 04000201 03000029 51040007 6869665f .......)Q...hif_ 28f80: 61706900 3800002a aa085f69 6e697400 api.8..*.._init. 28f90: 000028e6 02230008 5f736875 74646f77 ..(..#.._shutdow 28fa0: 6e000000 28ef0223 04085f72 65676973 n...(..#.._regis 28fb0: 7465725f 63616c6c 6261636b 00000029 ter_callback...) 28fc0: 11022308 085f6765 745f746f 74616c5f ..#.._get_total_ 28fd0: 63726564 69745f63 6f756e74 00000029 credit_count...) 28fe0: 1e02230c 085f7374 61727400 000028ef ..#.._start...(. 28ff0: 02231008 5f636f6e 6669675f 70697065 .#.._config_pipe 29000: 00000029 27022314 085f7365 6e645f62 ...)'.#.._send_b 29010: 75666665 72000000 29340223 18085f72 uffer...)4.#.._r 29020: 65747572 6e5f7265 63765f62 75660000 eturn_recv_buf.. 29030: 00293d02 231c085f 69735f70 6970655f .)=.#.._is_pipe_ 29040: 73757070 6f727465 64000000 294a0223 supported...)J.# 29050: 20085f67 65745f6d 61785f6d 73675f6c ._get_max_msg_l 29060: 656e0000 00294a02 2324085f 6765745f en...)J.#$._get_ 29070: 72657365 72766564 5f686561 64726f6f reserved_headroo 29080: 6d000000 291e0223 28085f69 73725f68 m...)..#(._isr_h 29090: 616e646c 65720000 0028ef02 232c085f andler...(..#,._ 290a0: 6765745f 64656661 756c745f 70697065 get_default_pipe 290b0: 00000029 53022330 08705265 73657276 ...)S.#0.pReserv 290c0: 65640000 00041302 2334000d 646d615f ed......#4..dma_ 290d0: 656e6769 6e650004 00002b33 0e444d41 engine....+3.DMA 290e0: 5f454e47 494e455f 52583000 000e444d _ENGINE_RX0...DM 290f0: 415f454e 47494e45 5f525831 00010e44 A_ENGINE_RX1...D 29100: 4d415f45 4e47494e 455f5258 3200020e MA_ENGINE_RX2... 29110: 444d415f 454e4749 4e455f52 58330003 DMA_ENGINE_RX3.. 29120: 0e444d41 5f454e47 494e455f 54583000 .DMA_ENGINE_TX0. 29130: 040e444d 415f454e 47494e45 5f545831 ..DMA_ENGINE_TX1 29140: 00050e44 4d415f45 4e47494e 455f4d41 ...DMA_ENGINE_MA 29150: 58000600 09646d61 5f656e67 696e655f X....dma_engine_ 29160: 74000000 2aaa0d64 6d615f69 66747970 t...*..dma_iftyp 29170: 65000400 002b800e 444d415f 49465f47 e....+..DMA_IF_G 29180: 4d414300 000e444d 415f4946 5f504349 MAC...DMA_IF_PCI 29190: 00010e44 4d415f49 465f5043 49450002 ...DMA_IF_PCIE.. 291a0: 0009646d 615f6966 74797065 5f740000 ..dma_iftype_t.. 291b0: 002b4506 000012f8 01030000 2b920400 .+E.........+... 291c0: 02010300 002b9f04 00020103 00002ba8 .....+........+. 291d0: 04000600 00092a01 0300002b b1040006 ......*....+.... 291e0: 000012f8 01030000 2bbe0400 06000012 ........+....... 291f0: f8010300 002bcb04 00060000 14430103 .....+.......C.. 29200: 00002bd8 04000201 0300002b e5040007 ..+........+.... 29210: 646d615f 6c69625f 61706900 3400002c dma_lib_api.4.., 29220: ec087478 5f696e69 74000000 2b980223 ..tx_init...+..# 29230: 00087478 5f737461 72740000 002ba102 ..tx_start...+.. 29240: 23040872 785f696e 69740000 002b9802 #..rx_init...+.. 29250: 23080872 785f636f 6e666967 0000002b #..rx_config...+ 29260: aa02230c 0872785f 73746172 74000000 ..#..rx_start... 29270: 2ba10223 1008696e 74725f73 74617475 +..#..intr_statu 29280: 73000000 2bb70223 14086861 72645f78 s...+..#..hard_x 29290: 6d697400 00002bc4 02231808 666c7573 mit...+..#..flus 292a0: 685f786d 69740000 002ba102 231c0878 h_xmit...+..#..x 292b0: 6d69745f 646f6e65 0000002b d1022320 mit_done...+..# 292c0: 08726561 705f786d 69747465 64000000 .reap_xmitted... 292d0: 2bde0223 24087265 61705f72 65637600 +..#$.reap_recv. 292e0: 00002bde 02232808 72657475 726e5f72 ..+..#(.return_r 292f0: 65637600 00002be7 02232c08 72656376 ecv...+..#,.recv 29300: 5f706b74 0000002b d1022330 00075f5f _pkt...+..#0..__ 29310: 7063695f 736f6674 63000c00 002d0a08 pci_softc....-.. 29320: 73770000 0028f602 23000009 5f5f7063 sw...(..#...__pc 29330: 695f736f 6674635f 74000000 2cec0300 i_softc_t...,... 29340: 002d0a04 00020103 00002d24 04000600 .-........-$.... 29350: 0012e401 0300002d 2d04000d 6869665f .......--...hif_ 29360: 7063695f 70697065 5f747800 0400002d pci_pipe_tx....- 29370: 8d0e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T 29380: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP 29390: 455f5458 3100010e 4849465f 5043495f E_TX1...HIF_PCI_ 293a0: 50495045 5f54585f 4d415800 02000968 PIPE_TX_MAX....h 293b0: 69665f70 63695f70 6970655f 74785f74 if_pci_pipe_tx_t 293c0: 0000002d 3a060000 2b330103 00002da4 ...-:...+3....-. 293d0: 04000d68 69665f70 63695f70 6970655f ...hif_pci_pipe_ 293e0: 72780004 00002e2a 0e484946 5f504349 rx.....*.HIF_PCI 293f0: 5f504950 455f5258 3000000e 4849465f _PIPE_RX0...HIF_ 29400: 5043495f 50495045 5f525831 00010e48 PCI_PIPE_RX1...H 29410: 49465f50 43495f50 4950455f 52583200 IF_PCI_PIPE_RX2. 29420: 020e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 29430: 58330003 0e484946 5f504349 5f504950 X3...HIF_PCI_PIP 29440: 455f5258 5f4d4158 00040009 6869665f E_RX_MAX....hif_ 29450: 7063695f 70697065 5f72785f 74000000 pci_pipe_rx_t... 29460: 2db10600 002b3301 0300002e 41040007 -....+3.....A... 29470: 6869665f 7063695f 61706900 2400002f hif_pci_api.$../ 29480: 1f087063 695f626f 6f745f69 6e697400 ..pci_boot_init. 29490: 00000117 02230008 7063695f 696e6974 .....#..pci_init 294a0: 00000028 e6022304 08706369 5f726573 ...(..#..pci_res 294b0: 65740000 00011702 23080870 63695f65 et......#..pci_e 294c0: 6e61626c 65000000 01170223 0c087063 nable......#..pc 294d0: 695f7265 61705f78 6d697474 65640000 i_reap_xmitted.. 294e0: 002d2602 23100870 63695f72 6561705f .-&.#..pci_reap_ 294f0: 72656376 0000002d 26022314 08706369 recv...-&.#..pci 29500: 5f676574 5f706970 65000000 2d330223 _get_pipe...-3.# 29510: 18087063 695f6765 745f7478 5f656e67 ..pci_get_tx_eng 29520: 0000002d aa02231c 08706369 5f676574 ...-..#..pci_get 29530: 5f72785f 656e6700 00002e47 02232000 _rx_eng....G.# . 29540: 07676d61 635f6170 69000400 002f4608 .gmac_api..../F. 29550: 676d6163 5f626f6f 745f696e 69740000 gmac_boot_init.. 29560: 00011702 2300000f 0000032b 0600002f ....#......+.../ 29570: 53100500 075f5f65 74686864 72000e00 S....__ethhdr... 29580: 002f8908 64737400 00002f46 02230008 ./..dst.../F.#.. 29590: 73726300 00002f46 02230608 65747970 src.../F.#..etyp 295a0: 65000000 12f80223 0c00075f 5f617468 e......#...__ath 295b0: 68647200 0400002f d7157265 73000000 hdr..../..res... 295c0: 12e40100 02022300 1570726f 746f0000 ......#..proto.. 295d0: 0012e401 02060223 00087265 735f6c6f .......#..res_lo 295e0: 00000012 e4022301 08726573 5f686900 ......#..res_hi. 295f0: 000012f8 02230200 075f5f67 6d61635f .....#...__gmac_ 29600: 68647200 14000030 13086574 68000000 hdr....0..eth... 29610: 2f530223 00086174 68000000 2f890223 /S.#..ath.../..# 29620: 0e08616c 69676e5f 70616400 000012f8 ..align_pad..... 29630: 02231200 095f5f67 6d61635f 6864725f .#...__gmac_hdr_ 29640: 74000000 2fd7075f 5f676d61 635f736f t.../..__gmac_so 29650: 66746300 24000030 5d086864 72000000 ftc.$..0].hdr... 29660: 30130223 00086772 616e0000 0012f802 0..#..gran...... 29670: 23140873 77000000 28f60223 1800075f #..sw...(..#..._ 29680: 415f6f73 5f6c696e 6b616765 5f636865 A_os_linkage_che 29690: 636b0008 00003096 08766572 73696f6e ck....0..version 296a0: 00000001 1e022300 08746162 6c650000 ......#..table.. 296b0: 00011e02 23040003 0000305d 04000600 ....#.....0].... 296c0: 00011e01 03000030 9d040003 00000416 .......0........ 296d0: 0400175f 415f636d 6e6f735f 696e6469 ..._A_cmnos_indi 296e0: 72656374 696f6e5f 7461626c 650001b8 rection_table... 296f0: 000031ed 0868616c 5f6c696e 6b616765 ..1..hal_linkage 29700: 5f636865 636b0000 0030a302 23000873 _check...0..#..s 29710: 74617274 5f627373 00000030 aa022304 tart_bss...0..#. 29720: 08617070 5f737461 72740000 00011702 .app_start...... 29730: 2308086d 656d0000 00045602 230c086d #..mem....V.#..m 29740: 69736300 00000575 02232008 7072696e isc....u.# .prin 29750: 74660000 00014b02 23440875 61727400 tf....K.#D.uart. 29760: 00000214 02234c08 676d6163 0000002f .....#L.gmac.../ 29770: 1f02236c 08757362 0000000f a4022370 ..#l.usb......#p 29780: 08636c6f 636b0000 000aed03 23e00108 .clock......#... 29790: 74696d65 72000000 078d0323 84020869 timer......#...i 297a0: 6e747200 00000c3d 03239802 08616c6c ntr....=.#...all 297b0: 6f637261 6d000000 09450323 c4020872 ocram....E.#...r 297c0: 6f6d7000 00000837 0323d002 08776474 omp....7.#...wdt 297d0: 5f74696d 65720000 000e1a03 23e00208 _timer......#... 297e0: 65657000 00000f48 0323fc02 08737472 eep....H.#...str 297f0: 696e6700 00000699 03238c03 08746173 ing......#...tas 29800: 6b6c6574 0000000a 420323a4 0300075f klet....B.#...._ 29810: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. 29820: 10000032 60086765 745f636f 6d6d616e ...2`.get_comman 29830: 645f6275 66000000 14500223 00087265 d_buf....P.#..re 29840: 63765f63 6f6d6d61 6e640000 00146602 cv_command....f. 29850: 23040867 65745f65 76656e74 5f627566 #..get_event_buf 29860: 00000014 50022308 0873656e 645f6576 ....P.#..send_ev 29870: 656e745f 646f6e65 00000014 6602230c ent_done....f.#. 29880: 00095553 425f4649 464f5f43 4f4e4649 ..USB_FIFO_CONFI 29890: 47000000 31ed0300 00326004 00020103 G...1....2`..... 298a0: 0000327c 04000775 73626669 666f5f61 ..2|...usbfifo_a 298b0: 7069000c 000032d2 085f696e 69740000 pi....2.._init.. 298c0: 00327e02 2300085f 656e6162 6c655f65 .2~.#.._enable_e 298d0: 76656e74 5f697372 00000001 17022304 vent_isr......#. 298e0: 08705265 73657276 65640000 00041302 .pReserved...... 298f0: 2308000f 0000168a 02000032 df100100 #..........2.... 29900: 075f4854 435f4652 414d455f 48445200 ._HTC_FRAME_HDR. 29910: 08000033 5108456e 64706f69 6e744944 ...3Q.EndpointID 29920: 00000016 8a022300 08466c61 67730000 ......#..Flags.. 29930: 00168a02 23010850 61796c6f 61644c65 ....#..PayloadLe 29940: 6e000000 1cb20223 0208436f 6e74726f n......#..Contro 29950: 6c427974 65730000 0032d202 23040848 lBytes...2..#..H 29960: 6f737453 65714e75 6d000000 1cb20223 ostSeqNum......# 29970: 06001202 0000336a 084d6573 73616765 ......3j.Message 29980: 49440000 001cb202 23000012 08000033 ID......#......3 29990: cd084d65 73736167 65494400 00001cb2 ..MessageID..... 299a0: 02230008 43726564 6974436f 756e7400 .#..CreditCount. 299b0: 00001cb2 02230208 43726564 69745369 .....#..CreditSi 299c0: 7a650000 001cb202 2304084d 6178456e ze......#..MaxEn 299d0: 64706f69 6e747300 0000168a 02230608 dpoints......#.. 299e0: 5f506164 31000000 168a0223 0700120a _Pad1......#.... 299f0: 00003464 084d6573 73616765 49440000 ..4d.MessageID.. 29a00: 001cb202 23000853 65727669 63654944 ....#..ServiceID 29a10: 0000001c b2022302 08436f6e 6e656374 ......#..Connect 29a20: 696f6e46 6c616773 0000001c b2022304 ionFlags......#. 29a30: 08446f77 6e4c696e 6b506970 65494400 .DownLinkPipeID. 29a40: 0000168a 02230608 55704c69 6e6b5069 .....#..UpLinkPi 29a50: 70654944 00000016 8a022307 08536572 peID......#..Ser 29a60: 76696365 4d657461 4c656e67 74680000 viceMetaLength.. 29a70: 00168a02 2308085f 50616431 00000016 ....#.._Pad1.... 29a80: 8a022309 00120a00 0034ec08 4d657373 ..#......4..Mess 29a90: 61676549 44000000 1cb20223 00085365 ageID......#..Se 29aa0: 72766963 65494400 00001cb2 02230208 rviceID......#.. 29ab0: 53746174 75730000 00168a02 23040845 Status......#..E 29ac0: 6e64706f 696e7449 44000000 168a0223 ndpointID......# 29ad0: 05084d61 784d7367 53697a65 0000001c ..MaxMsgSize.... 29ae0: b2022306 08536572 76696365 4d657461 ..#..ServiceMeta 29af0: 4c656e67 74680000 00168a02 2308085f Length......#.._ 29b00: 50616431 00000016 8a022309 00120200 Pad1......#..... 29b10: 00350508 4d657373 61676549 44000000 .5..MessageID... 29b20: 1cb20223 00001204 00003541 084d6573 ...#......5A.Mes 29b30: 73616765 49440000 001cb202 23000850 sageID......#..P 29b40: 69706549 44000000 168a0223 02084372 ipeID......#..Cr 29b50: 65646974 436f756e 74000000 168a0223 editCount......# 29b60: 03001204 00003578 084d6573 73616765 ......5x.Message 29b70: 49440000 001cb202 23000850 69706549 ID......#..PipeI 29b80: 44000000 168a0223 02085374 61747573 D......#..Status 29b90: 00000016 8a022303 00120200 00359f08 ......#......5.. 29ba0: 5265636f 72644944 00000016 8a022300 RecordID......#. 29bb0: 084c656e 67746800 0000168a 02230100 .Length......#.. 29bc0: 12020000 35c90845 6e64706f 696e7449 ....5..EndpointI 29bd0: 44000000 168a0223 00084372 65646974 D......#..Credit 29be0: 73000000 168a0223 01001204 0000360a s......#......6. 29bf0: 08456e64 706f696e 74494400 0000168a .EndpointID..... 29c00: 02230008 43726564 69747300 0000168a .#..Credits..... 29c10: 02230108 54677443 72656469 74536571 .#..TgtCreditSeq 29c20: 4e6f0000 001cb202 2302000f 0000168a No......#....... 29c30: 04000036 17100300 12060000 36530850 ...6........6S.P 29c40: 72655661 6c696400 0000168a 02230008 reValid......#.. 29c50: 4c6f6f6b 41686561 64000000 360a0223 LookAhead...6..# 29c60: 0108506f 73745661 6c696400 0000168a ..PostValid..... 29c70: 02230500 09706f6f 6c5f6861 6e646c65 .#...pool_handle 29c80: 5f740000 00041306 00003653 01030000 _t........6S.... 29c90: 36660400 02010300 00367304 00140400 6f.......6s..... 29ca0: 0036f10e 504f4f4c 5f49445f 4854435f .6..POOL_ID_HTC_ 29cb0: 434f4e54 524f4c00 000e504f 4f4c5f49 CONTROL...POOL_I 29cc0: 445f574d 495f5356 435f434d 445f5245 D_WMI_SVC_CMD_RE 29cd0: 504c5900 010e504f 4f4c5f49 445f574d PLY...POOL_ID_WM 29ce0: 495f5356 435f4556 454e5400 020e504f I_SVC_EVENT...PO 29cf0: 4f4c5f49 445f574c 414e5f52 585f4255 OL_ID_WLAN_RX_BU 29d00: 4600030e 504f4f4c 5f49445f 4d415800 F...POOL_ID_MAX. 29d10: 0a000942 55465f50 4f4f4c5f 49440000 ...BUF_POOL_ID.. 29d20: 00367c02 01030000 37020400 06000026 .6|.....7......& 29d30: 4f010300 00370b04 00060000 264f0103 O....7......&O.. 29d40: 00003718 04000201 03000037 25040007 ..7........7%... 29d50: 6275665f 706f6f6c 5f617069 001c0000 buf_pool_api.... 29d60: 37c7085f 696e6974 00000036 6c022300 7.._init...6l.#. 29d70: 085f7368 7574646f 776e0000 00367502 ._shutdown...6u. 29d80: 2304085f 63726561 74655f70 6f6f6c00 #.._create_pool. 29d90: 00003704 02230808 5f616c6c 6f635f62 ..7..#.._alloc_b 29da0: 75660000 00371102 230c085f 616c6c6f uf...7..#.._allo 29db0: 635f6275 665f616c 69676e00 0000371e c_buf_align...7. 29dc0: 02231008 5f667265 655f6275 66000000 .#.._free_buf... 29dd0: 37270223 14087052 65736572 76656400 7'.#..pReserved. 29de0: 00000413 02231800 075f4854 435f5345 .....#..._HTC_SE 29df0: 52564943 45001c00 0038a608 704e6578 RVICE....8..pNex 29e00: 74000000 38a60223 00085072 6f636573 t...8..#..Proces 29e10: 73526563 764d7367 00000039 5b022304 sRecvMsg...9[.#. 29e20: 0850726f 63657373 53656e64 42756666 .ProcessSendBuff 29e30: 6572436f 6d706c65 74650000 00396402 erComplete...9d. 29e40: 23080850 726f6365 7373436f 6e6e6563 #..ProcessConnec 29e50: 74000000 39780223 0c085365 72766963 t...9x.#..Servic 29e60: 65494400 000012f8 02231008 53657276 eID......#..Serv 29e70: 69636546 6c616773 00000012 f8022312 iceFlags......#. 29e80: 084d6178 5376634d 73675369 7a650000 .MaxSvcMsgSize.. 29e90: 0012f802 23140854 7261696c 65725370 ....#..TrailerSp 29ea0: 63436865 636b4c69 6d697400 000012f8 cCheckLimit..... 29eb0: 02231608 53657276 69636543 74780000 .#..ServiceCtx.. 29ec0: 00041302 23180003 000037c7 04001404 ....#.....7..... 29ed0: 00003944 19454e44 504f494e 545f554e ..9D.ENDPOINT_UN 29ee0: 55534544 00ffffff ff0e454e 44504f49 USED......ENDPOI 29ef0: 4e543000 000e454e 44504f49 4e543100 NT0...ENDPOINT1. 29f00: 010e454e 44504f49 4e543200 020e454e ..ENDPOINT2...EN 29f10: 44504f49 4e543300 030e454e 44504f49 DPOINT3...ENDPOI 29f20: 4e543400 040e454e 44504f49 4e543500 NT4...ENDPOINT5. 29f30: 050e454e 44504f49 4e543600 060e454e ..ENDPOINT6...EN 29f40: 44504f49 4e543700 070e454e 44504f49 DPOINT7...ENDPOI 29f50: 4e543800 080e454e 44504f49 4e545f4d NT8...ENDPOINT_M 29f60: 41580016 00094854 435f454e 44504f49 AX....HTC_ENDPOI 29f70: 4e545f49 44000000 38ad0201 03000039 NT_ID...8......9 29f80: 59040002 01030000 39620400 03000001 Y.......9b...... 29f90: 1e040006 000012e4 01030000 39720400 ............9r.. 29fa0: 03000037 c7040007 5f485443 5f434f4e ...7...._HTC_CON 29fb0: 46494700 14000039 f7084372 65646974 FIG....9..Credit 29fc0: 53697a65 00000001 1e022300 08437265 Size......#..Cre 29fd0: 6469744e 756d6265 72000000 011e0223 ditNumber......# 29fe0: 04084f53 48616e64 6c650000 001a4e02 ..OSHandle....N. 29ff0: 23080848 49464861 6e646c65 00000028 #..HIFHandle...( 2a000: b702230c 08506f6f 6c48616e 646c6500 ..#..PoolHandle. 2a010: 00003653 02231000 075f4854 435f4255 ..6S.#..._HTC_BU 2a020: 465f434f 4e544558 54000200 003a3308 F_CONTEXT....:3. 2a030: 656e645f 706f696e 74000000 12e40223 end_point......# 2a040: 00086874 635f666c 61677300 000012e4 ..htc_flags..... 2a050: 02230100 09687463 5f68616e 646c655f .#...htc_handle_ 2a060: 74000000 04130948 54435f53 45545550 t......HTC_SETUP 2a070: 5f434f4d 504c4554 455f4342 00000001 _COMPLETE_CB.... 2a080: 17094854 435f434f 4e464947 00000039 ..HTC_CONFIG...9 2a090: 86030000 3a600400 0600003a 33010300 ....:`.....:3... 2a0a0: 003a7704 00020103 00003a84 04000948 .:w.......:....H 2a0b0: 54435f53 45525649 43450000 0037c703 TC_SERVICE...7.. 2a0c0: 00003a8d 04000201 0300003a a5040002 ..:........:.... 2a0d0: 01030000 3aae0400 02010300 003ab704 ....:........:.. 2a0e0: 00060000 011e0103 00003ac0 04000768 ..........:....h 2a0f0: 74635f61 70697300 3400003c 3d085f48 tc_apis.4..<=._H 2a100: 54435f49 6e697400 00003a7d 02230008 TC_Init...:}.#.. 2a110: 5f485443 5f536875 74646f77 6e000000 _HTC_Shutdown... 2a120: 3a860223 04085f48 54435f52 65676973 :..#.._HTC_Regis 2a130: 74657253 65727669 63650000 003aa702 terService...:.. 2a140: 2308085f 4854435f 52656164 79000000 #.._HTC_Ready... 2a150: 3a860223 0c085f48 54435f52 65747572 :..#.._HTC_Retur 2a160: 6e427566 66657273 0000003a b0022310 nBuffers...:..#. 2a170: 085f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 2a180: 6572734c 69737400 00003ab9 02231408 ersList...:..#.. 2a190: 5f485443 5f53656e 644d7367 0000003a _HTC_SendMsg...: 2a1a0: b0022318 085f4854 435f4765 74526573 ..#.._HTC_GetRes 2a1b0: 65727665 64486561 64726f6f 6d000000 ervedHeadroom... 2a1c0: 3ac60223 1c085f48 54435f4d 73675265 :..#.._HTC_MsgRe 2a1d0: 63764861 6e646c65 72000000 28640223 cvHandler...(d.# 2a1e0: 20085f48 54435f53 656e6444 6f6e6548 ._HTC_SendDoneH 2a1f0: 616e646c 65720000 00285b02 2324085f andler...([.#$._ 2a200: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr 2a210: 6f636573 734d7367 00000039 5b022328 ocessMsg...9[.#( 2a220: 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 2a230: 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl 2a240: 65746500 00003964 02232c08 70526573 ete...9d.#,.pRes 2a250: 65727665 64000000 04130223 30000768 erved......#0..h 2a260: 6f73745f 6170705f 61726561 5f730004 ost_app_area_s.. 2a270: 00003c6d 08776d69 5f70726f 746f636f ...# 2b130: 04000473 686f7274 20756e73 69676e65 ...short unsigne 2b140: 6420696e 74000702 0975696e 7431365f d int....uint16_ 2b150: 74000000 017c046c 6f6e6720 756e7369 t....|.long unsi 2b160: 676e6564 20696e74 00070409 75696e74 gned int....uint 2b170: 33325f74 00000001 a0077561 72745f66 32_t......uart_f 2b180: 69666f00 08000002 0e087374 6172745f ifo.......start_ 2b190: 696e6465 78000000 01920223 0008656e index......#..en 2b1a0: 645f696e 64657800 00000192 02230208 d_index......#.. 2b1b0: 6f766572 72756e5f 65727200 000001b5 overrun_err..... 2b1c0: 02230400 07756172 745f6170 69002000 .#...uart_api. . 2b1d0: 0002c708 5f756172 745f696e 69740000 ...._uart_init.. 2b1e0: 00031e02 2300085f 75617274 5f636861 ....#.._uart_cha 2b1f0: 725f7075 74000000 03450223 04085f75 r_put....E.#.._u 2b200: 6172745f 63686172 5f676574 00000003 art_char_get.... 2b210: 59022308 085f7561 72745f73 74725f6f Y.#.._uart_str_o 2b220: 75740000 00036202 230c085f 75617274 ut....b.#.._uart 2b230: 5f746173 6b000000 01110223 10085f75 _task......#.._u 2b240: 6172745f 73746174 75730000 00031e02 art_status...... 2b250: 2314085f 75617274 5f636f6e 66696700 #.._uart_config. 2b260: 0000036b 02231808 5f756172 745f6877 ...k.#.._uart_hw 2b270: 696e6974 00000003 7402231c 00030000 init....t.#..... 2b280: 020e0400 07756172 745f626c 6b001000 .....uart_blk... 2b290: 00031808 64656275 675f6d6f 64650000 ....debug_mode.. 2b2a0: 00019202 23000862 61756400 00000192 ....#..baud..... 2b2b0: 02230208 5f756172 74000000 02c70223 .#.._uart......# 2b2c0: 04085f74 78000000 01c30223 08000600 .._tx......#.... 2b2d0: 0001b501 03000003 18040004 756e7369 ............unsi 2b2e0: 676e6564 20636861 72000701 0975696e gned char....uin 2b2f0: 74385f74 00000003 25020103 00000343 t8_t....%......C 2b300: 04000300 00033604 00060000 01920103 ......6......... 2b310: 00000353 04000201 03000003 60040002 ...S........`... 2b320: 01030000 03690400 02010300 00037204 .....i........r. 2b330: 00030000 011f0400 06000001 18010300 ................ 2b340: 00038204 00074442 5f434f4d 4d414e44 ......DB_COMMAND 2b350: 5f535452 55435400 0c000003 da08636d _STRUCT.......cm 2b360: 645f7374 72000000 037b0223 00086865 d_str....{.#..he 2b370: 6c705f73 74720000 00037b02 23040863 lp_str....{.#..c 2b380: 6d645f66 756e6300 00000388 02230800 md_func......#.. 2b390: 07646267 5f617069 00080000 040d085f .dbg_api......._ 2b3a0: 6462675f 696e6974 00000001 11022300 dbg_init......#. 2b3b0: 085f6462 675f7461 736b0000 00011102 ._dbg_task...... 2b3c0: 2304000a 04000475 6e736967 6e656420 #......unsigned 2b3d0: 696e7400 07040600 00040d01 03000004 int............. 2b3e0: 2004000b 0b030000 042e0400 06000004 ............... 2b3f0: 0d010300 00043604 00060000 01180103 ......6......... 2b400: 00000443 0400076d 656d5f61 70690014 ...C...mem_api.. 2b410: 000004b2 085f6d65 6d5f696e 69740000 ....._mem_init.. 2b420: 00011102 2300085f 6d656d73 65740000 ....#.._memset.. 2b430: 00042602 2304085f 6d656d63 70790000 ..&.#.._memcpy.. 2b440: 00043c02 2308085f 6d656d6d 6f766500 ..<.#.._memmove. 2b450: 0000043c 02230c08 5f6d656d 636d7000 ...<.#.._memcmp. 2b460: 00000449 02231000 0c726567 69737465 ...I.#...registe 2b470: 725f6475 6d705f73 00000103 000004b2 r_dump_s........ 2b480: 04000201 03000004 cc040002 01030000 ................ 2b490: 04d50400 06000001 18010300 0004de04 ................ 2b4a0: 000d686f 73746966 5f730004 0000053a ..hostif_s.....: 2b4b0: 0e484946 5f555342 00000e48 49465f50 .HIF_USB...HIF_P 2b4c0: 43494500 010e4849 465f474d 41430002 CIE...HIF_GMAC.. 2b4d0: 0e484946 5f504349 00030e48 49465f4e .HIF_PCI...HIF_N 2b4e0: 554d0004 0e484946 5f4e4f4e 45000500 UM...HIF_NONE... 2b4f0: 09415f48 4f535449 46000000 04eb0600 .A_HOSTIF....... 2b500: 00053a01 03000005 48040006 00000336 ..:.....H......6 2b510: 01030000 05550400 06000001 92010300 .....U.......... 2b520: 00056204 00076d69 73635f61 70690024 ..b...misc_api.$ 2b530: 00000652 085f7379 7374656d 5f726573 ...R._system_res 2b540: 65740000 00011102 2300085f 6d61635f et......#.._mac_ 2b550: 72657365 74000000 01110223 04085f61 reset......#.._a 2b560: 73736661 696c0000 0004ce02 2308085f ssfail......#.._ 2b570: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 2b580: 68616e64 6c657200 000004ce 02230c08 handler......#.. 2b590: 5f726570 6f72745f 6661696c 7572655f _report_failure_ 2b5a0: 746f5f68 6f737400 000004d7 02231008 to_host......#.. 2b5b0: 5f746172 6765745f 69645f67 65740000 _target_id_get.. 2b5c0: 0004e402 2314085f 69735f68 6f73745f ....#.._is_host_ 2b5d0: 70726573 656e7400 0000054e 02231808 present....N.#.. 2b5e0: 5f6b6268 69740000 00055b02 231c085f _kbhit....[.#.._ 2b5f0: 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. 2b600: 00000568 02232000 06000003 7b010300 ...h.# .....{... 2b610: 00065204 00060000 037b0103 0000065f ..R......{....._ 2b620: 04000600 00011801 03000006 6c040006 ............l... 2b630: 00000118 01030000 06790400 06000001 .........y...... 2b640: 18010300 00068604 00077374 72696e67 ..........string 2b650: 5f617069 00180000 070c085f 73747269 _api......._stri 2b660: 6e675f69 6e697400 00000111 02230008 ng_init......#.. 2b670: 5f737472 63707900 00000658 02230408 _strcpy....X.#.. 2b680: 5f737472 6e637079 00000006 65022308 _strncpy....e.#. 2b690: 085f7374 726c656e 00000006 7202230c ._strlen....r.#. 2b6a0: 085f7374 72636d70 00000006 7f022310 ._strcmp......#. 2b6b0: 085f7374 726e636d 70000000 068c0223 ._strncmp......# 2b6c0: 14000f00 00041014 00000719 10040009 ................ 2b6d0: 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. 2b6e0: 00070c09 415f7469 6d65725f 74000000 ....A_timer_t... 2b6f0: 07190300 00072d04 00020103 00000743 ......-........C 2b700: 04000201 03000007 4c040009 415f4841 ........L...A_HA 2b710: 4e444c45 00000004 10020109 415f5449 NDLE........A_TI 2b720: 4d45525f 46554e43 00000007 63030000 MER_FUNC....c... 2b730: 07650400 02010300 00077e04 00077469 .e........~...ti 2b740: 6d65725f 61706900 14000007 fd085f74 mer_api......._t 2b750: 696d6572 5f696e69 74000000 01110223 imer_init......# 2b760: 00085f74 696d6572 5f61726d 00000007 .._timer_arm.... 2b770: 45022304 085f7469 6d65725f 64697361 E.#.._timer_disa 2b780: 726d0000 00074e02 2308085f 74696d65 rm....N.#.._time 2b790: 725f7365 74666e00 00000780 02230c08 r_setfn......#.. 2b7a0: 5f74696d 65725f72 756e0000 00011102 _timer_run...... 2b7b0: 23100009 424f4f4c 45414e00 00000192 #...BOOLEAN..... 2b7c0: 06000007 fd010300 00080a04 00060000 ................ 2b7d0: 07fd0103 00000817 04000600 0007fd01 ................ 2b7e0: 03000008 24040007 726f6d70 5f617069 ....$...romp_api 2b7f0: 00100000 0896085f 726f6d70 5f696e69 ......._romp_ini 2b800: 74000000 01110223 00085f72 6f6d705f t......#.._romp_ 2b810: 646f776e 6c6f6164 00000008 10022304 download......#. 2b820: 085f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. 2b830: 00081d02 2308085f 726f6d70 5f646563 ....#.._romp_dec 2b840: 6f646500 0000082a 02230c00 07726f6d ode....*.#...rom 2b850: 5f706174 63685f73 74001000 0008f208 _patch_st....... 2b860: 63726331 36000000 01920223 00086c65 crc16......#..le 2b870: 6e000000 01920223 02086c64 5f616464 n......#..ld_add 2b880: 72000000 01b50223 04086675 6e5f6164 r......#..fun_ad 2b890: 64720000 0001b502 23080870 66756e00 dr......#..pfun. 2b8a0: 0000034c 02230c00 07656570 5f726564 ...L.#...eep_red 2b8b0: 69725f61 64647200 04000009 24086f66 ir_addr.....$.of 2b8c0: 66736574 00000001 92022300 0873697a fset......#..siz 2b8d0: 65000000 01920223 02000941 5f55494e e......#...A_UIN 2b8e0: 54333200 00000410 06000004 0d010300 T32............. 2b8f0: 00093204 0007616c 6c6f6372 616d5f61 ..2...allocram_a 2b900: 7069000c 000009a3 08636d6e 6f735f61 pi.......cmnos_a 2b910: 6c6c6f63 72616d5f 696e6974 00000009 llocram_init.... 2b920: 38022300 08636d6e 6f735f61 6c6c6f63 8.#..cmnos_alloc 2b930: 72616d00 00000938 02230408 636d6e6f ram....8.#..cmno 2b940: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug 2b950: 00000001 11022308 00020103 000009a3 ......#......... 2b960: 04000941 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN 2b970: 43000000 09a5075f 7461736b 6c657400 C......_tasklet. 2b980: 1000000a 04086675 6e630000 0009ac02 ......func...... 2b990: 23000861 72670000 00040d02 23040873 #..arg......#..s 2b9a0: 74617465 00000001 18022308 086e6578 tate......#..nex 2b9b0: 74000000 0a040223 0c000300 0009c004 t......#........ 2b9c0: 00030000 09c00400 09415f74 61736b6c .........A_taskl 2b9d0: 65745f74 00000009 c0030000 0a120400 et_t............ 2b9e0: 02010300 000a2a04 00020103 00000a33 ......*........3 2b9f0: 04000774 61736b6c 65745f61 70690014 ...tasklet_api.. 2ba00: 00000ac8 085f7461 736b6c65 745f696e ....._tasklet_in 2ba10: 69740000 00011102 2300085f 7461736b it......#.._task 2ba20: 6c65745f 696e6974 5f746173 6b000000 let_init_task... 2ba30: 0a2c0223 04085f74 61736b6c 65745f64 .,.#.._tasklet_d 2ba40: 69736162 6c650000 000a3502 2308085f isable....5.#.._ 2ba50: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule 2ba60: 0000000a 3502230c 085f7461 736b6c65 ....5.#.._taskle 2ba70: 745f7275 6e000000 01110223 10000201 t_run......#.... 2ba80: 0300000a c8040006 00000924 01030000 ...........$.... 2ba90: 0ad10400 02010300 000ade04 0007636c ..............cl 2baa0: 6f636b5f 61706900 2400000b c0085f63 ock_api.$....._c 2bab0: 6c6f636b 5f696e69 74000000 0aca0223 lock_init......# 2bac0: 00085f63 6c6f636b 72656773 5f696e69 .._clockregs_ini 2bad0: 74000000 01110223 04085f75 6172745f t......#.._uart_ 2bae0: 66726571 75656e63 79000000 0ad70223 frequency......# 2baf0: 08085f64 656c6179 5f757300 00000ae0 .._delay_us..... 2bb00: 02230c08 5f776c61 6e5f6261 6e645f73 .#.._wlan_band_s 2bb10: 65740000 000ae002 2310085f 72656663 et......#.._refc 2bb20: 6c6b5f73 70656564 5f676574 0000000a lk_speed_get.... 2bb30: d7022314 085f6d69 6c6c6973 65636f6e ..#.._millisecon 2bb40: 64730000 000ad702 2318085f 73797363 ds......#.._sysc 2bb50: 6c6b5f63 68616e67 65000000 01110223 lk_change......# 2bb60: 1c085f63 6c6f636b 5f746963 6b000000 .._clock_tick... 2bb70: 01110223 20000600 0001b501 0300000b ...# ........... 2bb80: c0040009 415f6f6c 645f696e 74725f74 ....A_old_intr_t 2bb90: 00000001 b5060000 0bcd0103 00000bdf ................ 2bba0: 04000201 0300000b ec040002 01030000 ................ 2bbb0: 0bf50400 06000001 b5010300 000bfe04 ................ 2bbc0: 0009415f 6973725f 74000000 0c040201 ..A_isr_t....... 2bbd0: 0300000c 18040006 00000410 01030000 ................ 2bbe0: 0c210400 02010300 000c2e04 0007696e .!............in 2bbf0: 74725f61 7069002c 00000d50 085f696e tr_api.,...P._in 2bc00: 74725f69 6e697400 00000111 02230008 tr_init......#.. 2bc10: 5f696e74 725f696e 766f6b65 5f697372 _intr_invoke_isr 2bc20: 0000000b c6022304 085f696e 74725f64 ......#.._intr_d 2bc30: 69736162 6c650000 000be502 2308085f isable......#.._ 2bc40: 696e7472 5f726573 746f7265 0000000b intr_restore.... 2bc50: ee02230c 085f696e 74725f6d 61736b5f ..#.._intr_mask_ 2bc60: 696e756d 0000000b f7022310 085f696e inum......#.._in 2bc70: 74725f75 6e6d6173 6b5f696e 756d0000 tr_unmask_inum.. 2bc80: 000bf702 2314085f 696e7472 5f617474 ....#.._intr_att 2bc90: 6163685f 69737200 00000c1a 02231808 ach_isr......#.. 2bca0: 5f676574 5f696e74 72656e61 626c6500 _get_intrenable. 2bcb0: 00000c27 02231c08 5f736574 5f696e74 ...'.#.._set_int 2bcc0: 72656e61 626c6500 00000c30 02232008 renable....0.# . 2bcd0: 5f676574 5f696e74 7270656e 64696e67 _get_intrpending 2bce0: 0000000c 27022324 085f756e 626c6f63 ....'.#$._unbloc 2bcf0: 6b5f616c 6c5f696e 74726c76 6c000000 k_all_intrlvl... 2bd00: 01110223 28001104 00000d76 0874696d ...#(......v.tim 2bd10: 656f7574 00000001 b5022300 08616374 eout......#..act 2bd20: 696f6e00 000001b5 02230000 12080000 ion......#...... 2bd30: 0d910863 6d640000 0001b502 23001300 ...cmd......#... 2bd40: 000d5002 23040009 545f5744 545f434d ..P.#...T_WDT_CM 2bd50: 44000000 0d760201 0300000d a0040014 D....v.......... 2bd60: 0400000d f60e454e 554d5f57 44545f42 ......ENUM_WDT_B 2bd70: 4f4f5400 010e454e 554d5f43 4f4c445f OOT...ENUM_COLD_ 2bd80: 424f4f54 00020e45 4e554d5f 53555350 BOOT...ENUM_SUSP 2bd90: 5f424f4f 5400030e 454e554d 5f554e4b _BOOT...ENUM_UNK 2bda0: 4e4f574e 5f424f4f 54000400 09545f42 NOWN_BOOT....T_B 2bdb0: 4f4f545f 54595045 0000000d a9060000 OOT_TYPE........ 2bdc0: 0df60103 00000e07 04000777 64745f61 ...........wdt_a 2bdd0: 7069001c 00000eab 085f7764 745f696e pi......._wdt_in 2bde0: 69740000 00011102 2300085f 7764745f it......#.._wdt_ 2bdf0: 656e6162 6c650000 00011102 2304085f enable......#.._ 2be00: 7764745f 64697361 626c6500 00000111 wdt_disable..... 2be10: 02230808 5f776474 5f736574 0000000d .#.._wdt_set.... 2be20: a202230c 085f7764 745f7461 736b0000 ..#.._wdt_task.. 2be30: 00011102 2310085f 7764745f 72657365 ....#.._wdt_rese 2be40: 74000000 01110223 14085f77 64745f6c t......#.._wdt_l 2be50: 6173745f 626f6f74 0000000e 0d022318 ast_boot......#. 2be60: 00140400 000f120e 5245545f 53554343 ........RET_SUCC 2be70: 45535300 000e5245 545f4e4f 545f494e ESS...RET_NOT_IN 2be80: 49540001 0e524554 5f4e4f54 5f455849 IT...RET_NOT_EXI 2be90: 53540002 0e524554 5f454550 5f434f52 ST...RET_EEP_COR 2bea0: 52555054 00030e52 45545f45 45505f4f RUPT...RET_EEP_O 2beb0: 56455246 4c4f5700 040e5245 545f554e VERFLOW...RET_UN 2bec0: 4b4e4f57 4e000500 09545f45 45505f52 KNOWN....T_EEP_R 2bed0: 45540000 000eab03 00000192 04000600 ET.............. 2bee0: 000f1201 0300000f 28040006 00000f12 ........(....... 2bef0: 01030000 0f350400 07656570 5f617069 .....5...eep_api 2bf00: 00100000 0f9e085f 6565705f 696e6974 ......._eep_init 2bf10: 00000001 11022300 085f6565 705f7265 ......#.._eep_re 2bf20: 61640000 000f2e02 2304085f 6565705f ad......#.._eep_ 2bf30: 77726974 65000000 0f2e0223 08085f65 write......#.._e 2bf40: 65705f69 735f6578 69737400 00000f3b ep_is_exist....; 2bf50: 02230c00 07757362 5f617069 00700000 .#...usb_api.p.. 2bf60: 124b085f 7573625f 696e6974 00000001 .K._usb_init.... 2bf70: 11022300 085f7573 625f726f 6d5f7461 ..#.._usb_rom_ta 2bf80: 736b0000 00011102 2304085f 7573625f sk......#.._usb_ 2bf90: 66775f74 61736b00 00000111 02230808 fw_task......#.. 2bfa0: 5f757362 5f696e69 745f7068 79000000 _usb_init_phy... 2bfb0: 01110223 0c085f75 73625f65 70305f73 ...#.._usb_ep0_s 2bfc0: 65747570 00000001 11022310 085f7573 etup......#.._us 2bfd0: 625f6570 305f7478 00000001 11022314 b_ep0_tx......#. 2bfe0: 085f7573 625f6570 305f7278 00000001 ._usb_ep0_rx.... 2bff0: 11022318 085f7573 625f6765 745f696e ..#.._usb_get_in 2c000: 74657266 61636500 0000081d 02231c08 terface......#.. 2c010: 5f757362 5f736574 5f696e74 65726661 _usb_set_interfa 2c020: 63650000 00081d02 2320085f 7573625f ce......# ._usb_ 2c030: 6765745f 636f6e66 69677572 6174696f get_configuratio 2c040: 6e000000 081d0223 24085f75 73625f73 n......#$._usb_s 2c050: 65745f63 6f6e6669 67757261 74696f6e et_configuration 2c060: 00000008 1d022328 085f7573 625f7374 ......#(._usb_st 2c070: 616e6461 72645f63 6d640000 00081d02 andard_cmd...... 2c080: 232c085f 7573625f 76656e64 6f725f63 #,._usb_vendor_c 2c090: 6d640000 00011102 2330085f 7573625f md......#0._usb_ 2c0a0: 706f7765 725f6f66 66000000 01110223 power_off......# 2c0b0: 34085f75 73625f72 65736574 5f666966 4._usb_reset_fif 2c0c0: 6f000000 01110223 38085f75 73625f67 o......#8._usb_g 2c0d0: 656e5f77 64740000 00011102 233c085f en_wdt......#<._ 2c0e0: 7573625f 6a756d70 5f626f6f 74000000 usb_jump_boot... 2c0f0: 01110223 40085f75 73625f63 6c725f66 ...#@._usb_clr_f 2c100: 65617475 72650000 00081d02 2344085f eature......#D._ 2c110: 7573625f 7365745f 66656174 75726500 usb_set_feature. 2c120: 0000081d 02234808 5f757362 5f736574 .....#H._usb_set 2c130: 5f616464 72657373 00000008 1d02234c _address......#L 2c140: 085f7573 625f6765 745f6465 73637269 ._usb_get_descri 2c150: 70746f72 00000008 1d022350 085f7573 ptor......#P._us 2c160: 625f6765 745f7374 61747573 00000008 b_get_status.... 2c170: 1d022354 085f7573 625f7365 7475705f ..#T._usb_setup_ 2c180: 64657363 00000001 11022358 085f7573 desc......#X._us 2c190: 625f7265 675f6f75 74000000 01110223 b_reg_out......# 2c1a0: 5c085f75 73625f73 74617475 735f696e \._usb_status_in 2c1b0: 00000001 11022360 085f7573 625f6570 ......#`._usb_ep 2c1c0: 305f7478 5f646174 61000000 01110223 0_tx_data......# 2c1d0: 64085f75 73625f65 70305f72 785f6461 d._usb_ep0_rx_da 2c1e0: 74610000 00011102 2368085f 7573625f ta......#h._usb_ 2c1f0: 636c6b5f 696e6974 00000001 1102236c clk_init......#l 2c200: 00075f56 44455343 00240000 12d7086e .._VDESC.$.....n 2c210: 6578745f 64657363 00000012 d7022300 ext_desc......#. 2c220: 08627566 5f616464 72000000 12eb0223 .buf_addr......# 2c230: 04086275 665f7369 7a650000 0012f202 ..buf_size...... 2c240: 23080864 6174615f 6f666673 65740000 #..data_offset.. 2c250: 0012f202 230a0864 6174615f 73697a65 ....#..data_size 2c260: 00000012 f202230c 08636f6e 74726f6c ......#..control 2c270: 00000012 f202230e 0868775f 64657363 ......#..hw_desc 2c280: 5f627566 00000013 00022310 00030000 _buf......#..... 2c290: 124b0400 09415f55 494e5438 00000003 .K...A_UINT8.... 2c2a0: 25030000 12de0400 09415f55 494e5431 %........A_UINT1 2c2b0: 36000000 017c0f00 0012de14 0000130d 6....|.......... 2c2c0: 10130003 0000124b 04000956 44455343 .......K...VDESC 2c2d0: 00000012 4b030000 13140400 06000013 ....K........... 2c2e0: 1f010300 00132604 00060000 12eb0103 ......&......... 2c2f0: 00001333 04000201 03000013 40040007 ...3........@... 2c300: 76646573 635f6170 69001400 0013b808 vdesc_api....... 2c310: 5f696e69 74000000 0ae00223 00085f61 _init......#.._a 2c320: 6c6c6f63 5f766465 73630000 00132c02 lloc_vdesc....,. 2c330: 2304085f 6765745f 68775f64 65736300 #.._get_hw_desc. 2c340: 00001339 02230808 5f737761 705f7664 ...9.#.._swap_vd 2c350: 65736300 00001342 02230c08 70526573 esc....B.#..pRes 2c360: 65727665 64000000 040d0223 1000075f erved......#..._ 2c370: 56425546 00200000 14180864 6573635f VBUF. .....desc_ 2c380: 6c697374 00000013 1f022300 086e6578 list......#..nex 2c390: 745f6275 66000000 14180223 04086275 t_buf......#..bu 2c3a0: 665f6c65 6e677468 00000012 f2022308 f_length......#. 2c3b0: 08726573 65727665 64000000 141f0223 .reserved......# 2c3c0: 0a086374 78000000 13000223 0c000300 ..ctx......#.... 2c3d0: 0013b804 000f0000 12de0200 00142c10 ..............,. 2c3e0: 01000300 0013b804 00095642 55460000 ..........VBUF.. 2c3f0: 0013b803 00001433 04000600 00143d01 .......3......=. 2c400: 03000014 44040006 0000143d 01030000 ....D......=.... 2c410: 14510400 02010300 00145e04 00077662 .Q........^...vb 2c420: 75665f61 70690014 000014dc 085f696e uf_api......._in 2c430: 69740000 000ae002 2300085f 616c6c6f it......#.._allo 2c440: 635f7662 75660000 00144a02 2304085f c_vbuf....J.#.._ 2c450: 616c6c6f 635f7662 75665f77 6974685f alloc_vbuf_with_ 2c460: 73697a65 00000014 57022308 085f6672 size....W.#.._fr 2c470: 65655f76 62756600 00001460 02230c08 ee_vbuf....`.#.. 2c480: 70526573 65727665 64000000 040d0223 pReserved......# 2c490: 1000075f 5f616466 5f646576 69636500 ...__adf_device. 2c4a0: 04000014 fe086475 6d6d7900 00000118 ......dummy..... 2c4b0: 02230000 03000009 24040007 5f5f6164 .#......$...__ad 2c4c0: 665f646d 615f6d61 70000c00 00154508 f_dma_map.....E. 2c4d0: 62756600 0000143d 02230008 64735f61 buf....=.#..ds_a 2c4e0: 64647200 000014fe 02230408 64735f6c ddr......#..ds_l 2c4f0: 656e0000 0012f202 23080012 0c000015 en......#....... 2c500: 7f085f5f 76615f73 746b0000 00037b02 ..__va_stk....{. 2c510: 2300085f 5f76615f 72656700 0000037b #..__va_reg....{ 2c520: 02230408 5f5f7661 5f6e6478 00000001 .#..__va_ndx.... 2c530: 18022308 00095f5f 6164665f 6f735f64 ..#...__adf_os_d 2c540: 6d615f61 6464725f 74000000 09240961 ma_addr_t....$.a 2c550: 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t 2c560: 00000015 7f095f5f 6164665f 6f735f64 ......__adf_os_d 2c570: 6d615f73 697a655f 74000000 09240961 ma_size_t....$.a 2c580: 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t 2c590: 00000015 af075f5f 646d615f 73656773 ......__dma_segs 2c5a0: 00080000 160b0870 61646472 00000015 .......paddr.... 2c5b0: 98022300 086c656e 00000015 c8022304 ..#..len......#. 2c5c0: 00095f5f 615f7569 6e743332 5f740000 ..__a_uint32_t.. 2c5d0: 00092409 615f7569 6e743332 5f740000 ..$.a_uint32_t.. 2c5e0: 00160b0f 000015df 08000016 3a100000 ............:... 2c5f0: 07616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i 2c600: 6e666f00 0c000016 73086e73 65677300 nfo.....s.nsegs. 2c610: 0000161d 02230008 646d615f 73656773 .....#..dma_segs 2c620: 00000016 2d022304 00095f5f 615f7569 ....-.#...__a_ui 2c630: 6e74385f 74000000 12de0961 5f75696e nt8_t......a_uin 2c640: 74385f74 00000016 73030000 16840400 t8_t....s....... 2c650: 075f5f73 675f7365 67730008 000016c5 .__sg_segs...... 2c660: 08766164 64720000 00169302 2300086c .vaddr......#..l 2c670: 656e0000 00161d02 2304000f 0000169a en......#....... 2c680: 20000016 d2100300 07616466 5f6f735f ........adf_os_ 2c690: 73676c69 73740024 00001705 086e7365 sglist.$.....nse 2c6a0: 67730000 00161d02 23000873 675f7365 gs......#..sg_se 2c6b0: 67730000 0016c502 23040012 10000017 gs......#....... 2c6c0: 4e087665 6e646f72 00000016 1d022300 N.vendor......#. 2c6d0: 08646576 69636500 0000161d 02230408 .device......#.. 2c6e0: 73756276 656e646f 72000000 161d0223 subvendor......# 2c6f0: 08087375 62646576 69636500 0000161d ..subdevice..... 2c700: 02230c00 046c6f6e 67206c6f 6e672075 .#...long long u 2c710: 6e736967 6e656420 696e7400 07080941 nsigned int....A 2c720: 5f55494e 54363400 0000174e 095f5f61 _UINT64....N.__a 2c730: 5f75696e 7436345f 74000000 17680961 _uint64_t....h.a 2c740: 5f75696e 7436345f 74000000 17761404 _uint64_t....v.. 2c750: 000017d4 0e414446 5f4f535f 5245534f .....ADF_OS_RESO 2c760: 55524345 5f545950 455f4d45 4d00000e URCE_TYPE_MEM... 2c770: 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ 2c780: 54595045 5f494f00 01000961 64665f6f TYPE_IO....adf_o 2c790: 735f7265 736f7572 63655f74 7970655f s_resource_type_ 2c7a0: 74000000 17981218 0000181e 08737461 t............sta 2c7b0: 72740000 00178802 23000865 6e640000 rt......#..end.. 2c7c0: 00178802 23080874 79706500 000017d4 ....#..type..... 2c7d0: 02231000 09616466 5f6f735f 7063695f .#...adf_os_pci_ 2c7e0: 6465765f 69645f74 00000017 05030000 dev_id_t........ 2c7f0: 181e0400 11040000 185d0870 63690000 .........].pci.. 2c800: 00183702 23000872 61770000 00040d02 ..7.#..raw...... 2c810: 23000011 10000018 7c087063 69000000 #.......|.pci... 2c820: 181e0223 00087261 77000000 040d0223 ...#..raw......# 2c830: 00000961 64665f64 72765f68 616e646c ...adf_drv_handl 2c840: 655f7400 0000040d 09616466 5f6f735f e_t......adf_os_ 2c850: 7265736f 75726365 5f740000 0017f003 resource_t...... 2c860: 00001892 04000961 64665f6f 735f6174 .......adf_os_at 2c870: 74616368 5f646174 615f7400 0000185d tach_data_t....] 2c880: 03000018 b0040003 000014dc 0400095f ..............._ 2c890: 5f616466 5f6f735f 64657669 63655f74 _adf_os_device_t 2c8a0: 00000018 d1096164 665f6f73 5f646576 ......adf_os_dev 2c8b0: 6963655f 74000000 18d80600 00187c01 ice_t.........|. 2c8c0: 03000019 04040002 01030000 19110400 ................ 2c8d0: 09616466 5f6f735f 706d5f74 00000004 .adf_os_pm_t.... 2c8e0: 0d020103 0000192b 04001404 0000196b .......+.......k 2c8f0: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE 2c900: 5f504349 00010e41 44465f4f 535f4255 _PCI...ADF_OS_BU 2c910: 535f5459 50455f47 454e4552 49430002 S_TYPE_GENERIC.. 2c920: 00096164 665f6f73 5f627573 5f747970 ..adf_os_bus_typ 2c930: 655f7400 00001934 09616466 5f6f735f e_t....4.adf_os_ 2c940: 6275735f 7265675f 64617461 5f740000 bus_reg_data_t.. 2c950: 00183e03 00000325 0400075f 6164665f ..>....%..._adf_ 2c960: 6472765f 696e666f 00200000 1a480864 drv_info. ...H.d 2c970: 72765f61 74746163 68000000 190a0223 rv_attach......# 2c980: 00086472 765f6465 74616368 00000019 ..drv_detach.... 2c990: 13022304 08647276 5f737573 70656e64 ..#..drv_suspend 2c9a0: 00000019 2d022308 08647276 5f726573 ....-.#..drv_res 2c9b0: 756d6500 00001913 02230c08 6275735f ume......#..bus_ 2c9c0: 74797065 00000019 6b022310 08627573 type....k.#..bus 2c9d0: 5f646174 61000000 19820223 14086d6f _data......#..mo 2c9e0: 645f6e61 6d650000 00199d02 23180869 d_name......#..i 2c9f0: 666e616d 65000000 199d0223 1c000961 fname......#...a 2ca00: 64665f6f 735f6861 6e646c65 5f740000 df_os_handle_t.. 2ca10: 00040d03 00001673 04000201 0201095f .......s......._ 2ca20: 5f616466 5f6f735f 73697a65 5f740000 _adf_os_size_t.. 2ca30: 00041014 0400001a 970e415f 46414c53 ..........A_FALS 2ca40: 4500000e 415f5452 55450001 0009615f E...A_TRUE....a_ 2ca50: 626f6f6c 5f740000 001a7d03 00001505 bool_t....}..... 2ca60: 0400095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 2ca70: 6d61705f 74000000 1aa50201 0d616466 map_t........adf 2ca80: 5f6f735f 63616368 655f7379 6e630004 _os_cache_sync.. 2ca90: 00001b2f 0e414446 5f53594e 435f5052 .../.ADF_SYNC_PR 2caa0: 45524541 4400000e 4144465f 53594e43 EREAD...ADF_SYNC 2cab0: 5f505245 57524954 4500020e 4144465f _PREWRITE...ADF_ 2cac0: 53594e43 5f504f53 54524541 4400010e SYNC_POSTREAD... 2cad0: 4144465f 53594e43 5f504f53 54575249 ADF_SYNC_POSTWRI 2cae0: 54450003 00096164 665f6f73 5f636163 TE....adf_os_cac 2caf0: 68655f73 796e635f 74000000 1ac60201 he_sync_t....... 2cb00: 09616466 5f6f735f 73697a65 5f740000 .adf_os_size_t.. 2cb10: 001a6806 00001b4a 01096164 665f6f73 ..h....J..adf_os 2cb20: 5f646d61 5f6d6170 5f740000 001aac03 _dma_map_t...... 2cb30: 00001b63 04000600 00040d01 0300001a ...c............ 2cb40: ac040006 0000040d 01020106 00001598 ................ 2cb50: 01020104 73686f72 7420696e 74000502 ....short int... 2cb60: 09415f49 4e543136 0000001b 9d095f5f .A_INT16......__ 2cb70: 615f696e 7431365f 74000000 1baa0961 a_int16_t......a 2cb80: 5f696e74 31365f74 0000001b b7047369 _int16_t......si 2cb90: 676e6564 20636861 72000501 09415f49 gned char....A_I 2cba0: 4e543800 00001bd7 095f5f61 5f696e74 NT8......__a_int 2cbb0: 385f7400 00001be6 09615f69 6e74385f 8_t......a_int8_ 2cbc0: 74000000 1bf2120c 00001c69 08737570 t..........i.sup 2cbd0: 706f7274 65640000 00161d02 23000861 ported......#..a 2cbe0: 64766572 74697a65 64000000 161d0223 dvertized......# 2cbf0: 04087370 65656400 00001bc8 02230808 ..speed......#.. 2cc00: 6475706c 65780000 001c0202 230a0861 duplex......#..a 2cc10: 75746f6e 65670000 00168402 230b000f utoneg......#... 2cc20: 00001684 0600001c 76100500 07616466 ........v....adf 2cc30: 5f6e6574 5f657468 61646472 00060000 _net_ethaddr.... 2cc40: 1c9a0861 64647200 00001c69 02230000 ...addr....i.#.. 2cc50: 095f5f61 5f75696e 7431365f 74000000 .__a_uint16_t... 2cc60: 12f20961 5f75696e 7431365f 74000000 ...a_uint16_t... 2cc70: 1c9a120e 00001cfe 08657468 65725f64 .........ether_d 2cc80: 686f7374 0000001c 69022300 08657468 host....i.#..eth 2cc90: 65725f73 686f7374 0000001c 69022306 er_shost....i.#. 2cca0: 08657468 65725f74 79706500 00001cac .ether_type..... 2ccb0: 02230c00 12140000 1dbf1569 705f7665 .#.........ip_ve 2ccc0: 7273696f 6e000000 16840100 04022300 rsion.........#. 2ccd0: 1569705f 686c0000 00168401 04040223 .ip_hl.........# 2cce0: 00086970 5f746f73 00000016 84022301 ..ip_tos......#. 2ccf0: 0869705f 6c656e00 00001cac 02230208 .ip_len......#.. 2cd00: 69705f69 64000000 1cac0223 04086970 ip_id......#..ip 2cd10: 5f667261 675f6f66 66000000 1cac0223 _frag_off......# 2cd20: 06086970 5f74746c 00000016 84022308 ..ip_ttl......#. 2cd30: 0869705f 70726f74 6f000000 16840223 .ip_proto......# 2cd40: 09086970 5f636865 636b0000 001cac02 ..ip_check...... 2cd50: 230a0869 705f7361 64647200 0000161d #..ip_saddr..... 2cd60: 02230c08 69705f64 61646472 00000016 .#..ip_daddr.... 2cd70: 1d022310 00076164 665f6e65 745f766c ..#...adf_net_vl 2cd80: 616e6864 72000400 001e1108 74706964 anhdr.......tpid 2cd90: 0000001c ac022300 15707269 6f000000 ......#..prio... 2cda0: 16840100 03022302 15636669 00000016 ......#..cfi.... 2cdb0: 84010301 02230215 76696400 00001cac .....#..vid..... 2cdc0: 02040c02 23020007 6164665f 6e65745f ....#...adf_net_ 2cdd0: 76696400 0200001e 42157265 73000000 vid.....B.res... 2cde0: 16840100 04022300 1576616c 0000001c ......#..val.... 2cdf0: ac02040c 02230000 120c0000 1e7e0872 .....#.......~.r 2ce00: 785f6275 6673697a 65000000 161d0223 x_bufsize......# 2ce10: 00087278 5f6e6465 73630000 00161d02 ..rx_ndesc...... 2ce20: 23040874 785f6e64 65736300 0000161d #..tx_ndesc..... 2ce30: 02230800 12080000 1ea40870 6f6c6c65 .#.........polle 2ce40: 64000000 1a970223 0008706f 6c6c5f77 d......#..poll_w 2ce50: 74000000 161d0223 04000f00 00168440 t......#.......@ 2ce60: 00001eb1 103f0012 4600001e d9086966 .....?..F.....if 2ce70: 5f6e616d 65000000 1ea40223 00086465 _name......#..de 2ce80: 765f6164 64720000 001c6902 23400014 v_addr....i.#@.. 2ce90: 0400001f 100e4144 465f4f53 5f444d41 ......ADF_OS_DMA 2cea0: 5f4d4153 4b5f3332 42495400 000e4144 _MASK_32BIT...AD 2ceb0: 465f4f53 5f444d41 5f4d4153 4b5f3634 F_OS_DMA_MASK_64 2cec0: 42495400 01000961 64665f6f 735f646d BIT....adf_os_dm 2ced0: 615f6d61 736b5f74 0000001e d9076164 a_mask_t......ad 2cee0: 665f646d 615f696e 666f0008 00001f5d f_dma_info.....] 2cef0: 08646d61 5f6d6173 6b000000 1f100223 .dma_mask......# 2cf00: 00087367 5f6e7365 67730000 00161d02 ..sg_nsegs...... 2cf10: 23040014 0400001f b30e4144 465f4e45 #.........ADF_NE 2cf20: 545f434b 53554d5f 4e4f4e45 00000e41 T_CKSUM_NONE...A 2cf30: 44465f4e 45545f43 4b53554d 5f544350 DF_NET_CKSUM_TCP 2cf40: 5f554450 5f495076 3400010e 4144465f _UDP_IPv4...ADF_ 2cf50: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD 2cf60: 505f4950 76360002 00096164 665f6e65 P_IPv6....adf_ne 2cf70: 745f636b 73756d5f 74797065 5f740000 t_cksum_type_t.. 2cf80: 001f5d12 0800001f f6087478 5f636b73 ..].......tx_cks 2cf90: 756d0000 001fb302 23000872 785f636b um......#..rx_ck 2cfa0: 73756d00 00001fb3 02230400 09616466 sum......#...adf 2cfb0: 5f6e6574 5f636b73 756d5f69 6e666f5f _net_cksum_info_ 2cfc0: 74000000 1fcd1404 0000204f 0e414446 t......... O.ADF 2cfd0: 5f4e4554 5f54534f 5f4e4f4e 4500000e _NET_TSO_NONE... 2cfe0: 4144465f 4e45545f 54534f5f 49505634 ADF_NET_TSO_IPV4 2cff0: 00010e41 44465f4e 45545f54 534f5f41 ...ADF_NET_TSO_A 2d000: 4c4c0002 00096164 665f6e65 745f7473 LL....adf_net_ts 2d010: 6f5f7479 70655f74 00000020 10121000 o_type_t... .... 2d020: 0020a308 636b7375 6d5f6361 70000000 . ..cksum_cap... 2d030: 1ff60223 00087473 6f000000 204f0223 ...#..tso... O.# 2d040: 0808766c 616e5f73 7570706f 72746564 ..vlan_supported 2d050: 00000016 8402230c 00122000 00213c08 ......#... ..!<. 2d060: 74785f70 61636b65 74730000 00161d02 tx_packets...... 2d070: 23000872 785f7061 636b6574 73000000 #..rx_packets... 2d080: 161d0223 04087478 5f627974 65730000 ...#..tx_bytes.. 2d090: 00161d02 23080872 785f6279 74657300 ....#..rx_bytes. 2d0a0: 0000161d 02230c08 74785f64 726f7070 .....#..tx_dropp 2d0b0: 65640000 00161d02 23100872 785f6472 ed......#..rx_dr 2d0c0: 6f707065 64000000 161d0223 14087278 opped......#..rx 2d0d0: 5f657272 6f727300 0000161d 02231808 _errors......#.. 2d0e0: 74785f65 72726f72 73000000 161d0223 tx_errors......# 2d0f0: 1c000961 64665f6e 65745f65 74686164 ...adf_net_ethad 2d100: 64725f74 0000001c 76160000 213c0300 dr_t....v...!<.. 2d110: 00002161 107f0017 6164665f 6e65745f ..!a....adf_net_ 2d120: 636d645f 6d636164 64720003 04000021 cmd_mcaddr.....! 2d130: 98086e65 6c656d00 0000161d 02230008 ..nelem......#.. 2d140: 6d636173 74000000 21530223 04000961 mcast...!S.#...a 2d150: 64665f6e 65745f63 6d645f6c 696e6b5f df_net_cmd_link_ 2d160: 696e666f 5f740000 001c1009 6164665f info_t......adf_ 2d170: 6e65745f 636d645f 706f6c6c 5f696e66 net_cmd_poll_inf 2d180: 6f5f7400 00001e7e 09616466 5f6e6574 o_t....~.adf_net 2d190: 5f636d64 5f636b73 756d5f69 6e666f5f _cmd_cksum_info_ 2d1a0: 74000000 1ff60961 64665f6e 65745f63 t......adf_net_c 2d1b0: 6d645f72 696e675f 696e666f 5f740000 md_ring_info_t.. 2d1c0: 001e4209 6164665f 6e65745f 636d645f ..B.adf_net_cmd_ 2d1d0: 646d615f 696e666f 5f740000 001f2709 dma_info_t....'. 2d1e0: 6164665f 6e65745f 636d645f 7669645f adf_net_cmd_vid_ 2d1f0: 74000000 1cac0961 64665f6e 65745f63 t......adf_net_c 2d200: 6d645f6f 66666c6f 61645f63 61705f74 md_offload_cap_t 2d210: 00000020 67096164 665f6e65 745f636d ... g.adf_net_cm 2d220: 645f7374 6174735f 74000000 20a30961 d_stats_t... ..a 2d230: 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd 2d240: 725f7400 00002161 0d616466 5f6e6574 r_t...!a.adf_net 2d250: 5f636d64 5f6d6361 73745f63 61700004 _cmd_mcast_cap.. 2d260: 000022da 0e414446 5f4e4554 5f4d4341 .."..ADF_NET_MCA 2d270: 53545f53 55500000 0e414446 5f4e4554 ST_SUP...ADF_NET 2d280: 5f4d4341 53545f4e 4f545355 50000100 _MCAST_NOTSUP... 2d290: 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 2d2a0: 73745f63 61705f74 00000022 92180304 st_cap_t...".... 2d2b0: 000023ac 086c696e 6b5f696e 666f0000 ..#..link_info.. 2d2c0: 00219802 23000870 6f6c6c5f 696e666f .!..#..poll_info 2d2d0: 00000021 b5022300 08636b73 756d5f69 ...!..#..cksum_i 2d2e0: 6e666f00 000021d2 02230008 72696e67 nfo...!..#..ring 2d2f0: 5f696e66 6f000000 21f00223 0008646d _info...!..#..dm 2d300: 615f696e 666f0000 00220d02 23000876 a_info..."..#..v 2d310: 69640000 00222902 2300086f 66666c6f id...").#..offlo 2d320: 61645f63 61700000 00224002 23000873 ad_cap..."@.#..s 2d330: 74617473 00000022 5f022300 086d6361 tats..."_.#..mca 2d340: 73745f69 6e666f00 00002278 02230008 st_info..."x.#.. 2d350: 6d636173 745f6361 70000000 22da0223 mcast_cap..."..# 2d360: 00001404 00002403 0e414446 5f4e4255 ......$..ADF_NBU 2d370: 465f5258 5f434b53 554d5f4e 4f4e4500 F_RX_CKSUM_NONE. 2d380: 000e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 2d390: 53554d5f 48570001 0e414446 5f4e4255 SUM_HW...ADF_NBU 2d3a0: 465f5258 5f434b53 554d5f55 4e4e4543 F_RX_CKSUM_UNNEC 2d3b0: 45535341 52590002 00096164 665f6e62 ESSARY....adf_nb 2d3c0: 75665f72 785f636b 73756d5f 74797065 uf_rx_cksum_type 2d3d0: 5f740000 0023ac12 08000024 43087265 _t...#.....$C.re 2d3e0: 73756c74 00000024 03022300 0876616c sult...$..#..val 2d3f0: 00000016 1d022304 00120800 00247308 ......#......$s. 2d400: 74797065 00000020 4f022300 086d7373 type... O.#..mss 2d410: 0000001c ac022304 08686472 5f6f6666 ......#..hdr_off 2d420: 00000016 84022306 00075f5f 6164665f ......#...__adf_ 2d430: 6e627566 5f716865 6164000c 000024b2 nbuf_qhead....$. 2d440: 08686561 64000000 143d0223 00087461 .head....=.#..ta 2d450: 696c0000 00143d02 23040871 6c656e00 il....=.#..qlen. 2d460: 0000161d 02230800 095f5f61 64665f6e .....#...__adf_n 2d470: 6275665f 74000000 143d0300 00169304 buf_t....=...... 2d480: 00030000 161d0400 02010600 00131f01 ................ 2d490: 06000016 1d010600 00169301 06000016 ................ 2d4a0: 93010300 00130004 00095f5f 6164665f ..........__adf_ 2d4b0: 6e627566 5f716865 61645f74 00000024 nbuf_qhead_t...$ 2d4c0: 73095f5f 6164665f 6e627566 5f717565 s.__adf_nbuf_que 2d4d0: 75655f74 00000024 f3030000 250b0400 ue_t...$....%... 2d4e0: 06000024 b2010600 0024b201 14040000 ...$.....$...... 2d4f0: 262b0e41 5f535441 5455535f 4f4b0000 &+.A_STATUS_OK.. 2d500: 0e415f53 54415455 535f4641 494c4544 .A_STATUS_FAILED 2d510: 00010e41 5f535441 5455535f 454e4f45 ...A_STATUS_ENOE 2d520: 4e540002 0e415f53 54415455 535f454e NT...A_STATUS_EN 2d530: 4f4d454d 00030e41 5f535441 5455535f OMEM...A_STATUS_ 2d540: 45494e56 414c0004 0e415f53 54415455 EINVAL...A_STATU 2d550: 535f4549 4e50524f 47524553 5300050e S_EINPROGRESS... 2d560: 415f5354 41545553 5f454e4f 54535550 A_STATUS_ENOTSUP 2d570: 5000060e 415f5354 41545553 5f454255 P...A_STATUS_EBU 2d580: 53590007 0e415f53 54415455 535f4532 SY...A_STATUS_E2 2d590: 42494700 080e415f 53544154 55535f45 BIG...A_STATUS_E 2d5a0: 41444452 4e4f5441 5641494c 00090e41 ADDRNOTAVAIL...A 2d5b0: 5f535441 5455535f 454e5849 4f000a0e _STATUS_ENXIO... 2d5c0: 415f5354 41545553 5f454641 554c5400 A_STATUS_EFAULT. 2d5d0: 0b0e415f 53544154 55535f45 494f000c ..A_STATUS_EIO.. 2d5e0: 0009615f 73746174 75735f74 00000025 ..a_status_t...% 2d5f0: 36060000 262b0106 00000118 01020109 6...&+.......... 2d600: 6164665f 6e627566 5f740000 0024b214 adf_nbuf_t...$.. 2d610: 04000026 900e4144 465f4f53 5f444d41 ...&..ADF_OS_DMA 2d620: 5f544f5f 44455649 43450000 0e414446 _TO_DEVICE...ADF 2d630: 5f4f535f 444d415f 46524f4d 5f444556 _OS_DMA_FROM_DEV 2d640: 49434500 01000961 64665f6f 735f646d ICE....adf_os_dm 2d650: 615f6469 725f7400 00002659 06000026 a_dir_t...&Y...& 2d660: 2b010201 09616466 5f6f735f 646d616d +....adf_os_dmam 2d670: 61705f69 6e666f5f 74000000 163a0300 ap_info_t....:.. 2d680: 0026ae04 00020102 01060000 26490106 .&..........&I.. 2d690: 000024b2 01020102 01060000 26490106 ..$.........&I.. 2d6a0: 000024b2 01060000 26490106 000024b2 ..$.....&I....$. 2d6b0: 01060000 26490102 01020106 0000161d ....&I.......... 2d6c0: 01060000 16930102 01020106 00001b4a ...............J 2d6d0: 01060000 1a970106 00001a97 01096164 ..............ad 2d6e0: 665f6f73 5f73676c 6973745f 74000000 f_os_sglist_t... 2d6f0: 16d20300 00272704 00020102 01020106 .....''......... 2d700: 00001693 01096164 665f6e62 75665f71 ......adf_nbuf_q 2d710: 75657565 5f740000 00250b03 0000274f ueue_t...%....'O 2d720: 04000201 03000024 f3040002 01020102 .......$........ 2d730: 01060000 26490106 000024b2 01060000 ....&I....$..... 2d740: 161d0106 0000161d 01060000 1a970106 ................ 2d750: 00001a97 01060000 1fb30106 0000161d ................ 2d760: 01096164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck 2d770: 73756d5f 74000000 24210300 0027ab04 sum_t...$!...'.. 2d780: 00020102 01096164 665f6e62 75665f74 ......adf_nbuf_t 2d790: 736f5f74 00000024 43030000 27cf0400 so_t...$C...'... 2d7a0: 02010201 09616466 5f6e6574 5f68616e .....adf_net_han 2d7b0: 646c655f 74000000 040d0961 64665f6e dle_t......adf_n 2d7c0: 65745f76 6c616e68 64725f74 0000001d et_vlanhdr_t.... 2d7d0: bf030000 28040400 06000026 2b010600 ....(......&+... 2d7e0: 00262b01 02010201 075f4849 465f434f .&+......_HIF_CO 2d7f0: 4e464947 00040000 28530864 756d6d79 NFIG....(S.dummy 2d800: 00000001 18022300 00020103 00002853 ......#.......(S 2d810: 04000201 03000028 5c040007 5f484946 .......(\..._HIF 2d820: 5f43414c 4c424143 4b000c00 0028b108 _CALLBACK....(.. 2d830: 73656e64 5f627566 5f646f6e 65000000 send_buf_done... 2d840: 28550223 00087265 63765f62 75660000 (U.#..recv_buf.. 2d850: 00285e02 23040863 6f6e7465 78740000 .(^.#..context.. 2d860: 00040d02 23080009 6869665f 68616e64 ....#...hif_hand 2d870: 6c655f74 00000004 0d094849 465f434f le_t......HIF_CO 2d880: 4e464947 00000028 32030000 28c30400 NFIG...(2...(... 2d890: 06000028 b1010300 0028da04 00020103 ...(.....(...... 2d8a0: 000028e7 04000948 49465f43 414c4c42 ..(....HIF_CALLB 2d8b0: 41434b00 00002865 03000028 f0040002 ACK...(e...(.... 2d8c0: 01030000 29090400 06000001 18010300 ....)........... 2d8d0: 00291204 00020103 0000291f 04000600 .)........)..... 2d8e0: 00011801 03000029 28040002 01030000 .......)(....... 2d8f0: 29350400 06000001 18010300 00293e04 )5...........)>. 2d900: 00020103 0000294b 04000768 69665f61 ......)K...hif_a 2d910: 70690038 00002aa4 085f696e 69740000 pi.8..*.._init.. 2d920: 0028e002 2300085f 73687574 646f776e .(..#.._shutdown 2d930: 00000028 e9022304 085f7265 67697374 ...(..#.._regist 2d940: 65725f63 616c6c62 61636b00 0000290b er_callback...). 2d950: 02230808 5f676574 5f746f74 616c5f63 .#.._get_total_c 2d960: 72656469 745f636f 756e7400 00002918 redit_count...). 2d970: 02230c08 5f737461 72740000 0028e902 .#.._start...(.. 2d980: 2310085f 636f6e66 69675f70 69706500 #.._config_pipe. 2d990: 00002921 02231408 5f73656e 645f6275 ..)!.#.._send_bu 2d9a0: 66666572 00000029 2e022318 085f7265 ffer...)..#.._re 2d9b0: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... 2d9c0: 29370223 1c085f69 735f7069 70655f73 )7.#.._is_pipe_s 2d9d0: 7570706f 72746564 00000029 44022320 upported...)D.# 2d9e0: 085f6765 745f6d61 785f6d73 675f6c65 ._get_max_msg_le 2d9f0: 6e000000 29440223 24085f67 65745f72 n...)D.#$._get_r 2da00: 65736572 7665645f 68656164 726f6f6d eserved_headroom 2da10: 00000029 18022328 085f6973 725f6861 ...)..#(._isr_ha 2da20: 6e646c65 72000000 28e90223 2c085f67 ndler...(..#,._g 2da30: 65745f64 65666175 6c745f70 69706500 et_default_pipe. 2da40: 0000294d 02233008 70526573 65727665 ..)M.#0.pReserve 2da50: 64000000 040d0223 34000d64 6d615f65 d......#4..dma_e 2da60: 6e67696e 65000400 002b2d0e 444d415f ngine....+-.DMA_ 2da70: 454e4749 4e455f52 58300000 0e444d41 ENGINE_RX0...DMA 2da80: 5f454e47 494e455f 52583100 010e444d _ENGINE_RX1...DM 2da90: 415f454e 47494e45 5f525832 00020e44 A_ENGINE_RX2...D 2daa0: 4d415f45 4e47494e 455f5258 3300030e MA_ENGINE_RX3... 2dab0: 444d415f 454e4749 4e455f54 58300004 DMA_ENGINE_TX0.. 2dac0: 0e444d41 5f454e47 494e455f 54583100 .DMA_ENGINE_TX1. 2dad0: 050e444d 415f454e 47494e45 5f4d4158 ..DMA_ENGINE_MAX 2dae0: 00060009 646d615f 656e6769 6e655f74 ....dma_engine_t 2daf0: 0000002a a40d646d 615f6966 74797065 ...*..dma_iftype 2db00: 00040000 2b7a0e44 4d415f49 465f474d ....+z.DMA_IF_GM 2db10: 41430000 0e444d41 5f49465f 50434900 AC...DMA_IF_PCI. 2db20: 010e444d 415f4946 5f504349 45000200 ..DMA_IF_PCIE... 2db30: 09646d61 5f696674 7970655f 74000000 .dma_iftype_t... 2db40: 2b3f0600 0012f201 0300002b 8c040002 +?.........+.... 2db50: 01030000 2b990400 02010300 002ba204 ....+........+.. 2db60: 00060000 09240103 00002bab 04000600 .....$....+..... 2db70: 0012f201 0300002b b8040006 000012f2 .......+........ 2db80: 01030000 2bc50400 06000014 3d010300 ....+.......=... 2db90: 002bd204 00020103 00002bdf 04000764 .+........+....d 2dba0: 6d615f6c 69625f61 70690034 00002ce6 ma_lib_api.4..,. 2dbb0: 0874785f 696e6974 0000002b 92022300 .tx_init...+..#. 2dbc0: 0874785f 73746172 74000000 2b9b0223 .tx_start...+..# 2dbd0: 04087278 5f696e69 74000000 2b920223 ..rx_init...+..# 2dbe0: 08087278 5f636f6e 66696700 00002ba4 ..rx_config...+. 2dbf0: 02230c08 72785f73 74617274 0000002b .#..rx_start...+ 2dc00: 9b022310 08696e74 725f7374 61747573 ..#..intr_status 2dc10: 0000002b b1022314 08686172 645f786d ...+..#..hard_xm 2dc20: 69740000 002bbe02 23180866 6c757368 it...+..#..flush 2dc30: 5f786d69 74000000 2b9b0223 1c08786d _xmit...+..#..xm 2dc40: 69745f64 6f6e6500 00002bcb 02232008 it_done...+..# . 2dc50: 72656170 5f786d69 74746564 0000002b reap_xmitted...+ 2dc60: d8022324 08726561 705f7265 63760000 ..#$.reap_recv.. 2dc70: 002bd802 23280872 65747572 6e5f7265 .+..#(.return_re 2dc80: 63760000 002be102 232c0872 6563765f cv...+..#,.recv_ 2dc90: 706b7400 00002bcb 02233000 075f5f70 pkt...+..#0..__p 2dca0: 63695f73 6f667463 000c0000 2d040873 ci_softc....-..s 2dcb0: 77000000 28f00223 0000095f 5f706369 w...(..#...__pci 2dcc0: 5f736f66 74635f74 0000002c e6030000 _softc_t...,.... 2dcd0: 2d040400 02010300 002d1e04 00060000 -........-...... 2dce0: 12de0103 00002d27 04000d68 69665f70 ......-'...hif_p 2dcf0: 63695f70 6970655f 74780004 00002d87 ci_pipe_tx....-. 2dd00: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 2dd10: 3000000e 4849465f 5043495f 50495045 0...HIF_PCI_PIPE 2dd20: 5f545831 00010e48 49465f50 43495f50 _TX1...HIF_PCI_P 2dd30: 4950455f 54585f4d 41580002 00096869 IPE_TX_MAX....hi 2dd40: 665f7063 695f7069 70655f74 785f7400 f_pci_pipe_tx_t. 2dd50: 00002d34 0600002b 2d010300 002d9e04 ..-4...+-....-.. 2dd60: 000d6869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r 2dd70: 78000400 002e240e 4849465f 5043495f x.....$.HIF_PCI_ 2dd80: 50495045 5f525830 00000e48 49465f50 PIPE_RX0...HIF_P 2dd90: 43495f50 4950455f 52583100 010e4849 CI_PIPE_RX1...HI 2dda0: 465f5043 495f5049 50455f52 58320002 F_PCI_PIPE_RX2.. 2ddb0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 2ddc0: 3300030e 4849465f 5043495f 50495045 3...HIF_PCI_PIPE 2ddd0: 5f52585f 4d415800 04000968 69665f70 _RX_MAX....hif_p 2dde0: 63695f70 6970655f 72785f74 0000002d ci_pipe_rx_t...- 2ddf0: ab060000 2b2d0103 00002e3b 04000768 ....+-.....;...h 2de00: 69665f70 63695f61 70690024 00002f19 if_pci_api.$../. 2de10: 08706369 5f626f6f 745f696e 69740000 .pci_boot_init.. 2de20: 00011102 23000870 63695f69 6e697400 ....#..pci_init. 2de30: 000028e0 02230408 7063695f 72657365 ..(..#..pci_rese 2de40: 74000000 01110223 08087063 695f656e t......#..pci_en 2de50: 61626c65 00000001 1102230c 08706369 able......#..pci 2de60: 5f726561 705f786d 69747465 64000000 _reap_xmitted... 2de70: 2d200223 10087063 695f7265 61705f72 - .#..pci_reap_r 2de80: 65637600 00002d20 02231408 7063695f ecv...- .#..pci_ 2de90: 6765745f 70697065 0000002d 2d022318 get_pipe...--.#. 2dea0: 08706369 5f676574 5f74785f 656e6700 .pci_get_tx_eng. 2deb0: 00002da4 02231c08 7063695f 6765745f ..-..#..pci_get_ 2dec0: 72785f65 6e670000 002e4102 23200007 rx_eng....A.# .. 2ded0: 676d6163 5f617069 00040000 2f400867 gmac_api..../@.g 2dee0: 6d61635f 626f6f74 5f696e69 74000000 mac_boot_init... 2def0: 01110223 00000f00 00032506 00002f4d ...#......%.../M 2df00: 10050007 5f5f6574 68686472 000e0000 ....__ethhdr.... 2df10: 2f830864 73740000 002f4002 23000873 /..dst.../@.#..s 2df20: 72630000 002f4002 23060865 74797065 rc.../@.#..etype 2df30: 00000012 f202230c 00075f5f 61746868 ......#...__athh 2df40: 64720004 00002fd1 15726573 00000012 dr..../..res.... 2df50: de010002 02230015 70726f74 6f000000 .....#..proto... 2df60: 12de0102 06022300 08726573 5f6c6f00 ......#..res_lo. 2df70: 000012de 02230108 7265735f 68690000 .....#..res_hi.. 2df80: 0012f202 23020007 5f5f676d 61635f68 ....#...__gmac_h 2df90: 64720014 0000300d 08657468 0000002f dr....0..eth.../ 2dfa0: 4d022300 08617468 0000002f 8302230e M.#..ath.../..#. 2dfb0: 08616c69 676e5f70 61640000 0012f202 .align_pad...... 2dfc0: 23120009 5f5f676d 61635f68 64725f74 #...__gmac_hdr_t 2dfd0: 0000002f d1075f5f 676d6163 5f736f66 .../..__gmac_sof 2dfe0: 74630024 00003057 08686472 00000030 tc.$..0W.hdr...0 2dff0: 0d022300 08677261 6e000000 12f20223 ..#..gran......# 2e000: 14087377 00000028 f0022318 00075f41 ..sw...(..#..._A 2e010: 5f6f735f 6c696e6b 6167655f 63686563 _os_linkage_chec 2e020: 6b000800 00309008 76657273 696f6e00 k....0..version. 2e030: 00000118 02230008 7461626c 65000000 .....#..table... 2e040: 01180223 04000300 00305704 00060000 ...#.....0W..... 2e050: 01180103 00003097 04000300 00041004 ......0......... 2e060: 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 2e070: 65637469 6f6e5f74 61626c65 0001b800 ection_table.... 2e080: 0031e708 68616c5f 6c696e6b 6167655f .1..hal_linkage_ 2e090: 63686563 6b000000 309d0223 00087374 check...0..#..st 2e0a0: 6172745f 62737300 000030a4 02230408 art_bss...0..#.. 2e0b0: 6170705f 73746172 74000000 01110223 app_start......# 2e0c0: 08086d65 6d000000 04500223 0c086d69 ..mem....P.#..mi 2e0d0: 73630000 00056f02 23200870 72696e74 sc....o.# .print 2e0e0: 66000000 01450223 44087561 72740000 f....E.#D.uart.. 2e0f0: 00020e02 234c0867 6d616300 00002f19 ....#L.gmac.../. 2e100: 02236c08 75736200 00000f9e 02237008 .#l.usb......#p. 2e110: 636c6f63 6b000000 0ae70323 e0010874 clock......#...t 2e120: 696d6572 00000007 87032384 0208696e imer......#...in 2e130: 74720000 000c3703 23980208 616c6c6f tr....7.#...allo 2e140: 6372616d 00000009 3f0323c4 0208726f cram....?.#...ro 2e150: 6d700000 00083103 23d00208 7764745f mp....1.#...wdt_ 2e160: 74696d65 72000000 0e140323 e0020865 timer......#...e 2e170: 65700000 000f4203 23fc0208 73747269 ep....B.#...stri 2e180: 6e670000 00069303 238c0308 7461736b ng......#...task 2e190: 6c657400 00000a3c 0323a403 00075f55 let....<.#...._U 2e1a0: 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. 2e1b0: 0000325a 08676574 5f636f6d 6d616e64 ..2Z.get_command 2e1c0: 5f627566 00000014 4a022300 08726563 _buf....J.#..rec 2e1d0: 765f636f 6d6d616e 64000000 14600223 v_command....`.# 2e1e0: 04086765 745f6576 656e745f 62756600 ..get_event_buf. 2e1f0: 0000144a 02230808 73656e64 5f657665 ...J.#..send_eve 2e200: 6e745f64 6f6e6500 00001460 02230c00 nt_done....`.#.. 2e210: 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG 2e220: 00000031 e7030000 325a0400 02010300 ...1....2Z...... 2e230: 00327604 00077573 62666966 6f5f6170 .2v...usbfifo_ap 2e240: 69000c00 0032cc08 5f696e69 74000000 i....2.._init... 2e250: 32780223 00085f65 6e61626c 655f6576 2x.#.._enable_ev 2e260: 656e745f 69737200 00000111 02230408 ent_isr......#.. 2e270: 70526573 65727665 64000000 040d0223 pReserved......# 2e280: 08000f00 00168402 000032d9 10010007 ..........2..... 2e290: 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. 2e2a0: 0000334b 08456e64 706f696e 74494400 ..3K.EndpointID. 2e2b0: 00001684 02230008 466c6167 73000000 .....#..Flags... 2e2c0: 16840223 01085061 796c6f61 644c656e ...#..PayloadLen 2e2d0: 0000001c ac022302 08436f6e 74726f6c ......#..Control 2e2e0: 42797465 73000000 32cc0223 0408486f Bytes...2..#..Ho 2e2f0: 73745365 714e756d 0000001c ac022306 stSeqNum......#. 2e300: 00120200 00336408 4d657373 61676549 .....3d.MessageI 2e310: 44000000 1cac0223 00001208 000033c7 D......#......3. 2e320: 084d6573 73616765 49440000 001cac02 .MessageID...... 2e330: 23000843 72656469 74436f75 6e740000 #..CreditCount.. 2e340: 001cac02 23020843 72656469 7453697a ....#..CreditSiz 2e350: 65000000 1cac0223 04084d61 78456e64 e......#..MaxEnd 2e360: 706f696e 74730000 00168402 2306085f points......#.._ 2e370: 50616431 00000016 84022307 00120a00 Pad1......#..... 2e380: 00345e08 4d657373 61676549 44000000 .4^.MessageID... 2e390: 1cac0223 00085365 72766963 65494400 ...#..ServiceID. 2e3a0: 00001cac 02230208 436f6e6e 65637469 .....#..Connecti 2e3b0: 6f6e466c 61677300 00001cac 02230408 onFlags......#.. 2e3c0: 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. 2e3d0: 00168402 23060855 704c696e 6b506970 ....#..UpLinkPip 2e3e0: 65494400 00001684 02230708 53657276 eID......#..Serv 2e3f0: 6963654d 6574614c 656e6774 68000000 iceMetaLength... 2e400: 16840223 08085f50 61643100 00001684 ...#.._Pad1..... 2e410: 02230900 120a0000 34e6084d 65737361 .#......4..Messa 2e420: 67654944 0000001c ac022300 08536572 geID......#..Ser 2e430: 76696365 49440000 001cac02 23020853 viceID......#..S 2e440: 74617475 73000000 16840223 0408456e tatus......#..En 2e450: 64706f69 6e744944 00000016 84022305 dpointID......#. 2e460: 084d6178 4d736753 697a6500 00001cac .MaxMsgSize..... 2e470: 02230608 53657276 6963654d 6574614c .#..ServiceMetaL 2e480: 656e6774 68000000 16840223 08085f50 ength......#.._P 2e490: 61643100 00001684 02230900 12020000 ad1......#...... 2e4a0: 34ff084d 65737361 67654944 0000001c 4..MessageID.... 2e4b0: ac022300 00120400 00353b08 4d657373 ..#......5;.Mess 2e4c0: 61676549 44000000 1cac0223 00085069 ageID......#..Pi 2e4d0: 70654944 00000016 84022302 08437265 peID......#..Cre 2e4e0: 64697443 6f756e74 00000016 84022303 ditCount......#. 2e4f0: 00120400 00357208 4d657373 61676549 .....5r.MessageI 2e500: 44000000 1cac0223 00085069 70654944 D......#..PipeID 2e510: 00000016 84022302 08537461 74757300 ......#..Status. 2e520: 00001684 02230300 12020000 35990852 .....#......5..R 2e530: 65636f72 64494400 00001684 02230008 ecordID......#.. 2e540: 4c656e67 74680000 00168402 23010012 Length......#... 2e550: 02000035 c308456e 64706f69 6e744944 ...5..EndpointID 2e560: 00000016 84022300 08437265 64697473 ......#..Credits 2e570: 00000016 84022301 00120400 00360408 ......#......6.. 2e580: 456e6470 6f696e74 49440000 00168402 EndpointID...... 2e590: 23000843 72656469 74730000 00168402 #..Credits...... 2e5a0: 23010854 67744372 65646974 5365714e #..TgtCreditSeqN 2e5b0: 6f000000 1cac0223 02000f00 00168404 o......#........ 2e5c0: 00003611 10030012 06000036 4d085072 ..6........6M.Pr 2e5d0: 6556616c 69640000 00168402 2300084c eValid......#..L 2e5e0: 6f6f6b41 68656164 00000036 04022301 ookAhead...6..#. 2e5f0: 08506f73 7456616c 69640000 00168402 .PostValid...... 2e600: 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ 2e610: 74000000 040d0600 00364d01 03000036 t........6M....6 2e620: 60040002 01030000 366d0400 14040000 `.......6m...... 2e630: 36eb0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C 2e640: 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID 2e650: 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP 2e660: 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI 2e670: 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO 2e680: 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF 2e690: 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. 2e6a0: 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... 2e6b0: 36760201 03000036 fc040006 00002649 6v.....6......&I 2e6c0: 01030000 37050400 06000026 49010300 ....7......&I... 2e6d0: 00371204 00020103 0000371f 04000762 .7........7....b 2e6e0: 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 2e6f0: c1085f69 6e697400 00003666 02230008 .._init...6f.#.. 2e700: 5f736875 74646f77 6e000000 366f0223 _shutdown...6o.# 2e710: 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. 2e720: 0036fe02 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu 2e730: 66000000 370b0223 0c085f61 6c6c6f63 f...7..#.._alloc 2e740: 5f627566 5f616c69 676e0000 00371802 _buf_align...7.. 2e750: 2310085f 66726565 5f627566 00000037 #.._free_buf...7 2e760: 21022314 08705265 73657276 65640000 !.#..pReserved.. 2e770: 00040d02 23180007 5f485443 5f534552 ....#..._HTC_SER 2e780: 56494345 001c0000 38a00870 4e657874 VICE....8..pNext 2e790: 00000038 a0022300 0850726f 63657373 ...8..#..Process 2e7a0: 52656376 4d736700 00003955 02230408 RecvMsg...9U.#.. 2e7b0: 50726f63 65737353 656e6442 75666665 ProcessSendBuffe 2e7c0: 72436f6d 706c6574 65000000 395e0223 rComplete...9^.# 2e7d0: 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect 2e7e0: 00000039 7202230c 08536572 76696365 ...9r.#..Service 2e7f0: 49440000 0012f202 23100853 65727669 ID......#..Servi 2e800: 6365466c 61677300 000012f2 02231208 ceFlags......#.. 2e810: 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... 2e820: 12f20223 14085472 61696c65 72537063 ...#..TrailerSpc 2e830: 43686563 6b4c696d 69740000 0012f202 CheckLimit...... 2e840: 23160853 65727669 63654374 78000000 #..ServiceCtx... 2e850: 040d0223 18000300 0037c104 00140400 ...#.....7...... 2e860: 00393e19 454e4450 4f494e54 5f554e55 .9>.ENDPOINT_UNU 2e870: 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN 2e880: 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. 2e890: 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END 2e8a0: 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN 2e8b0: 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. 2e8c0: 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END 2e8d0: 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN 2e8e0: 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA 2e8f0: 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN 2e900: 545f4944 00000038 a7020103 00003953 T_ID...8......9S 2e910: 04000201 03000039 5c040003 00000118 .......9\....... 2e920: 04000600 0012de01 03000039 6c040003 ...........9l... 2e930: 000037c1 0400075f 4854435f 434f4e46 ..7...._HTC_CONF 2e940: 49470014 000039f1 08437265 64697453 IG....9..CreditS 2e950: 697a6500 00000118 02230008 43726564 ize......#..Cred 2e960: 69744e75 6d626572 00000001 18022304 itNumber......#. 2e970: 084f5348 616e646c 65000000 1a480223 .OSHandle....H.# 2e980: 08084849 4648616e 646c6500 000028b1 ..HIFHandle...(. 2e990: 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 2e9a0: 00364d02 23100007 5f485443 5f425546 .6M.#..._HTC_BUF 2e9b0: 5f434f4e 54455854 00020000 3a2d0865 _CONTEXT....:-.e 2e9c0: 6e645f70 6f696e74 00000012 de022300 nd_point......#. 2e9d0: 08687463 5f666c61 67730000 0012de02 .htc_flags...... 2e9e0: 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t 2e9f0: 00000004 0d094854 435f5345 5455505f ......HTC_SETUP_ 2ea00: 434f4d50 4c455445 5f434200 00000111 COMPLETE_CB..... 2ea10: 09485443 5f434f4e 46494700 00003980 .HTC_CONFIG...9. 2ea20: 0300003a 5a040006 00003a2d 01030000 ...:Z.....:-.... 2ea30: 3a710400 02010300 003a7e04 00094854 :q.......:~...HT 2ea40: 435f5345 52564943 45000000 37c10300 C_SERVICE...7... 2ea50: 003a8704 00020103 00003a9f 04000201 .:........:..... 2ea60: 0300003a a8040002 01030000 3ab10400 ...:........:... 2ea70: 06000001 18010300 003aba04 00076874 .........:....ht 2ea80: 635f6170 69730034 00003c37 085f4854 c_apis.4..<7._HT 2ea90: 435f496e 69740000 003a7702 2300085f C_Init...:w.#.._ 2eaa0: 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: 2eab0: 80022304 085f4854 435f5265 67697374 ..#.._HTC_Regist 2eac0: 65725365 72766963 65000000 3aa10223 erService...:..# 2ead0: 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: 2eae0: 8002230c 085f4854 435f5265 7475726e ..#.._HTC_Return 2eaf0: 42756666 65727300 00003aaa 02231008 Buffers...:..#.. 2eb00: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe 2eb10: 72734c69 73740000 003ab302 2314085f rsList...:..#.._ 2eb20: 4854435f 53656e64 4d736700 00003aaa HTC_SendMsg...:. 2eb30: 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese 2eb40: 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: 2eb50: c002231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec 2eb60: 7648616e 646c6572 00000028 5e022320 vHandler...(^.# 2eb70: 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa 2eb80: 6e646c65 72000000 28550223 24085f48 ndler...(U.#$._H 2eb90: 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro 2eba0: 63657373 4d736700 00003955 02232808 cessMsg...9U.#(. 2ebb0: 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP 2ebc0: 726f6365 73735365 6e64436f 6d706c65 rocessSendComple 2ebd0: 74650000 00395e02 232c0870 52657365 te...9^.#,.pRese 2ebe0: 72766564 00000004 0d022330 0007686f rved......#0..ho 2ebf0: 73745f61 70705f61 7265615f 73000400 st_app_area_s... 2ec00: 003c6708 776d695f 70726f74 6f636f6c ...tupleNumL.. 2eda0: 001cac02 23000874 75706c65 4e756d48 ....#..tupleNumH 2edb0: 0000001c ac022302 08617674 0000003d ......#..avt...= 2edc0: cb022304 00120100 003e3108 62656163 ..#......>1.beac 2edd0: 6f6e5065 6e64696e 67436f75 6e740000 onPendingCount.. 2ede0: 00168402 23000007 5f574d49 5f535643 ....#..._WMI_SVC 2edf0: 5f434f4e 46494700 1000003e 9a084874 _CONFIG....>..Ht 2ee00: 6348616e 646c6500 00003a2d 02230008 cHandle...:-.#.. 2ee10: 506f6f6c 48616e64 6c650000 00364d02 PoolHandle...6M. 2ee20: 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv 2ee30: 74730000 00011802 2308084d 61784576 ts......#..MaxEv 2ee40: 656e7445 76747300 00000118 02230c00 entEvts......#.. 2ee50: 02010300 003e9a04 0009574d 495f434d .....>....WMI_CM 2ee60: 445f4841 4e444c45 52000000 3e9c075f D_HANDLER...>.._ 2ee70: 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT 2ee80: 52590008 00003f03 0870436d 6448616e RY....?..pCmdHan 2ee90: 646c6572 0000003e a3022300 08436d64 dler...>..#..Cmd 2eea0: 49440000 0012f202 23040846 6c616773 ID......#..Flags 2eeb0: 00000012 f2022306 00075f57 4d495f44 ......#..._WMI_D 2eec0: 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... 2eed0: 003f6408 704e6578 74000000 3f640223 .?d.pNext...?d.# 2eee0: 00087043 6f6e7465 78740000 00040d02 ..pContext...... 2eef0: 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri 2ef00: 65730000 00011802 23080870 5461626c es......#..pTabl 2ef10: 65000000 3f830223 0c000300 003f0304 e...?..#.....?.. 2ef20: 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E 2ef30: 4e545259 0000003e b8030000 3f6b0400 NTRY...>....?k.. 2ef40: 0300003f 03040009 4854435f 4255465f ...?....HTC_BUF_ 2ef50: 434f4e54 45585400 000039f1 0d574d49 CONTEXT...9..WMI 2ef60: 5f455654 5f434c41 53530004 0000401b _EVT_CLASS....@. 2ef70: 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N 2ef80: 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT 2ef90: 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT 2efa0: 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 2efb0: 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI 2efc0: 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. 2efd0: 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. 2efe0: 00003fa6 075f574d 495f4255 465f434f ..?.._WMI_BUF_CO 2eff0: 4e544558 54000c00 00407908 48746342 NTEXT....@y.HtcB 2f000: 75664374 78000000 3f910223 00084576 ufCtx...?..#..Ev 2f010: 656e7443 6c617373 00000040 1b022304 entClass...@..#. 2f020: 08466c61 67730000 0012f202 23080009 .Flags......#... 2f030: 776d695f 68616e64 6c655f74 00000004 wmi_handle_t.... 2f040: 0d09574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG 2f050: 0000003e 31030000 408b0400 06000040 ...>1...@......@ 2f060: 79010300 0040a604 0009574d 495f4449 y....@....WMI_DI 2f070: 53504154 43485f54 41424c45 0000003f SPATCH_TABLE...? 2f080: 03030000 40b30400 02010300 0040d204 ....@........@.. 2f090: 00060000 26490103 000040db 04000201 ....&I....@..... 2f0a0: 03000040 e8040006 00000118 01030000 ...@............ 2f0b0: 40f10400 02010300 0040fe04 00060000 @........@...... 2f0c0: 12de0103 00004107 0400075f 776d695f ......A...._wmi_ 2f0d0: 7376635f 61706973 002c0000 424f085f svc_apis.,..BO._ 2f0e0: 574d495f 496e6974 00000040 ac022300 WMI_Init...@..#. 2f0f0: 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi 2f100: 73706174 63685461 626c6500 000040d4 spatchTable...@. 2f110: 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv 2f120: 656e7400 000040e1 02230808 5f574d49 ent...@..#.._WMI 2f130: 5f53656e 64457665 6e740000 0040ea02 _SendEvent...@.. 2f140: 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi 2f150: 6e674576 656e7473 436f756e 74000000 ngEventsCount... 2f160: 40f70223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC 2f170: 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. 2f180: 00395e02 2314085f 574d495f 47657443 .9^.#.._WMI_GetC 2f190: 6f6e7472 6f6c4570 00000040 f7022318 ontrolEp...@..#. 2f1a0: 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. 2f1b0: 00410002 231c085f 574d495f 52656376 .A..#.._WMI_Recv 2f1c0: 4d657373 61676548 616e646c 65720000 MessageHandler.. 2f1d0: 00395502 2320085f 574d495f 53657276 .9U.# ._WMI_Serv 2f1e0: 69636543 6f6e6e65 63740000 00410d02 iceConnect...A.. 2f1f0: 23240870 52657365 72766564 00000004 #$.pReserved.... 2f200: 0d022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. 2f210: 14000042 d1086374 726c0000 00017c02 ...B..ctrl....|. 2f220: 23000873 74617475 73000000 017c0223 #..status....|.# 2f230: 0208746f 74616c4c 656e0000 00017c02 ..totalLen....|. 2f240: 23040864 61746153 697a6500 0000017c #..dataSize....| 2f250: 02230608 6c617374 41646472 00000042 .#..lastAddr...B 2f260: d1022308 08646174 61416464 72000000 ..#..dataAddr... 2f270: 01a00223 0c086e65 78744164 64720000 ...#..nextAddr.. 2f280: 0042d102 23100003 0000424f 04000300 .B..#.....BO.... 2f290: 00424f04 00077a73 446d6151 75657565 .BO...zsDmaQueue 2f2a0: 00080000 43110868 65616400 000042d8 ....C..head...B. 2f2b0: 02230008 7465726d 696e6174 6f720000 .#..terminator.. 2f2c0: 0042d802 23040007 7a735478 446d6151 .B..#...zsTxDmaQ 2f2d0: 75657565 00100000 43750868 65616400 ueue....Cu.head. 2f2e0: 000042d8 02230008 7465726d 696e6174 ..B..#..terminat 2f2f0: 6f720000 0042d802 23040878 6d697465 or...B..#..xmite 2f300: 645f6275 665f6865 61640000 00143d02 d_buf_head....=. 2f310: 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta 2f320: 696c0000 00143d02 230c0002 01030000 il....=.#....... 2f330: 43750400 03000042 df040002 01030000 Cu.....B........ 2f340: 43850400 03000043 11040002 01030000 C......C........ 2f350: 43950400 02010300 00439e04 00020103 C........C...... 2f360: 000043a7 04000600 00143d01 03000043 ..C.......=....C 2f370: b0040002 01030000 43bd0400 06000014 ........C....... 2f380: 3d010300 0043c604 00020103 000043d3 =....C........C. 2f390: 04000600 00011801 03000043 dc040006 ...........C.... 2f3a0: 000042d8 01030000 43e90400 02010300 ..B.....C....... 2f3b0: 0043f604 0007646d 615f656e 67696e65 .C....dma_engine 2f3c0: 5f617069 00400000 456c085f 696e6974 _api.@..El._init 2f3d0: 00000043 77022300 085f696e 69745f72 ...Cw.#.._init_r 2f3e0: 785f7175 65756500 00004387 02230408 x_queue...C..#.. 2f3f0: 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. 2f400: 00439702 2308085f 636f6e66 69675f72 .C..#.._config_r 2f410: 785f7175 65756500 000043a0 02230c08 x_queue...C..#.. 2f420: 5f786d69 745f6275 66000000 43a90223 _xmit_buf...C..# 2f430: 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... 2f440: 43870223 14085f72 6561705f 72656376 C..#.._reap_recv 2f450: 5f627566 00000043 b6022318 085f7265 _buf...C..#.._re 2f460: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... 2f470: 43bf0223 1c085f72 6561705f 786d6974 C..#.._reap_xmit 2f480: 65645f62 75660000 0043cc02 2320085f ed_buf...C..# ._ 2f490: 73776170 5f646174 61000000 43d50223 swap_data...C..# 2f4a0: 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac 2f4b0: 6b657473 00000043 e2022328 085f6465 kets...C..#(._de 2f4c0: 73635f64 756d7000 00004387 02232c08 sc_dump...C..#,. 2f4d0: 5f676574 5f706163 6b657400 000043ef _get_packet...C. 2f4e0: 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac 2f4f0: 6b657400 000043f8 02233408 5f707574 ket...C..#4._put 2f500: 5f706163 6b657400 000043f8 02233808 _packet...C..#8. 2f510: 70526573 65727665 64000000 040d0223 pReserved......# 2f520: 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi 2f530: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 2f540: 000030ab 09574d49 5f535643 5f415049 ..0..WMI_SVC_API 2f550: 53000000 4114175f 415f6d61 67706965 S...A.._A_magpie 2f560: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 2f570: 6c650003 4c000046 9a08636d 6e6f7300 le..L..F..cmnos. 2f580: 0000456c 02230008 64626700 000003da ..El.#..dbg..... 2f590: 0323b803 08686966 00000029 540323c0 .#...hif...)T.#. 2f5a0: 03086874 63000000 3ac70323 f8030877 ..htc...:..#...w 2f5b0: 6d695f73 76635f61 70690000 00458e03 mi_svc_api...E.. 2f5c0: 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. 2f5d0: 0000327f 0323d804 08627566 5f706f6f ..2..#...buf_poo 2f5e0: 6c000000 37280323 e4040876 62756600 l...7(.#...vbuf. 2f5f0: 00001467 03238005 08766465 73630000 ...g.#...vdesc.. 2f600: 00134903 23940508 616c6c6f 6372616d ..I.#...allocram 2f610: 00000009 3f0323a8 0508646d 615f656e ....?.#...dma_en 2f620: 67696e65 00000043 ff0323b4 0508646d gine...C..#...dm 2f630: 615f6c69 62000000 2be80323 f4050868 a_lib...+..#...h 2f640: 69665f70 63690000 002e4803 23a80600 if_pci....H.#... 2f650: 095f415f 6d616770 69655f69 6e646972 ._A_magpie_indir 2f660: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. 2f670: 0045a003 00000693 04000201 1a010d63 .E.............c 2f680: 6d6e6f73 5f737472 696e675f 696e6974 mnos_string_init 2f690: 00010103 92012002 9000008e 2b24008e ...... .....+$.. 2f6a0: 2b291b01 12636d6e 6f735f73 7472696e +)...cmnos_strin 2f6b0: 675f6d6f 64756c65 5f696e73 74616c6c g_module_install 2f6c0: 00010103 92012002 9000008e 2b2c008e ...... .....+,.. 2f6d0: 2b4f1c01 1274626c 00000046 bd015200 +O...tbl...F..R. 2f6e0: 00000000 48ad0002 000011a4 04012f72 ....H........./r 2f6f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 2f700: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 2f710: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 2f720: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 2f730: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 2f740: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 2f750: 732f7461 736b6c65 742f7372 632f636d s/tasklet/src/cm 2f760: 6e6f735f 7461736b 6c65742e 63002f72 nos_tasklet.c./r 2f770: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 2f780: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 2f790: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 2f7a0: 2f636d6e 6f732f74 61736b6c 65740078 /cmnos/tasklet.x 2f7b0: 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 2f7c0: 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr 2f7d0: 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - 2f7e0: 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... 2f7f0: 0000a8a1 02010300 00011204 0004696e ..............in 2f800: 74000504 04636861 72000701 05000001 t....char....... 2f810: 22050000 01220300 00012f04 00060000 "...."..../..... 2f820: 011b0103 0000013b 04000770 72696e74 .......;...print 2f830: 665f6170 69000800 00017f08 5f707269 f_api......._pri 2f840: 6e74665f 696e6974 00000001 14022300 ntf_init......#. 2f850: 085f7072 696e7466 00000001 41022304 ._printf....A.#. 2f860: 00047368 6f727420 756e7369 676e6564 ..short unsigned 2f870: 20696e74 00070209 75696e74 31365f74 int....uint16_t 2f880: 00000001 7f046c6f 6e672075 6e736967 ......long unsig 2f890: 6e656420 696e7400 07040975 696e7433 ned int....uint3 2f8a0: 325f7400 000001a3 07756172 745f6669 2_t......uart_fi 2f8b0: 666f0008 00000211 08737461 72745f69 fo.......start_i 2f8c0: 6e646578 00000001 95022300 08656e64 ndex......#..end 2f8d0: 5f696e64 65780000 00019502 2302086f _index......#..o 2f8e0: 76657272 756e5f65 72720000 0001b802 verrun_err...... 2f8f0: 23040007 75617274 5f617069 00200000 #...uart_api. .. 2f900: 02ca085f 75617274 5f696e69 74000000 ..._uart_init... 2f910: 03210223 00085f75 6172745f 63686172 .!.#.._uart_char 2f920: 5f707574 00000003 48022304 085f7561 _put....H.#.._ua 2f930: 72745f63 6861725f 67657400 0000035c rt_char_get....\ 2f940: 02230808 5f756172 745f7374 725f6f75 .#.._uart_str_ou 2f950: 74000000 03650223 0c085f75 6172745f t....e.#.._uart_ 2f960: 7461736b 00000001 14022310 085f7561 task......#.._ua 2f970: 72745f73 74617475 73000000 03210223 rt_status....!.# 2f980: 14085f75 6172745f 636f6e66 69670000 .._uart_config.. 2f990: 00036e02 2318085f 75617274 5f687769 ..n.#.._uart_hwi 2f9a0: 6e697400 00000377 02231c00 03000002 nit....w.#...... 2f9b0: 11040007 75617274 5f626c6b 00100000 ....uart_blk.... 2f9c0: 031b0864 65627567 5f6d6f64 65000000 ...debug_mode... 2f9d0: 01950223 00086261 75640000 00019502 ...#..baud...... 2f9e0: 2302085f 75617274 00000002 ca022304 #.._uart......#. 2f9f0: 085f7478 00000001 c6022308 00060000 ._tx......#..... 2fa00: 01b80103 0000031b 04000475 6e736967 ...........unsig 2fa10: 6e656420 63686172 00070109 75696e74 ned char....uint 2fa20: 385f7400 00000328 02010300 00034604 8_t....(......F. 2fa30: 00030000 03390400 06000001 95010300 .....9.......... 2fa40: 00035604 00020103 00000363 04000201 ..V........c.... 2fa50: 03000003 6c040002 01030000 03750400 ....l........u.. 2fa60: 03000001 22040006 0000011b 01030000 ...."........... 2fa70: 03850400 0744425f 434f4d4d 414e445f .....DB_COMMAND_ 2fa80: 53545255 4354000c 000003dd 08636d64 STRUCT.......cmd 2fa90: 5f737472 00000003 7e022300 0868656c _str....~.#..hel 2faa0: 705f7374 72000000 037e0223 0408636d p_str....~.#..cm 2fab0: 645f6675 6e630000 00038b02 23080007 d_func......#... 2fac0: 6462675f 61706900 08000004 10085f64 dbg_api......._d 2fad0: 62675f69 6e697400 00000114 02230008 bg_init......#.. 2fae0: 5f646267 5f746173 6b000000 01140223 _dbg_task......# 2faf0: 04000a04 0004756e 7369676e 65642069 ......unsigned i 2fb00: 6e740007 04060000 04100103 00000423 nt.............# 2fb10: 04000b0b 03000004 31040006 00000410 ........1....... 2fb20: 01030000 04390400 06000001 1b010300 .....9.......... 2fb30: 00044604 00076d65 6d5f6170 69001400 ..F...mem_api... 2fb40: 0004b508 5f6d656d 5f696e69 74000000 ...._mem_init... 2fb50: 01140223 00085f6d 656d7365 74000000 ...#.._memset... 2fb60: 04290223 04085f6d 656d6370 79000000 .).#.._memcpy... 2fb70: 043f0223 08085f6d 656d6d6f 76650000 .?.#.._memmove.. 2fb80: 00043f02 230c085f 6d656d63 6d700000 ..?.#.._memcmp.. 2fb90: 00044c02 2310000c 72656769 73746572 ..L.#...register 2fba0: 5f64756d 705f7300 00010300 0004b504 _dump_s......... 2fbb0: 00020103 000004cf 04000201 03000004 ................ 2fbc0: d8040006 0000011b 01030000 04e10400 ................ 2fbd0: 0d686f73 7469665f 73000400 00053d0e .hostif_s.....=. 2fbe0: 4849465f 55534200 000e4849 465f5043 HIF_USB...HIF_PC 2fbf0: 49450001 0e484946 5f474d41 4300020e IE...HIF_GMAC... 2fc00: 4849465f 50434900 030e4849 465f4e55 HIF_PCI...HIF_NU 2fc10: 4d00040e 4849465f 4e4f4e45 00050009 M...HIF_NONE.... 2fc20: 415f484f 53544946 00000004 ee060000 A_HOSTIF........ 2fc30: 053d0103 0000054b 04000600 00033901 .=.....K......9. 2fc40: 03000005 58040006 00000195 01030000 ....X........... 2fc50: 05650400 076d6973 635f6170 69002400 .e...misc_api.$. 2fc60: 00065508 5f737973 74656d5f 72657365 ..U._system_rese 2fc70: 74000000 01140223 00085f6d 61635f72 t......#.._mac_r 2fc80: 65736574 00000001 14022304 085f6173 eset......#.._as 2fc90: 73666169 6c000000 04d10223 08085f6d sfail......#.._m 2fca0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h 2fcb0: 616e646c 65720000 0004d102 230c085f andler......#.._ 2fcc0: 7265706f 72745f66 61696c75 72655f74 report_failure_t 2fcd0: 6f5f686f 73740000 0004da02 2310085f o_host......#.._ 2fce0: 74617267 65745f69 645f6765 74000000 target_id_get... 2fcf0: 04e70223 14085f69 735f686f 73745f70 ...#.._is_host_p 2fd00: 72657365 6e740000 00055102 2318085f resent....Q.#.._ 2fd10: 6b626869 74000000 055e0223 1c085f72 kbhit....^.#.._r 2fd20: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. 2fd30: 00056b02 23200006 0000037e 01030000 ..k.# .....~.... 2fd40: 06550400 06000003 7e010300 00066204 .U......~.....b. 2fd50: 00060000 011b0103 0000066f 04000600 ...........o.... 2fd60: 00011b01 03000006 7c040006 0000011b ........|....... 2fd70: 01030000 06890400 07737472 696e675f .........string_ 2fd80: 61706900 18000007 0f085f73 7472696e api......._strin 2fd90: 675f696e 69740000 00011402 2300085f g_init......#.._ 2fda0: 73747263 70790000 00065b02 2304085f strcpy....[.#.._ 2fdb0: 7374726e 63707900 00000668 02230808 strncpy....h.#.. 2fdc0: 5f737472 6c656e00 00000675 02230c08 _strlen....u.#.. 2fdd0: 5f737472 636d7000 00000682 02231008 _strcmp......#.. 2fde0: 5f737472 6e636d70 00000006 8f022314 _strncmp......#. 2fdf0: 000f0000 04131400 00071c10 0400095f ..............._ 2fe00: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... 2fe10: 070f0941 5f74696d 65725f74 00000007 ...A_timer_t.... 2fe20: 1c030000 07300400 02010300 00074604 .....0........F. 2fe30: 00020103 0000074f 04000941 5f48414e .......O...A_HAN 2fe40: 444c4500 00000413 02010941 5f54494d DLE........A_TIM 2fe50: 45525f46 554e4300 00000766 03000007 ER_FUNC....f.... 2fe60: 68040002 01030000 07810400 0774696d h............tim 2fe70: 65725f61 70690014 00000800 085f7469 er_api......._ti 2fe80: 6d65725f 696e6974 00000001 14022300 mer_init......#. 2fe90: 085f7469 6d65725f 61726d00 00000748 ._timer_arm....H 2fea0: 02230408 5f74696d 65725f64 69736172 .#.._timer_disar 2feb0: 6d000000 07510223 08085f74 696d6572 m....Q.#.._timer 2fec0: 5f736574 666e0000 00078302 230c085f _setfn......#.._ 2fed0: 74696d65 725f7275 6e000000 01140223 timer_run......# 2fee0: 10000942 4f4f4c45 414e0000 00019506 ...BOOLEAN...... 2fef0: 00000800 01030000 080d0400 06000008 ................ 2ff00: 00010300 00081a04 00060000 08000103 ................ 2ff10: 00000827 04000772 6f6d705f 61706900 ...'...romp_api. 2ff20: 10000008 99085f72 6f6d705f 696e6974 ......_romp_init 2ff30: 00000001 14022300 085f726f 6d705f64 ......#.._romp_d 2ff40: 6f776e6c 6f616400 00000813 02230408 ownload......#.. 2ff50: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... 2ff60: 08200223 08085f72 6f6d705f 6465636f . .#.._romp_deco 2ff70: 64650000 00082d02 230c0007 726f6d5f de....-.#...rom_ 2ff80: 70617463 685f7374 00100000 08f50863 patch_st.......c 2ff90: 72633136 00000001 95022300 086c656e rc16......#..len 2ffa0: 00000001 95022302 086c645f 61646472 ......#..ld_addr 2ffb0: 00000001 b8022304 0866756e 5f616464 ......#..fun_add 2ffc0: 72000000 01b80223 08087066 756e0000 r......#..pfun.. 2ffd0: 00034f02 230c0007 6565705f 72656469 ..O.#...eep_redi 2ffe0: 725f6164 64720004 00000927 086f6666 r_addr.....'.off 2fff0: 73657400 00000195 02230008 73697a65 set......#..size 30000: 00000001 95022302 0009415f 55494e54 ......#...A_UINT 30010: 33320000 00041306 00000410 01030000 32.............. 30020: 09350400 07616c6c 6f637261 6d5f6170 .5...allocram_ap 30030: 69000c00 0009a608 636d6e6f 735f616c i.......cmnos_al 30040: 6c6f6372 616d5f69 6e697400 0000093b locram_init....; 30050: 02230008 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr 30060: 616d0000 00093b02 23040863 6d6e6f73 am....;.#..cmnos 30070: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. 30080: 00000114 02230800 02010300 0009a604 .....#.......... 30090: 0009415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC 300a0: 00000009 a8075f74 61736b6c 65740010 ......_tasklet.. 300b0: 00000a07 0866756e 63000000 09af0223 .....func......# 300c0: 00086172 67000000 04100223 04087374 ..arg......#..st 300d0: 61746500 0000011b 02230808 6e657874 ate......#..next 300e0: 0000000a 0702230c 00030000 09c30400 ......#......... 300f0: 03000009 c3040009 415f7461 736b6c65 ........A_taskle 30100: 745f7400 000009c3 0300000a 15040002 t_t............. 30110: 01030000 0a2d0400 02010300 000a3604 .....-........6. 30120: 00077461 736b6c65 745f6170 69001400 ..tasklet_api... 30130: 000acb08 5f746173 6b6c6574 5f696e69 ...._tasklet_ini 30140: 74000000 01140223 00085f74 61736b6c t......#.._taskl 30150: 65745f69 6e69745f 7461736b 0000000a et_init_task.... 30160: 2f022304 085f7461 736b6c65 745f6469 /.#.._tasklet_di 30170: 7361626c 65000000 0a380223 08085f74 sable....8.#.._t 30180: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. 30190: 00000a38 02230c08 5f746173 6b6c6574 ...8.#.._tasklet 301a0: 5f72756e 00000001 14022310 00020103 _run......#..... 301b0: 00000acb 04000600 00092701 0300000a ..........'..... 301c0: d4040002 01030000 0ae10400 07636c6f .............clo 301d0: 636b5f61 70690024 00000bc3 085f636c ck_api.$....._cl 301e0: 6f636b5f 696e6974 0000000a cd022300 ock_init......#. 301f0: 085f636c 6f636b72 6567735f 696e6974 ._clockregs_init 30200: 00000001 14022304 085f7561 72745f66 ......#.._uart_f 30210: 72657175 656e6379 0000000a da022308 requency......#. 30220: 085f6465 6c61795f 75730000 000ae302 ._delay_us...... 30230: 230c085f 776c616e 5f62616e 645f7365 #.._wlan_band_se 30240: 74000000 0ae30223 10085f72 6566636c t......#.._refcl 30250: 6b5f7370 6565645f 67657400 00000ada k_speed_get..... 30260: 02231408 5f6d696c 6c697365 636f6e64 .#.._millisecond 30270: 73000000 0ada0223 18085f73 7973636c s......#.._syscl 30280: 6b5f6368 616e6765 00000001 1402231c k_change......#. 30290: 085f636c 6f636b5f 7469636b 00000001 ._clock_tick.... 302a0: 14022320 00060000 01b80103 00000bc3 ..# ............ 302b0: 04000941 5f6f6c64 5f696e74 725f7400 ...A_old_intr_t. 302c0: 000001b8 0600000b d0010300 000be204 ................ 302d0: 00020103 00000bef 04000201 0300000b ................ 302e0: f8040006 000001b8 01030000 0c010400 ................ 302f0: 09415f69 73725f74 0000000c 07020103 .A_isr_t........ 30300: 00000c1b 04000600 00041301 0300000c ................ 30310: 24040002 01030000 0c310400 07696e74 $........1...int 30320: 725f6170 69002c00 000d5308 5f696e74 r_api.,...S._int 30330: 725f696e 69740000 00011402 2300085f r_init......#.._ 30340: 696e7472 5f696e76 6f6b655f 69737200 intr_invoke_isr. 30350: 00000bc9 02230408 5f696e74 725f6469 .....#.._intr_di 30360: 7361626c 65000000 0be80223 08085f69 sable......#.._i 30370: 6e74725f 72657374 6f726500 00000bf1 ntr_restore..... 30380: 02230c08 5f696e74 725f6d61 736b5f69 .#.._intr_mask_i 30390: 6e756d00 00000bfa 02231008 5f696e74 num......#.._int 303a0: 725f756e 6d61736b 5f696e75 6d000000 r_unmask_inum... 303b0: 0bfa0223 14085f69 6e74725f 61747461 ...#.._intr_atta 303c0: 63685f69 73720000 000c1d02 2318085f ch_isr......#.._ 303d0: 6765745f 696e7472 656e6162 6c650000 get_intrenable.. 303e0: 000c2a02 231c085f 7365745f 696e7472 ..*.#.._set_intr 303f0: 656e6162 6c650000 000c3302 2320085f enable....3.# ._ 30400: 6765745f 696e7472 70656e64 696e6700 get_intrpending. 30410: 00000c2a 02232408 5f756e62 6c6f636b ...*.#$._unblock 30420: 5f616c6c 5f696e74 726c766c 00000001 _all_intrlvl.... 30430: 14022328 00110400 000d7908 74696d65 ..#(......y.time 30440: 6f757400 000001b8 02230008 61637469 out......#..acti 30450: 6f6e0000 0001b802 23000012 0800000d on......#....... 30460: 9408636d 64000000 01b80223 00130000 ..cmd......#.... 30470: 0d530223 04000954 5f574454 5f434d44 .S.#...T_WDT_CMD 30480: 0000000d 79020103 00000da3 04001404 ....y........... 30490: 00000df9 0e454e55 4d5f5744 545f424f .....ENUM_WDT_BO 304a0: 4f540001 0e454e55 4d5f434f 4c445f42 OT...ENUM_COLD_B 304b0: 4f4f5400 020e454e 554d5f53 5553505f OOT...ENUM_SUSP_ 304c0: 424f4f54 00030e45 4e554d5f 554e4b4e BOOT...ENUM_UNKN 304d0: 4f574e5f 424f4f54 00040009 545f424f OWN_BOOT....T_BO 304e0: 4f545f54 59504500 00000dac 0600000d OT_TYPE......... 304f0: f9010300 000e0a04 00077764 745f6170 ..........wdt_ap 30500: 69001c00 000eae08 5f776474 5f696e69 i......._wdt_ini 30510: 74000000 01140223 00085f77 64745f65 t......#.._wdt_e 30520: 6e61626c 65000000 01140223 04085f77 nable......#.._w 30530: 64745f64 69736162 6c650000 00011402 dt_disable...... 30540: 2308085f 7764745f 73657400 00000da5 #.._wdt_set..... 30550: 02230c08 5f776474 5f746173 6b000000 .#.._wdt_task... 30560: 01140223 10085f77 64745f72 65736574 ...#.._wdt_reset 30570: 00000001 14022314 085f7764 745f6c61 ......#.._wdt_la 30580: 73745f62 6f6f7400 00000e10 02231800 st_boot......#.. 30590: 14040000 0f150e52 45545f53 55434345 .......RET_SUCCE 305a0: 53530000 0e524554 5f4e4f54 5f494e49 SS...RET_NOT_INI 305b0: 5400010e 5245545f 4e4f545f 45584953 T...RET_NOT_EXIS 305c0: 5400020e 5245545f 4545505f 434f5252 T...RET_EEP_CORR 305d0: 55505400 030e5245 545f4545 505f4f56 UPT...RET_EEP_OV 305e0: 4552464c 4f570004 0e524554 5f554e4b ERFLOW...RET_UNK 305f0: 4e4f574e 00050009 545f4545 505f5245 NOWN....T_EEP_RE 30600: 54000000 0eae0300 00019504 00060000 T............... 30610: 0f150103 00000f2b 04000600 000f1501 .......+........ 30620: 0300000f 38040007 6565705f 61706900 ....8...eep_api. 30630: 1000000f a1085f65 65705f69 6e697400 ......_eep_init. 30640: 00000114 02230008 5f656570 5f726561 .....#.._eep_rea 30650: 64000000 0f310223 04085f65 65705f77 d....1.#.._eep_w 30660: 72697465 0000000f 31022308 085f6565 rite....1.#.._ee 30670: 705f6973 5f657869 73740000 000f3e02 p_is_exist....>. 30680: 230c0007 7573625f 61706900 70000012 #...usb_api.p... 30690: 4e085f75 73625f69 6e697400 00000114 N._usb_init..... 306a0: 02230008 5f757362 5f726f6d 5f746173 .#.._usb_rom_tas 306b0: 6b000000 01140223 04085f75 73625f66 k......#.._usb_f 306c0: 775f7461 736b0000 00011402 2308085f w_task......#.._ 306d0: 7573625f 696e6974 5f706879 00000001 usb_init_phy.... 306e0: 1402230c 085f7573 625f6570 305f7365 ..#.._usb_ep0_se 306f0: 74757000 00000114 02231008 5f757362 tup......#.._usb 30700: 5f657030 5f747800 00000114 02231408 _ep0_tx......#.. 30710: 5f757362 5f657030 5f727800 00000114 _usb_ep0_rx..... 30720: 02231808 5f757362 5f676574 5f696e74 .#.._usb_get_int 30730: 65726661 63650000 00082002 231c085f erface.... .#.._ 30740: 7573625f 7365745f 696e7465 72666163 usb_set_interfac 30750: 65000000 08200223 20085f75 73625f67 e.... .# ._usb_g 30760: 65745f63 6f6e6669 67757261 74696f6e et_configuration 30770: 00000008 20022324 085f7573 625f7365 .... .#$._usb_se 30780: 745f636f 6e666967 75726174 696f6e00 t_configuration. 30790: 00000820 02232808 5f757362 5f737461 ... .#(._usb_sta 307a0: 6e646172 645f636d 64000000 08200223 ndard_cmd.... .# 307b0: 2c085f75 73625f76 656e646f 725f636d ,._usb_vendor_cm 307c0: 64000000 01140223 30085f75 73625f70 d......#0._usb_p 307d0: 6f776572 5f6f6666 00000001 14022334 ower_off......#4 307e0: 085f7573 625f7265 7365745f 6669666f ._usb_reset_fifo 307f0: 00000001 14022338 085f7573 625f6765 ......#8._usb_ge 30800: 6e5f7764 74000000 01140223 3c085f75 n_wdt......#<._u 30810: 73625f6a 756d705f 626f6f74 00000001 sb_jump_boot.... 30820: 14022340 085f7573 625f636c 725f6665 ..#@._usb_clr_fe 30830: 61747572 65000000 08200223 44085f75 ature.... .#D._u 30840: 73625f73 65745f66 65617475 72650000 sb_set_feature.. 30850: 00082002 2348085f 7573625f 7365745f .. .#H._usb_set_ 30860: 61646472 65737300 00000820 02234c08 address.... .#L. 30870: 5f757362 5f676574 5f646573 63726970 _usb_get_descrip 30880: 746f7200 00000820 02235008 5f757362 tor.... .#P._usb 30890: 5f676574 5f737461 74757300 00000820 _get_status.... 308a0: 02235408 5f757362 5f736574 75705f64 .#T._usb_setup_d 308b0: 65736300 00000114 02235808 5f757362 esc......#X._usb 308c0: 5f726567 5f6f7574 00000001 1402235c _reg_out......#\ 308d0: 085f7573 625f7374 61747573 5f696e00 ._usb_status_in. 308e0: 00000114 02236008 5f757362 5f657030 .....#`._usb_ep0 308f0: 5f74785f 64617461 00000001 14022364 _tx_data......#d 30900: 085f7573 625f6570 305f7278 5f646174 ._usb_ep0_rx_dat 30910: 61000000 01140223 68085f75 73625f63 a......#h._usb_c 30920: 6c6b5f69 6e697400 00000114 02236c00 lk_init......#l. 30930: 075f5644 45534300 24000012 da086e65 ._VDESC.$.....ne 30940: 78745f64 65736300 000012da 02230008 xt_desc......#.. 30950: 6275665f 61646472 00000012 ee022304 buf_addr......#. 30960: 08627566 5f73697a 65000000 12f50223 .buf_size......# 30970: 08086461 74615f6f 66667365 74000000 ..data_offset... 30980: 12f50223 0a086461 74615f73 697a6500 ...#..data_size. 30990: 000012f5 02230c08 636f6e74 726f6c00 .....#..control. 309a0: 000012f5 02230e08 68775f64 6573635f .....#..hw_desc_ 309b0: 62756600 00001303 02231000 03000012 buf......#...... 309c0: 4e040009 415f5549 4e543800 00000328 N...A_UINT8....( 309d0: 03000012 e1040009 415f5549 4e543136 ........A_UINT16 309e0: 00000001 7f0f0000 12e11400 00131010 ................ 309f0: 13000300 00124e04 00095644 45534300 ......N...VDESC. 30a00: 0000124e 03000013 17040006 00001322 ...N..........." 30a10: 01030000 13290400 06000012 ee010300 .....).......... 30a20: 00133604 00020103 00001343 04000776 ..6........C...v 30a30: 64657363 5f617069 00140000 13bb085f desc_api......._ 30a40: 696e6974 0000000a e3022300 085f616c init......#.._al 30a50: 6c6f635f 76646573 63000000 132f0223 loc_vdesc..../.# 30a60: 04085f67 65745f68 775f6465 73630000 .._get_hw_desc.. 30a70: 00133c02 2308085f 73776170 5f766465 ..<.#.._swap_vde 30a80: 73630000 00134502 230c0870 52657365 sc....E.#..pRese 30a90: 72766564 00000004 10022310 00075f56 rved......#..._V 30aa0: 42554600 20000014 1b086465 73635f6c BUF. .....desc_l 30ab0: 69737400 00001322 02230008 6e657874 ist....".#..next 30ac0: 5f627566 00000014 1b022304 08627566 _buf......#..buf 30ad0: 5f6c656e 67746800 000012f5 02230808 _length......#.. 30ae0: 72657365 72766564 00000014 2202230a reserved....".#. 30af0: 08637478 00000013 0302230c 00030000 .ctx......#..... 30b00: 13bb0400 0f000012 e1020000 142f1001 ............./.. 30b10: 00030000 13bb0400 09564255 46000000 .........VBUF... 30b20: 13bb0300 00143604 00060000 14400103 ......6......@.. 30b30: 00001447 04000600 00144001 03000014 ...G......@..... 30b40: 54040002 01030000 14610400 07766275 T........a...vbu 30b50: 665f6170 69001400 0014df08 5f696e69 f_api......._ini 30b60: 74000000 0ae30223 00085f61 6c6c6f63 t......#.._alloc 30b70: 5f766275 66000000 144d0223 04085f61 _vbuf....M.#.._a 30b80: 6c6c6f63 5f766275 665f7769 74685f73 lloc_vbuf_with_s 30b90: 697a6500 0000145a 02230808 5f667265 ize....Z.#.._fre 30ba0: 655f7662 75660000 00146302 230c0870 e_vbuf....c.#..p 30bb0: 52657365 72766564 00000004 10022310 Reserved......#. 30bc0: 00075f5f 6164665f 64657669 63650004 ..__adf_device.. 30bd0: 00001501 0864756d 6d790000 00011b02 .....dummy...... 30be0: 23000003 00000927 0400075f 5f616466 #......'...__adf 30bf0: 5f646d61 5f6d6170 000c0000 15480862 _dma_map.....H.b 30c00: 75660000 00144002 23000864 735f6164 uf....@.#..ds_ad 30c10: 64720000 00150102 23040864 735f6c65 dr......#..ds_le 30c20: 6e000000 12f50223 0800120c 00001582 n......#........ 30c30: 085f5f76 615f7374 6b000000 037e0223 .__va_stk....~.# 30c40: 00085f5f 76615f72 65670000 00037e02 ..__va_reg....~. 30c50: 2304085f 5f76615f 6e647800 0000011b #..__va_ndx..... 30c60: 02230800 095f5f61 64665f6f 735f646d .#...__adf_os_dm 30c70: 615f6164 64725f74 00000009 27096164 a_addr_t....'.ad 30c80: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 30c90: 00001582 095f5f61 64665f6f 735f646d .....__adf_os_dm 30ca0: 615f7369 7a655f74 00000009 27096164 a_size_t....'.ad 30cb0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 30cc0: 000015b2 075f5f64 6d615f73 65677300 .....__dma_segs. 30cd0: 08000016 0e087061 64647200 0000159b ......paddr..... 30ce0: 02230008 6c656e00 000015cb 02230400 .#..len......#.. 30cf0: 095f5f61 5f75696e 7433325f 74000000 .__a_uint32_t... 30d00: 09270961 5f75696e 7433325f 74000000 .'.a_uint32_t... 30d10: 160e0f00 0015e208 0000163d 10000007 ...........=.... 30d20: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in 30d30: 666f000c 00001676 086e7365 67730000 fo.....v.nsegs.. 30d40: 00162002 23000864 6d615f73 65677300 .. .#..dma_segs. 30d50: 00001630 02230400 095f5f61 5f75696e ...0.#...__a_uin 30d60: 74385f74 00000012 e109615f 75696e74 t8_t......a_uint 30d70: 385f7400 00001676 03000016 87040007 8_t....v........ 30d80: 5f5f7367 5f736567 73000800 0016c808 __sg_segs....... 30d90: 76616464 72000000 16960223 00086c65 vaddr......#..le 30da0: 6e000000 16200223 04000f00 00169d20 n.... .#....... 30db0: 000016d5 10030007 6164665f 6f735f73 ........adf_os_s 30dc0: 676c6973 74002400 00170808 6e736567 glist.$.....nseg 30dd0: 73000000 16200223 00087367 5f736567 s.... .#..sg_seg 30de0: 73000000 16c80223 04001210 00001751 s......#.......Q 30df0: 0876656e 646f7200 00001620 02230008 .vendor.... .#.. 30e00: 64657669 63650000 00162002 23040873 device.... .#..s 30e10: 75627665 6e646f72 00000016 20022308 ubvendor.... .#. 30e20: 08737562 64657669 63650000 00162002 .subdevice.... . 30e30: 230c0004 6c6f6e67 206c6f6e 6720756e #...long long un 30e40: 7369676e 65642069 6e740007 0809415f signed int....A_ 30e50: 55494e54 36340000 00175109 5f5f615f UINT64....Q.__a_ 30e60: 75696e74 36345f74 00000017 6b09615f uint64_t....k.a_ 30e70: 75696e74 36345f74 00000017 79140400 uint64_t....y... 30e80: 0017d70e 4144465f 4f535f52 45534f55 ....ADF_OS_RESOU 30e90: 5243455f 54595045 5f4d454d 00000e41 RCE_TYPE_MEM...A 30ea0: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 30eb0: 5950455f 494f0001 00096164 665f6f73 YPE_IO....adf_os 30ec0: 5f726573 6f757263 655f7479 70655f74 _resource_type_t 30ed0: 00000017 9b121800 00182108 73746172 ..........!.star 30ee0: 74000000 178b0223 0008656e 64000000 t......#..end... 30ef0: 178b0223 08087479 70650000 0017d702 ...#..type...... 30f00: 23100009 6164665f 6f735f70 63695f64 #...adf_os_pci_d 30f10: 65765f69 645f7400 00001708 03000018 ev_id_t......... 30f20: 21040011 04000018 60087063 69000000 !.......`.pci... 30f30: 183a0223 00087261 77000000 04100223 .:.#..raw......# 30f40: 00001110 0000187f 08706369 00000018 .........pci.... 30f50: 21022300 08726177 00000004 10022300 !.#..raw......#. 30f60: 00096164 665f6472 765f6861 6e646c65 ..adf_drv_handle 30f70: 5f740000 00041009 6164665f 6f735f72 _t......adf_os_r 30f80: 65736f75 7263655f 74000000 17f30300 esource_t....... 30f90: 00189504 00096164 665f6f73 5f617474 ......adf_os_att 30fa0: 6163685f 64617461 5f740000 00186003 ach_data_t....`. 30fb0: 000018b3 04000300 0014df04 00095f5f ..............__ 30fc0: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 30fd0: 000018d4 09616466 5f6f735f 64657669 .....adf_os_devi 30fe0: 63655f74 00000018 db060000 187f0103 ce_t............ 30ff0: 00001907 04000201 03000019 14040009 ................ 31000: 6164665f 6f735f70 6d5f7400 00000410 adf_os_pm_t..... 31010: 02010300 00192e04 00140400 00196e0e ..............n. 31020: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 31030: 50434900 010e4144 465f4f53 5f425553 PCI...ADF_OS_BUS 31040: 5f545950 455f4745 4e455249 43000200 _TYPE_GENERIC... 31050: 09616466 5f6f735f 6275735f 74797065 .adf_os_bus_type 31060: 5f740000 00193709 6164665f 6f735f62 _t....7.adf_os_b 31070: 75735f72 65675f64 6174615f 74000000 us_reg_data_t... 31080: 18410300 00032804 00075f61 64665f64 .A....(..._adf_d 31090: 72765f69 6e666f00 2000001a 4b086472 rv_info. ...K.dr 310a0: 765f6174 74616368 00000019 0d022300 v_attach......#. 310b0: 08647276 5f646574 61636800 00001916 .drv_detach..... 310c0: 02230408 6472765f 73757370 656e6400 .#..drv_suspend. 310d0: 00001930 02230808 6472765f 72657375 ...0.#..drv_resu 310e0: 6d650000 00191602 230c0862 75735f74 me......#..bus_t 310f0: 79706500 0000196e 02231008 6275735f ype....n.#..bus_ 31100: 64617461 00000019 85022314 086d6f64 data......#..mod 31110: 5f6e616d 65000000 19a00223 18086966 _name......#..if 31120: 6e616d65 00000019 a002231c 00096164 name......#...ad 31130: 665f6f73 5f68616e 646c655f 74000000 f_os_handle_t... 31140: 04100300 00167604 00020102 01095f5f ......v.......__ 31150: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 31160: 04131404 00001a9a 0e415f46 414c5345 .........A_FALSE 31170: 00000e41 5f545255 45000100 09615f62 ...A_TRUE....a_b 31180: 6f6f6c5f 74000000 1a800300 00150804 ool_t........... 31190: 00095f5f 6164665f 6f735f64 6d615f6d ..__adf_os_dma_m 311a0: 61705f74 0000001a a802010d 6164665f ap_t........adf_ 311b0: 6f735f63 61636865 5f73796e 63000400 os_cache_sync... 311c0: 001b320e 4144465f 53594e43 5f505245 ..2.ADF_SYNC_PRE 311d0: 52454144 00000e41 44465f53 594e435f READ...ADF_SYNC_ 311e0: 50524557 52495445 00020e41 44465f53 PREWRITE...ADF_S 311f0: 594e435f 504f5354 52454144 00010e41 YNC_POSTREAD...A 31200: 44465f53 594e435f 504f5354 57524954 DF_SYNC_POSTWRIT 31210: 45000300 09616466 5f6f735f 63616368 E....adf_os_cach 31220: 655f7379 6e635f74 0000001a c9020109 e_sync_t........ 31230: 6164665f 6f735f73 697a655f 74000000 adf_os_size_t... 31240: 1a6b0600 001b4d01 09616466 5f6f735f .k....M..adf_os_ 31250: 646d615f 6d61705f 74000000 1aaf0300 dma_map_t....... 31260: 001b6604 00060000 04100103 00001aaf ..f............. 31270: 04000600 00041001 02010600 00159b01 ................ 31280: 02010473 686f7274 20696e74 00050209 ...short int.... 31290: 415f494e 54313600 00001ba0 095f5f61 A_INT16......__a 312a0: 5f696e74 31365f74 0000001b ad09615f _int16_t......a_ 312b0: 696e7431 365f7400 00001bba 04736967 int16_t......sig 312c0: 6e656420 63686172 00050109 415f494e ned char....A_IN 312d0: 54380000 001bda09 5f5f615f 696e7438 T8......__a_int8 312e0: 5f740000 001be909 615f696e 74385f74 _t......a_int8_t 312f0: 0000001b f5120c00 001c6c08 73757070 ..........l.supp 31300: 6f727465 64000000 16200223 00086164 orted.... .#..ad 31310: 76657274 697a6564 00000016 20022304 vertized.... .#. 31320: 08737065 65640000 001bcb02 23080864 .speed......#..d 31330: 75706c65 78000000 1c050223 0a086175 uplex......#..au 31340: 746f6e65 67000000 16870223 0b000f00 toneg......#.... 31350: 00168706 00001c79 10050007 6164665f .......y....adf_ 31360: 6e65745f 65746861 64647200 0600001c net_ethaddr..... 31370: 9d086164 64720000 001c6c02 23000009 ..addr....l.#... 31380: 5f5f615f 75696e74 31365f74 00000012 __a_uint16_t.... 31390: f509615f 75696e74 31365f74 0000001c ..a_uint16_t.... 313a0: 9d120e00 001d0108 65746865 725f6468 ........ether_dh 313b0: 6f737400 00001c6c 02230008 65746865 ost....l.#..ethe 313c0: 725f7368 6f737400 00001c6c 02230608 r_shost....l.#.. 313d0: 65746865 725f7479 70650000 001caf02 ether_type...... 313e0: 230c0012 1400001d c2156970 5f766572 #.........ip_ver 313f0: 73696f6e 00000016 87010004 02230015 sion.........#.. 31400: 69705f68 6c000000 16870104 04022300 ip_hl.........#. 31410: 0869705f 746f7300 00001687 02230108 .ip_tos......#.. 31420: 69705f6c 656e0000 001caf02 23020869 ip_len......#..i 31430: 705f6964 0000001c af022304 0869705f p_id......#..ip_ 31440: 66726167 5f6f6666 0000001c af022306 frag_off......#. 31450: 0869705f 74746c00 00001687 02230808 .ip_ttl......#.. 31460: 69705f70 726f746f 00000016 87022309 ip_proto......#. 31470: 0869705f 63686563 6b000000 1caf0223 .ip_check......# 31480: 0a086970 5f736164 64720000 00162002 ..ip_saddr.... . 31490: 230c0869 705f6461 64647200 00001620 #..ip_daddr.... 314a0: 02231000 07616466 5f6e6574 5f766c61 .#...adf_net_vla 314b0: 6e686472 00040000 1e140874 70696400 nhdr.......tpid. 314c0: 00001caf 02230015 7072696f 00000016 .....#..prio.... 314d0: 87010003 02230215 63666900 00001687 .....#..cfi..... 314e0: 01030102 23021576 69640000 001caf02 ....#..vid...... 314f0: 040c0223 02000761 64665f6e 65745f76 ...#...adf_net_v 31500: 69640002 00001e45 15726573 00000016 id.....E.res.... 31510: 87010004 02230015 76616c00 00001caf .....#..val..... 31520: 02040c02 23000012 0c00001e 81087278 ....#.........rx 31530: 5f627566 73697a65 00000016 20022300 _bufsize.... .#. 31540: 0872785f 6e646573 63000000 16200223 .rx_ndesc.... .# 31550: 04087478 5f6e6465 73630000 00162002 ..tx_ndesc.... . 31560: 23080012 0800001e a708706f 6c6c6564 #.........polled 31570: 0000001a 9a022300 08706f6c 6c5f7774 ......#..poll_wt 31580: 00000016 20022304 000f0000 16874000 .... .#.......@. 31590: 001eb410 3f001246 00001edc 0869665f ....?..F.....if_ 315a0: 6e616d65 0000001e a7022300 08646576 name......#..dev 315b0: 5f616464 72000000 1c6c0223 40001404 _addr....l.#@... 315c0: 00001f13 0e414446 5f4f535f 444d415f .....ADF_OS_DMA_ 315d0: 4d41534b 5f333242 49540000 0e414446 MASK_32BIT...ADF 315e0: 5f4f535f 444d415f 4d41534b 5f363442 _OS_DMA_MASK_64B 315f0: 49540001 00096164 665f6f73 5f646d61 IT....adf_os_dma 31600: 5f6d6173 6b5f7400 00001edc 07616466 _mask_t......adf 31610: 5f646d61 5f696e66 6f000800 001f6008 _dma_info.....`. 31620: 646d615f 6d61736b 0000001f 13022300 dma_mask......#. 31630: 0873675f 6e736567 73000000 16200223 .sg_nsegs.... .# 31640: 04001404 00001fb6 0e414446 5f4e4554 .........ADF_NET 31650: 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD 31660: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ 31670: 5544505f 49507634 00010e41 44465f4e UDP_IPv4...ADF_N 31680: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 31690: 5f495076 36000200 09616466 5f6e6574 _IPv6....adf_net 316a0: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... 316b0: 1f601208 00001ff9 0874785f 636b7375 .`.......tx_cksu 316c0: 6d000000 1fb60223 00087278 5f636b73 m......#..rx_cks 316d0: 756d0000 001fb602 23040009 6164665f um......#...adf_ 316e0: 6e65745f 636b7375 6d5f696e 666f5f74 net_cksum_info_t 316f0: 0000001f d0140400 0020520e 4144465f ......... R.ADF_ 31700: 4e45545f 54534f5f 4e4f4e45 00000e41 NET_TSO_NONE...A 31710: 44465f4e 45545f54 534f5f49 50563400 DF_NET_TSO_IPV4. 31720: 010e4144 465f4e45 545f5453 4f5f414c ..ADF_NET_TSO_AL 31730: 4c000200 09616466 5f6e6574 5f74736f L....adf_net_tso 31740: 5f747970 655f7400 00002013 12100000 _type_t... ..... 31750: 20a60863 6b73756d 5f636170 0000001f ..cksum_cap.... 31760: f9022300 0874736f 00000020 52022308 ..#..tso... R.#. 31770: 08766c61 6e5f7375 70706f72 74656400 .vlan_supported. 31780: 00001687 02230c00 12200000 213f0874 .....#... ..!?.t 31790: 785f7061 636b6574 73000000 16200223 x_packets.... .# 317a0: 00087278 5f706163 6b657473 00000016 ..rx_packets.... 317b0: 20022304 0874785f 62797465 73000000 .#..tx_bytes... 317c0: 16200223 08087278 5f627974 65730000 . .#..rx_bytes.. 317d0: 00162002 230c0874 785f6472 6f707065 .. .#..tx_droppe 317e0: 64000000 16200223 10087278 5f64726f d.... .#..rx_dro 317f0: 70706564 00000016 20022314 0872785f pped.... .#..rx_ 31800: 6572726f 72730000 00162002 23180874 errors.... .#..t 31810: 785f6572 726f7273 00000016 2002231c x_errors.... .#. 31820: 00096164 665f6e65 745f6574 68616464 ..adf_net_ethadd 31830: 725f7400 00001c79 16000021 3f030000 r_t....y...!?... 31840: 00216410 7f001761 64665f6e 65745f63 .!d....adf_net_c 31850: 6d645f6d 63616464 72000304 0000219b md_mcaddr.....!. 31860: 086e656c 656d0000 00162002 2300086d .nelem.... .#..m 31870: 63617374 00000021 56022304 00096164 cast...!V.#...ad 31880: 665f6e65 745f636d 645f6c69 6e6b5f69 f_net_cmd_link_i 31890: 6e666f5f 74000000 1c130961 64665f6e nfo_t......adf_n 318a0: 65745f63 6d645f70 6f6c6c5f 696e666f et_cmd_poll_info 318b0: 5f740000 001e8109 6164665f 6e65745f _t......adf_net_ 318c0: 636d645f 636b7375 6d5f696e 666f5f74 cmd_cksum_info_t 318d0: 0000001f f9096164 665f6e65 745f636d ......adf_net_cm 318e0: 645f7269 6e675f69 6e666f5f 74000000 d_ring_info_t... 318f0: 1e450961 64665f6e 65745f63 6d645f64 .E.adf_net_cmd_d 31900: 6d615f69 6e666f5f 74000000 1f2a0961 ma_info_t....*.a 31910: 64665f6e 65745f63 6d645f76 69645f74 df_net_cmd_vid_t 31920: 0000001c af096164 665f6e65 745f636d ......adf_net_cm 31930: 645f6f66 666c6f61 645f6361 705f7400 d_offload_cap_t. 31940: 0000206a 09616466 5f6e6574 5f636d64 .. j.adf_net_cmd 31950: 5f737461 74735f74 00000020 a6096164 _stats_t... ..ad 31960: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 31970: 5f740000 0021640d 6164665f 6e65745f _t...!d.adf_net_ 31980: 636d645f 6d636173 745f6361 70000400 cmd_mcast_cap... 31990: 0022dd0e 4144465f 4e45545f 4d434153 ."..ADF_NET_MCAS 319a0: 545f5355 5000000e 4144465f 4e45545f T_SUP...ADF_NET_ 319b0: 4d434153 545f4e4f 54535550 00010009 MCAST_NOTSUP.... 319c0: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas 319d0: 745f6361 705f7400 00002295 18030400 t_cap_t..."..... 319e0: 0023af08 6c696e6b 5f696e66 6f000000 .#..link_info... 319f0: 219b0223 0008706f 6c6c5f69 6e666f00 !..#..poll_info. 31a00: 000021b8 02230008 636b7375 6d5f696e ..!..#..cksum_in 31a10: 666f0000 0021d502 23000872 696e675f fo...!..#..ring_ 31a20: 696e666f 00000021 f3022300 08646d61 info...!..#..dma 31a30: 5f696e66 6f000000 22100223 00087669 _info..."..#..vi 31a40: 64000000 222c0223 00086f66 666c6f61 d...",.#..offloa 31a50: 645f6361 70000000 22430223 00087374 d_cap..."C.#..st 31a60: 61747300 00002262 02230008 6d636173 ats..."b.#..mcas 31a70: 745f696e 666f0000 00227b02 2300086d t_info..."{.#..m 31a80: 63617374 5f636170 00000022 dd022300 cast_cap..."..#. 31a90: 00140400 0024060e 4144465f 4e425546 .....$..ADF_NBUF 31aa0: 5f52585f 434b5355 4d5f4e4f 4e450000 _RX_CKSUM_NONE.. 31ab0: 0e414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 31ac0: 554d5f48 5700010e 4144465f 4e425546 UM_HW...ADF_NBUF 31ad0: 5f52585f 434b5355 4d5f554e 4e454345 _RX_CKSUM_UNNECE 31ae0: 53534152 59000200 09616466 5f6e6275 SSARY....adf_nbu 31af0: 665f7278 5f636b73 756d5f74 7970655f f_rx_cksum_type_ 31b00: 74000000 23af1208 00002446 08726573 t...#.....$F.res 31b10: 756c7400 00002406 02230008 76616c00 ult...$..#..val. 31b20: 00001620 02230400 12080000 24760874 ... .#......$v.t 31b30: 79706500 00002052 02230008 6d737300 ype... R.#..mss. 31b40: 00001caf 02230408 6864725f 6f666600 .....#..hdr_off. 31b50: 00001687 02230600 075f5f61 64665f6e .....#...__adf_n 31b60: 6275665f 71686561 64000c00 0024b508 buf_qhead....$.. 31b70: 68656164 00000014 40022300 08746169 head....@.#..tai 31b80: 6c000000 14400223 0408716c 656e0000 l....@.#..qlen.. 31b90: 00162002 23080009 5f5f6164 665f6e62 .. .#...__adf_nb 31ba0: 75665f74 00000014 40030000 16960400 uf_t....@....... 31bb0: 03000016 20040002 01060000 13220106 .... ........".. 31bc0: 00001620 01060000 16960106 00001696 ... ............ 31bd0: 01030000 13030400 095f5f61 64665f6e .........__adf_n 31be0: 6275665f 71686561 645f7400 00002476 buf_qhead_t...$v 31bf0: 095f5f61 64665f6e 6275665f 71756575 .__adf_nbuf_queu 31c00: 655f7400 000024f6 03000025 0e040006 e_t...$....%.... 31c10: 000024b5 01060000 24b50114 04000026 ..$.....$......& 31c20: 2e0e415f 53544154 55535f4f 4b00000e ..A_STATUS_OK... 31c30: 415f5354 41545553 5f464149 4c454400 A_STATUS_FAILED. 31c40: 010e415f 53544154 55535f45 4e4f454e ..A_STATUS_ENOEN 31c50: 5400020e 415f5354 41545553 5f454e4f T...A_STATUS_ENO 31c60: 4d454d00 030e415f 53544154 55535f45 MEM...A_STATUS_E 31c70: 494e5641 4c00040e 415f5354 41545553 INVAL...A_STATUS 31c80: 5f45494e 50524f47 52455353 00050e41 _EINPROGRESS...A 31c90: 5f535441 5455535f 454e4f54 53555050 _STATUS_ENOTSUPP 31ca0: 00060e41 5f535441 5455535f 45425553 ...A_STATUS_EBUS 31cb0: 5900070e 415f5354 41545553 5f453242 Y...A_STATUS_E2B 31cc0: 49470008 0e415f53 54415455 535f4541 IG...A_STATUS_EA 31cd0: 4444524e 4f544156 41494c00 090e415f DDRNOTAVAIL...A_ 31ce0: 53544154 55535f45 4e58494f 000a0e41 STATUS_ENXIO...A 31cf0: 5f535441 5455535f 45464155 4c54000b _STATUS_EFAULT.. 31d00: 0e415f53 54415455 535f4549 4f000c00 .A_STATUS_EIO... 31d10: 09615f73 74617475 735f7400 00002539 .a_status_t...%9 31d20: 06000026 2e010600 00011b01 02010961 ...&...........a 31d30: 64665f6e 6275665f 74000000 24b51404 df_nbuf_t...$... 31d40: 00002693 0e414446 5f4f535f 444d415f ..&..ADF_OS_DMA_ 31d50: 544f5f44 45564943 4500000e 4144465f TO_DEVICE...ADF_ 31d60: 4f535f44 4d415f46 524f4d5f 44455649 OS_DMA_FROM_DEVI 31d70: 43450001 00096164 665f6f73 5f646d61 CE....adf_os_dma 31d80: 5f646972 5f740000 00265c06 0000262e _dir_t...&\...&. 31d90: 01020109 6164665f 6f735f64 6d616d61 ....adf_os_dmama 31da0: 705f696e 666f5f74 00000016 3d030000 p_info_t....=... 31db0: 26b10400 02010201 06000026 4c010600 &..........&L... 31dc0: 0024b501 02010201 06000026 4c010600 .$.........&L... 31dd0: 0024b501 06000026 4c010600 0024b501 .$.....&L....$.. 31de0: 06000026 4c010201 02010600 00162001 ...&L......... . 31df0: 06000016 96010201 02010600 001b4d01 ..............M. 31e00: 0600001a 9a010600 001a9a01 09616466 .............adf 31e10: 5f6f735f 73676c69 73745f74 00000016 _os_sglist_t.... 31e20: d5030000 272a0400 02010201 02010600 ....'*.......... 31e30: 00169601 09616466 5f6e6275 665f7175 .....adf_nbuf_qu 31e40: 6575655f 74000000 250e0300 00275204 eue_t...%....'R. 31e50: 00020103 000024f6 04000201 02010201 ......$......... 31e60: 06000026 4c010600 0024b501 06000016 ...&L....$...... 31e70: 20010600 00162001 0600001a 9a010600 ..... ......... 31e80: 001a9a01 0600001f b6010600 00162001 .............. . 31e90: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks 31ea0: 756d5f74 00000024 24030000 27ae0400 um_t...$$...'... 31eb0: 02010201 09616466 5f6e6275 665f7473 .....adf_nbuf_ts 31ec0: 6f5f7400 00002446 03000027 d2040002 o_t...$F...'.... 31ed0: 01020109 6164665f 6e65745f 68616e64 ....adf_net_hand 31ee0: 6c655f74 00000004 10096164 665f6e65 le_t......adf_ne 31ef0: 745f766c 616e6864 725f7400 00001dc2 t_vlanhdr_t..... 31f00: 03000028 07040006 0000262e 01060000 ...(......&..... 31f10: 262e0102 01020107 5f484946 5f434f4e &......._HIF_CON 31f20: 46494700 04000028 56086475 6d6d7900 FIG....(V.dummy. 31f30: 0000011b 02230000 02010300 00285604 .....#.......(V. 31f40: 00020103 0000285f 0400075f 4849465f ......(_..._HIF_ 31f50: 43414c4c 4241434b 000c0000 28b40873 CALLBACK....(..s 31f60: 656e645f 6275665f 646f6e65 00000028 end_buf_done...( 31f70: 58022300 08726563 765f6275 66000000 X.#..recv_buf... 31f80: 28610223 0408636f 6e746578 74000000 (a.#..context... 31f90: 04100223 08000968 69665f68 616e646c ...#...hif_handl 31fa0: 655f7400 00000410 09484946 5f434f4e e_t......HIF_CON 31fb0: 46494700 00002835 03000028 c6040006 FIG...(5...(.... 31fc0: 000028b4 01030000 28dd0400 02010300 ..(.....(....... 31fd0: 0028ea04 00094849 465f4341 4c4c4241 .(....HIF_CALLBA 31fe0: 434b0000 00286803 000028f3 04000201 CK...(h...(..... 31ff0: 03000029 0c040006 0000011b 01030000 ...)............ 32000: 29150400 02010300 00292204 00060000 )........)"..... 32010: 011b0103 0000292b 04000201 03000029 ......)+.......) 32020: 38040006 0000011b 01030000 29410400 8...........)A.. 32030: 02010300 00294e04 00076869 665f6170 .....)N...hif_ap 32040: 69003800 002aa708 5f696e69 74000000 i.8..*.._init... 32050: 28e30223 00085f73 68757464 6f776e00 (..#.._shutdown. 32060: 000028ec 02230408 5f726567 69737465 ..(..#.._registe 32070: 725f6361 6c6c6261 636b0000 00290e02 r_callback...).. 32080: 2308085f 6765745f 746f7461 6c5f6372 #.._get_total_cr 32090: 65646974 5f636f75 6e740000 00291b02 edit_count...).. 320a0: 230c085f 73746172 74000000 28ec0223 #.._start...(..# 320b0: 10085f63 6f6e6669 675f7069 70650000 .._config_pipe.. 320c0: 00292402 2314085f 73656e64 5f627566 .)$.#.._send_buf 320d0: 66657200 00002931 02231808 5f726574 fer...)1.#.._ret 320e0: 75726e5f 72656376 5f627566 00000029 urn_recv_buf...) 320f0: 3a02231c 085f6973 5f706970 655f7375 :.#.._is_pipe_su 32100: 70706f72 74656400 00002947 02232008 pported...)G.# . 32110: 5f676574 5f6d6178 5f6d7367 5f6c656e _get_max_msg_len 32120: 00000029 47022324 085f6765 745f7265 ...)G.#$._get_re 32130: 73657276 65645f68 65616472 6f6f6d00 served_headroom. 32140: 0000291b 02232808 5f697372 5f68616e ..)..#(._isr_han 32150: 646c6572 00000028 ec02232c 085f6765 dler...(..#,._ge 32160: 745f6465 6661756c 745f7069 70650000 t_default_pipe.. 32170: 00295002 23300870 52657365 72766564 .)P.#0.pReserved 32180: 00000004 10022334 000d646d 615f656e ......#4..dma_en 32190: 67696e65 00040000 2b300e44 4d415f45 gine....+0.DMA_E 321a0: 4e47494e 455f5258 3000000e 444d415f NGINE_RX0...DMA_ 321b0: 454e4749 4e455f52 58310001 0e444d41 ENGINE_RX1...DMA 321c0: 5f454e47 494e455f 52583200 020e444d _ENGINE_RX2...DM 321d0: 415f454e 47494e45 5f525833 00030e44 A_ENGINE_RX3...D 321e0: 4d415f45 4e47494e 455f5458 3000040e MA_ENGINE_TX0... 321f0: 444d415f 454e4749 4e455f54 58310005 DMA_ENGINE_TX1.. 32200: 0e444d41 5f454e47 494e455f 4d415800 .DMA_ENGINE_MAX. 32210: 06000964 6d615f65 6e67696e 655f7400 ...dma_engine_t. 32220: 00002aa7 0d646d61 5f696674 79706500 ..*..dma_iftype. 32230: 0400002b 7d0e444d 415f4946 5f474d41 ...+}.DMA_IF_GMA 32240: 4300000e 444d415f 49465f50 43490001 C...DMA_IF_PCI.. 32250: 0e444d41 5f49465f 50434945 00020009 .DMA_IF_PCIE.... 32260: 646d615f 69667479 70655f74 0000002b dma_iftype_t...+ 32270: 42060000 12f50103 00002b8f 04000201 B.........+..... 32280: 0300002b 9c040002 01030000 2ba50400 ...+........+... 32290: 06000009 27010300 002bae04 00060000 ....'....+...... 322a0: 12f50103 00002bbb 04000600 0012f501 ......+......... 322b0: 0300002b c8040006 00001440 01030000 ...+.......@.... 322c0: 2bd50400 02010300 002be204 0007646d +........+....dm 322d0: 615f6c69 625f6170 69003400 002ce908 a_lib_api.4..,.. 322e0: 74785f69 6e697400 00002b95 02230008 tx_init...+..#.. 322f0: 74785f73 74617274 0000002b 9e022304 tx_start...+..#. 32300: 0872785f 696e6974 0000002b 95022308 .rx_init...+..#. 32310: 0872785f 636f6e66 69670000 002ba702 .rx_config...+.. 32320: 230c0872 785f7374 61727400 00002b9e #..rx_start...+. 32330: 02231008 696e7472 5f737461 74757300 .#..intr_status. 32340: 00002bb4 02231408 68617264 5f786d69 ..+..#..hard_xmi 32350: 74000000 2bc10223 1808666c 7573685f t...+..#..flush_ 32360: 786d6974 0000002b 9e02231c 08786d69 xmit...+..#..xmi 32370: 745f646f 6e650000 002bce02 23200872 t_done...+..# .r 32380: 6561705f 786d6974 74656400 00002bdb eap_xmitted...+. 32390: 02232408 72656170 5f726563 76000000 .#$.reap_recv... 323a0: 2bdb0223 28087265 7475726e 5f726563 +..#(.return_rec 323b0: 76000000 2be40223 2c087265 63765f70 v...+..#,.recv_p 323c0: 6b740000 002bce02 23300007 5f5f7063 kt...+..#0..__pc 323d0: 695f736f 66746300 0c00002d 07087377 i_softc....-..sw 323e0: 00000028 f3022300 00095f5f 7063695f ...(..#...__pci_ 323f0: 736f6674 635f7400 00002ce9 0300002d softc_t...,....- 32400: 07040002 01030000 2d210400 06000012 ........-!...... 32410: e1010300 002d2a04 000d6869 665f7063 .....-*...hif_pc 32420: 695f7069 70655f74 78000400 002d8a0e i_pipe_tx....-.. 32430: 4849465f 5043495f 50495045 5f545830 HIF_PCI_PIPE_TX0 32440: 00000e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 32450: 54583100 010e4849 465f5043 495f5049 TX1...HIF_PCI_PI 32460: 50455f54 585f4d41 58000200 09686966 PE_TX_MAX....hif 32470: 5f706369 5f706970 655f7478 5f740000 _pci_pipe_tx_t.. 32480: 002d3706 00002b30 01030000 2da10400 .-7...+0....-... 32490: 0d686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 324a0: 00040000 2e270e48 49465f50 43495f50 .....'.HIF_PCI_P 324b0: 4950455f 52583000 000e4849 465f5043 IPE_RX0...HIF_PC 324c0: 495f5049 50455f52 58310001 0e484946 I_PIPE_RX1...HIF 324d0: 5f504349 5f504950 455f5258 3200020e _PCI_PIPE_RX2... 324e0: 4849465f 5043495f 50495045 5f525833 HIF_PCI_PIPE_RX3 324f0: 00030e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 32500: 52585f4d 41580004 00096869 665f7063 RX_MAX....hif_pc 32510: 695f7069 70655f72 785f7400 00002dae i_pipe_rx_t...-. 32520: 0600002b 30010300 002e3e04 00076869 ...+0.....>...hi 32530: 665f7063 695f6170 69002400 002f1c08 f_pci_api.$../.. 32540: 7063695f 626f6f74 5f696e69 74000000 pci_boot_init... 32550: 01140223 00087063 695f696e 69740000 ...#..pci_init.. 32560: 0028e302 23040870 63695f72 65736574 .(..#..pci_reset 32570: 00000001 14022308 08706369 5f656e61 ......#..pci_ena 32580: 626c6500 00000114 02230c08 7063695f ble......#..pci_ 32590: 72656170 5f786d69 74746564 0000002d reap_xmitted...- 325a0: 23022310 08706369 5f726561 705f7265 #.#..pci_reap_re 325b0: 63760000 002d2302 23140870 63695f67 cv...-#.#..pci_g 325c0: 65745f70 69706500 00002d30 02231808 et_pipe...-0.#.. 325d0: 7063695f 6765745f 74785f65 6e670000 pci_get_tx_eng.. 325e0: 002da702 231c0870 63695f67 65745f72 .-..#..pci_get_r 325f0: 785f656e 67000000 2e440223 20000767 x_eng....D.# ..g 32600: 6d61635f 61706900 0400002f 4308676d mac_api..../C.gm 32610: 61635f62 6f6f745f 696e6974 00000001 ac_boot_init.... 32620: 14022300 000f0000 03280600 002f5010 ..#......(.../P. 32630: 0500075f 5f657468 68647200 0e00002f ...__ethhdr..../ 32640: 86086473 74000000 2f430223 00087372 ..dst.../C.#..sr 32650: 63000000 2f430223 06086574 79706500 c.../C.#..etype. 32660: 000012f5 02230c00 075f5f61 74686864 .....#...__athhd 32670: 72000400 002fd415 72657300 000012e1 r..../..res..... 32680: 01000202 23001570 726f746f 00000012 ....#..proto.... 32690: e1010206 02230008 7265735f 6c6f0000 .....#..res_lo.. 326a0: 0012e102 23010872 65735f68 69000000 ....#..res_hi... 326b0: 12f50223 0200075f 5f676d61 635f6864 ...#...__gmac_hd 326c0: 72001400 00301008 65746800 00002f50 r....0..eth.../P 326d0: 02230008 61746800 00002f86 02230e08 .#..ath.../..#.. 326e0: 616c6967 6e5f7061 64000000 12f50223 align_pad......# 326f0: 1200095f 5f676d61 635f6864 725f7400 ...__gmac_hdr_t. 32700: 00002fd4 075f5f67 6d61635f 736f6674 ../..__gmac_soft 32710: 63002400 00305a08 68647200 00003010 c.$..0Z.hdr...0. 32720: 02230008 6772616e 00000012 f5022314 .#..gran......#. 32730: 08737700 000028f3 02231800 075f415f .sw...(..#..._A_ 32740: 6f735f6c 696e6b61 67655f63 6865636b os_linkage_check 32750: 00080000 30930876 65727369 6f6e0000 ....0..version.. 32760: 00011b02 23000874 61626c65 00000001 ....#..table.... 32770: 1b022304 00030000 305a0400 06000001 ..#.....0Z...... 32780: 1b010300 00309a04 00030000 04130400 .....0.......... 32790: 175f415f 636d6e6f 735f696e 64697265 ._A_cmnos_indire 327a0: 6374696f 6e5f7461 626c6500 01b80000 ction_table..... 327b0: 31ea0868 616c5f6c 696e6b61 67655f63 1..hal_linkage_c 327c0: 6865636b 00000030 a0022300 08737461 heck...0..#..sta 327d0: 72745f62 73730000 0030a702 23040861 rt_bss...0..#..a 327e0: 70705f73 74617274 00000001 14022308 pp_start......#. 327f0: 086d656d 00000004 5302230c 086d6973 .mem....S.#..mis 32800: 63000000 05720223 20087072 696e7466 c....r.# .printf 32810: 00000001 48022344 08756172 74000000 ....H.#D.uart... 32820: 02110223 4c08676d 61630000 002f1c02 ...#L.gmac.../.. 32830: 236c0875 73620000 000fa102 23700863 #l.usb......#p.c 32840: 6c6f636b 0000000a ea0323e0 01087469 lock......#...ti 32850: 6d657200 0000078a 03238402 08696e74 mer......#...int 32860: 72000000 0c3a0323 98020861 6c6c6f63 r....:.#...alloc 32870: 72616d00 00000942 0323c402 08726f6d ram....B.#...rom 32880: 70000000 08340323 d0020877 64745f74 p....4.#...wdt_t 32890: 696d6572 0000000e 170323e0 02086565 imer......#...ee 328a0: 70000000 0f450323 fc020873 7472696e p....E.#...strin 328b0: 67000000 06960323 8c030874 61736b6c g......#...taskl 328c0: 65740000 000a3f03 23a40300 075f5553 et....?.#...._US 328d0: 425f4649 464f5f43 4f4e4649 47001000 B_FIFO_CONFIG... 328e0: 00325d08 6765745f 636f6d6d 616e645f .2].get_command_ 328f0: 62756600 0000144d 02230008 72656376 buf....M.#..recv 32900: 5f636f6d 6d616e64 00000014 63022304 _command....c.#. 32910: 08676574 5f657665 6e745f62 75660000 .get_event_buf.. 32920: 00144d02 23080873 656e645f 6576656e ..M.#..send_even 32930: 745f646f 6e650000 00146302 230c0009 t_done....c.#... 32940: 5553425f 4649464f 5f434f4e 46494700 USB_FIFO_CONFIG. 32950: 000031ea 03000032 5d040002 01030000 ..1....2]....... 32960: 32790400 07757362 6669666f 5f617069 2y...usbfifo_api 32970: 000c0000 32cf085f 696e6974 00000032 ....2.._init...2 32980: 7b022300 085f656e 61626c65 5f657665 {.#.._enable_eve 32990: 6e745f69 73720000 00011402 23040870 nt_isr......#..p 329a0: 52657365 72766564 00000004 10022308 Reserved......#. 329b0: 000f0000 16870200 0032dc10 0100075f .........2....._ 329c0: 4854435f 4652414d 455f4844 52000800 HTC_FRAME_HDR... 329d0: 00334e08 456e6470 6f696e74 49440000 .3N.EndpointID.. 329e0: 00168702 23000846 6c616773 00000016 ....#..Flags.... 329f0: 87022301 08506179 6c6f6164 4c656e00 ..#..PayloadLen. 32a00: 00001caf 02230208 436f6e74 726f6c42 .....#..ControlB 32a10: 79746573 00000032 cf022304 08486f73 ytes...2..#..Hos 32a20: 74536571 4e756d00 00001caf 02230600 tSeqNum......#.. 32a30: 12020000 3367084d 65737361 67654944 ....3g.MessageID 32a40: 0000001c af022300 00120800 0033ca08 ......#......3.. 32a50: 4d657373 61676549 44000000 1caf0223 MessageID......# 32a60: 00084372 65646974 436f756e 74000000 ..CreditCount... 32a70: 1caf0223 02084372 65646974 53697a65 ...#..CreditSize 32a80: 0000001c af022304 084d6178 456e6470 ......#..MaxEndp 32a90: 6f696e74 73000000 16870223 06085f50 oints......#.._P 32aa0: 61643100 00001687 02230700 120a0000 ad1......#...... 32ab0: 3461084d 65737361 67654944 0000001c 4a.MessageID.... 32ac0: af022300 08536572 76696365 49440000 ..#..ServiceID.. 32ad0: 001caf02 23020843 6f6e6e65 6374696f ....#..Connectio 32ae0: 6e466c61 67730000 001caf02 23040844 nFlags......#..D 32af0: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... 32b00: 16870223 06085570 4c696e6b 50697065 ...#..UpLinkPipe 32b10: 49440000 00168702 23070853 65727669 ID......#..Servi 32b20: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... 32b30: 87022308 085f5061 64310000 00168702 ..#.._Pad1...... 32b40: 23090012 0a000034 e9084d65 73736167 #......4..Messag 32b50: 65494400 00001caf 02230008 53657276 eID......#..Serv 32b60: 69636549 44000000 1caf0223 02085374 iceID......#..St 32b70: 61747573 00000016 87022304 08456e64 atus......#..End 32b80: 706f696e 74494400 00001687 02230508 pointID......#.. 32b90: 4d61784d 73675369 7a650000 001caf02 MaxMsgSize...... 32ba0: 23060853 65727669 63654d65 74614c65 #..ServiceMetaLe 32bb0: 6e677468 00000016 87022308 085f5061 ngth......#.._Pa 32bc0: 64310000 00168702 23090012 02000035 d1......#......5 32bd0: 02084d65 73736167 65494400 00001caf ..MessageID..... 32be0: 02230000 12040000 353e084d 65737361 .#......5>.Messa 32bf0: 67654944 0000001c af022300 08506970 geID......#..Pip 32c00: 65494400 00001687 02230208 43726564 eID......#..Cred 32c10: 6974436f 756e7400 00001687 02230300 itCount......#.. 32c20: 12040000 3575084d 65737361 67654944 ....5u.MessageID 32c30: 0000001c af022300 08506970 65494400 ......#..PipeID. 32c40: 00001687 02230208 53746174 75730000 .....#..Status.. 32c50: 00168702 23030012 02000035 9c085265 ....#......5..Re 32c60: 636f7264 49440000 00168702 2300084c cordID......#..L 32c70: 656e6774 68000000 16870223 01001202 ength......#.... 32c80: 000035c6 08456e64 706f696e 74494400 ..5..EndpointID. 32c90: 00001687 02230008 43726564 69747300 .....#..Credits. 32ca0: 00001687 02230100 12040000 36070845 .....#......6..E 32cb0: 6e64706f 696e7449 44000000 16870223 ndpointID......# 32cc0: 00084372 65646974 73000000 16870223 ..Credits......# 32cd0: 01085467 74437265 64697453 65714e6f ..TgtCreditSeqNo 32ce0: 0000001c af022302 000f0000 16870400 ......#......... 32cf0: 00361410 03001206 00003650 08507265 .6........6P.Pre 32d00: 56616c69 64000000 16870223 00084c6f Valid......#..Lo 32d10: 6f6b4168 65616400 00003607 02230108 okAhead...6..#.. 32d20: 506f7374 56616c69 64000000 16870223 PostValid......# 32d30: 05000970 6f6f6c5f 68616e64 6c655f74 ...pool_handle_t 32d40: 00000004 10060000 36500103 00003663 ........6P....6c 32d50: 04000201 03000036 70040014 04000036 .......6p......6 32d60: ee0e504f 4f4c5f49 445f4854 435f434f ..POOL_ID_HTC_CO 32d70: 4e54524f 4c00000e 504f4f4c 5f49445f NTROL...POOL_ID_ 32d80: 574d495f 5356435f 434d445f 5245504c WMI_SVC_CMD_REPL 32d90: 5900010e 504f4f4c 5f49445f 574d495f Y...POOL_ID_WMI_ 32da0: 5356435f 4556454e 5400020e 504f4f4c SVC_EVENT...POOL 32db0: 5f49445f 574c414e 5f52585f 42554600 _ID_WLAN_RX_BUF. 32dc0: 030e504f 4f4c5f49 445f4d41 58000a00 ..POOL_ID_MAX... 32dd0: 09425546 5f504f4f 4c5f4944 00000036 .BUF_POOL_ID...6 32de0: 79020103 000036ff 04000600 00264c01 y.....6......&L. 32df0: 03000037 08040006 0000264c 01030000 ...7......&L.... 32e00: 37150400 02010300 00372204 00076275 7........7"...bu 32e10: 665f706f 6f6c5f61 7069001c 000037c4 f_pool_api....7. 32e20: 085f696e 69740000 00366902 2300085f ._init...6i.#.._ 32e30: 73687574 646f776e 00000036 72022304 shutdown...6r.#. 32e40: 085f6372 65617465 5f706f6f 6c000000 ._create_pool... 32e50: 37010223 08085f61 6c6c6f63 5f627566 7..#.._alloc_buf 32e60: 00000037 0e02230c 085f616c 6c6f635f ...7..#.._alloc_ 32e70: 6275665f 616c6967 6e000000 371b0223 buf_align...7..# 32e80: 10085f66 7265655f 62756600 00003724 .._free_buf...7$ 32e90: 02231408 70526573 65727665 64000000 .#..pReserved... 32ea0: 04100223 1800075f 4854435f 53455256 ...#..._HTC_SERV 32eb0: 49434500 1c000038 a308704e 65787400 ICE....8..pNext. 32ec0: 000038a3 02230008 50726f63 65737352 ..8..#..ProcessR 32ed0: 6563764d 73670000 00395802 23040850 ecvMsg...9X.#..P 32ee0: 726f6365 73735365 6e644275 66666572 rocessSendBuffer 32ef0: 436f6d70 6c657465 00000039 61022308 Complete...9a.#. 32f00: 0850726f 63657373 436f6e6e 65637400 .ProcessConnect. 32f10: 00003975 02230c08 53657276 69636549 ..9u.#..ServiceI 32f20: 44000000 12f50223 10085365 72766963 D......#..Servic 32f30: 65466c61 67730000 0012f502 2312084d eFlags......#..M 32f40: 61785376 634d7367 53697a65 00000012 axSvcMsgSize.... 32f50: f5022314 08547261 696c6572 53706343 ..#..TrailerSpcC 32f60: 6865636b 4c696d69 74000000 12f50223 heckLimit......# 32f70: 16085365 72766963 65437478 00000004 ..ServiceCtx.... 32f80: 10022318 00030000 37c40400 14040000 ..#.....7....... 32f90: 39411945 4e44504f 494e545f 554e5553 9A.ENDPOINT_UNUS 32fa0: 454400ff ffffff0e 454e4450 4f494e54 ED......ENDPOINT 32fb0: 3000000e 454e4450 4f494e54 3100010e 0...ENDPOINT1... 32fc0: 454e4450 4f494e54 3200020e 454e4450 ENDPOINT2...ENDP 32fd0: 4f494e54 3300030e 454e4450 4f494e54 OINT3...ENDPOINT 32fe0: 3400040e 454e4450 4f494e54 3500050e 4...ENDPOINT5... 32ff0: 454e4450 4f494e54 3600060e 454e4450 ENDPOINT6...ENDP 33000: 4f494e54 3700070e 454e4450 4f494e54 OINT7...ENDPOINT 33010: 3800080e 454e4450 4f494e54 5f4d4158 8...ENDPOINT_MAX 33020: 00160009 4854435f 454e4450 4f494e54 ....HTC_ENDPOINT 33030: 5f494400 000038aa 02010300 00395604 _ID...8......9V. 33040: 00020103 0000395f 04000300 00011b04 ......9_........ 33050: 00060000 12e10103 0000396f 04000300 ..........9o.... 33060: 0037c404 00075f48 54435f43 4f4e4649 .7...._HTC_CONFI 33070: 47001400 0039f408 43726564 69745369 G....9..CreditSi 33080: 7a650000 00011b02 23000843 72656469 ze......#..Credi 33090: 744e756d 62657200 0000011b 02230408 tNumber......#.. 330a0: 4f534861 6e646c65 0000001a 4b022308 OSHandle....K.#. 330b0: 08484946 48616e64 6c650000 0028b402 .HIFHandle...(.. 330c0: 230c0850 6f6f6c48 616e646c 65000000 #..PoolHandle... 330d0: 36500223 1000075f 4854435f 4255465f 6P.#..._HTC_BUF_ 330e0: 434f4e54 45585400 0200003a 3008656e CONTEXT....:0.en 330f0: 645f706f 696e7400 000012e1 02230008 d_point......#.. 33100: 6874635f 666c6167 73000000 12e10223 htc_flags......# 33110: 01000968 74635f68 616e646c 655f7400 ...htc_handle_t. 33120: 00000410 09485443 5f534554 55505f43 .....HTC_SETUP_C 33130: 4f4d504c 4554455f 43420000 00011409 OMPLETE_CB...... 33140: 4854435f 434f4e46 49470000 00398303 HTC_CONFIG...9.. 33150: 00003a5d 04000600 003a3001 0300003a ..:].....:0....: 33160: 74040002 01030000 3a810400 09485443 t.......:....HTC 33170: 5f534552 56494345 00000037 c4030000 _SERVICE...7.... 33180: 3a8a0400 02010300 003aa204 00020103 :........:...... 33190: 00003aab 04000201 0300003a b4040006 ..:........:.... 331a0: 0000011b 01030000 3abd0400 07687463 ........:....htc 331b0: 5f617069 73003400 003c3a08 5f485443 _apis.4..<:._HTC 331c0: 5f496e69 74000000 3a7a0223 00085f48 _Init...:z.#.._H 331d0: 54435f53 68757464 6f776e00 00003a83 TC_Shutdown...:. 331e0: 02230408 5f485443 5f526567 69737465 .#.._HTC_Registe 331f0: 72536572 76696365 0000003a a4022308 rService...:..#. 33200: 085f4854 435f5265 61647900 00003a83 ._HTC_Ready...:. 33210: 02230c08 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 33220: 75666665 72730000 003aad02 2310085f uffers...:..#.._ 33230: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 33240: 734c6973 74000000 3ab60223 14085f48 sList...:..#.._H 33250: 54435f53 656e644d 73670000 003aad02 TC_SendMsg...:.. 33260: 2318085f 4854435f 47657452 65736572 #.._HTC_GetReser 33270: 76656448 65616472 6f6f6d00 00003ac3 vedHeadroom...:. 33280: 02231c08 5f485443 5f4d7367 52656376 .#.._HTC_MsgRecv 33290: 48616e64 6c657200 00002861 02232008 Handler...(a.# . 332a0: 5f485443 5f53656e 64446f6e 6548616e _HTC_SendDoneHan 332b0: 646c6572 00000028 58022324 085f4854 dler...(X.#$._HT 332c0: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc 332d0: 6573734d 73670000 00395802 2328085f essMsg...9X.#(._ 332e0: 4854435f 436f6e74 726f6c53 76635072 HTC_ControlSvcPr 332f0: 6f636573 7353656e 64436f6d 706c6574 ocessSendComplet 33300: 65000000 39610223 2c087052 65736572 e...9a.#,.pReser 33310: 76656400 00000410 02233000 07686f73 ved......#0..hos 33320: 745f6170 705f6172 65615f73 00040000 t_app_area_s.... 33330: 3c6a0877 6d695f70 726f746f 636f6c5f ..tupleNumL... 334d0: 1caf0223 00087475 706c654e 756d4800 ...#..tupleNumH. 334e0: 00001caf 02230208 61767400 00003dce .....#..avt...=. 334f0: 02230400 12010000 3e340862 6561636f .#......>4.beaco 33500: 6e50656e 64696e67 436f756e 74000000 nPendingCount... 33510: 16870223 0000075f 574d495f 5356435f ...#..._WMI_SVC_ 33520: 434f4e46 49470010 00003e9d 08487463 CONFIG....>..Htc 33530: 48616e64 6c650000 003a3002 23000850 Handle...:0.#..P 33540: 6f6f6c48 616e646c 65000000 36500223 oolHandle...6P.# 33550: 04084d61 78436d64 5265706c 79457674 ..MaxCmdReplyEvt 33560: 73000000 011b0223 08084d61 78457665 s......#..MaxEve 33570: 6e744576 74730000 00011b02 230c0002 ntEvts......#... 33580: 01030000 3e9d0400 09574d49 5f434d44 ....>....WMI_CMD 33590: 5f48414e 444c4552 0000003e 9f075f57 _HANDLER...>.._W 335a0: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR 335b0: 59000800 003f0608 70436d64 48616e64 Y....?..pCmdHand 335c0: 6c657200 00003ea6 02230008 436d6449 ler...>..#..CmdI 335d0: 44000000 12f50223 0408466c 61677300 D......#..Flags. 335e0: 000012f5 02230600 075f574d 495f4449 .....#..._WMI_DI 335f0: 53504154 43485f54 41424c45 00100000 SPATCH_TABLE.... 33600: 3f670870 4e657874 0000003f 67022300 ?g.pNext...?g.#. 33610: 0870436f 6e746578 74000000 04100223 .pContext......# 33620: 04084e75 6d626572 4f66456e 74726965 ..NumberOfEntrie 33630: 73000000 011b0223 08087054 61626c65 s......#..pTable 33640: 0000003f 8602230c 00030000 3f060400 ...?..#.....?... 33650: 09574d49 5f444953 50415443 485f454e .WMI_DISPATCH_EN 33660: 54525900 00003ebb 0300003f 6e040003 TRY...>....?n... 33670: 00003f06 04000948 54435f42 55465f43 ..?....HTC_BUF_C 33680: 4f4e5445 58540000 0039f40d 574d495f ONTEXT...9..WMI_ 33690: 4556545f 434c4153 53000400 00401e19 EVT_CLASS....@.. 336a0: 574d495f 4556545f 434c4153 535f4e4f WMI_EVT_CLASS_NO 336b0: 4e4500ff ffffff0e 574d495f 4556545f NE......WMI_EVT_ 336c0: 434c4153 535f434d 445f4556 454e5400 CLASS_CMD_EVENT. 336d0: 000e574d 495f4556 545f434c 4153535f ..WMI_EVT_CLASS_ 336e0: 434d445f 5245504c 5900010e 574d495f CMD_REPLY...WMI_ 336f0: 4556545f 434c4153 535f4d41 58000200 EVT_CLASS_MAX... 33700: 09574d49 5f455654 5f434c41 53530000 .WMI_EVT_CLASS.. 33710: 003fa907 5f574d49 5f425546 5f434f4e .?.._WMI_BUF_CON 33720: 54455854 000c0000 407c0848 74634275 TEXT....@|.HtcBu 33730: 66437478 0000003f 94022300 08457665 fCtx...?..#..Eve 33740: 6e74436c 61737300 0000401e 02230408 ntClass...@..#.. 33750: 466c6167 73000000 12f50223 08000977 Flags......#...w 33760: 6d695f68 616e646c 655f7400 00000410 mi_handle_t..... 33770: 09574d49 5f535643 5f434f4e 46494700 .WMI_SVC_CONFIG. 33780: 00003e34 03000040 8e040006 0000407c ..>4...@......@| 33790: 01030000 40a90400 09574d49 5f444953 ....@....WMI_DIS 337a0: 50415443 485f5441 424c4500 00003f06 PATCH_TABLE...?. 337b0: 03000040 b6040002 01030000 40d50400 ...@........@... 337c0: 06000026 4c010300 0040de04 00020103 ...&L....@...... 337d0: 000040eb 04000600 00011b01 03000040 ..@............@ 337e0: f4040002 01030000 41010400 06000012 ........A....... 337f0: e1010300 00410a04 00075f77 6d695f73 .....A...._wmi_s 33800: 76635f61 70697300 2c000042 52085f57 vc_apis.,..BR._W 33810: 4d495f49 6e697400 000040af 02230008 MI_Init...@..#.. 33820: 5f574d49 5f526567 69737465 72446973 _WMI_RegisterDis 33830: 70617463 68546162 6c650000 0040d702 patchTable...@.. 33840: 2304085f 574d495f 416c6c6f 63457665 #.._WMI_AllocEve 33850: 6e740000 0040e402 2308085f 574d495f nt...@..#.._WMI_ 33860: 53656e64 4576656e 74000000 40ed0223 SendEvent...@..# 33870: 0c085f57 4d495f47 65745065 6e64696e .._WMI_GetPendin 33880: 67457665 6e747343 6f756e74 00000040 gEventsCount...@ 33890: fa022310 085f574d 495f5365 6e64436f ..#.._WMI_SendCo 338a0: 6d706c65 74654861 6e646c65 72000000 mpleteHandler... 338b0: 39610223 14085f57 4d495f47 6574436f 9a.#.._WMI_GetCo 338c0: 6e74726f 6c457000 000040fa 02231808 ntrolEp...@..#.. 338d0: 5f574d49 5f536875 74646f77 6e000000 _WMI_Shutdown... 338e0: 41030223 1c085f57 4d495f52 6563764d A..#.._WMI_RecvM 338f0: 65737361 67654861 6e646c65 72000000 essageHandler... 33900: 39580223 20085f57 4d495f53 65727669 9X.# ._WMI_Servi 33910: 6365436f 6e6e6563 74000000 41100223 ceConnect...A..# 33920: 24087052 65736572 76656400 00000410 $.pReserved..... 33930: 02232800 077a7344 6d614465 73630014 .#(..zsDmaDesc.. 33940: 000042d4 08637472 6c000000 017f0223 ..B..ctrl......# 33950: 00087374 61747573 00000001 7f022302 ..status......#. 33960: 08746f74 616c4c65 6e000000 017f0223 .totalLen......# 33970: 04086461 74615369 7a650000 00017f02 ..dataSize...... 33980: 2306086c 61737441 64647200 000042d4 #..lastAddr...B. 33990: 02230808 64617461 41646472 00000001 .#..dataAddr.... 339a0: a302230c 086e6578 74416464 72000000 ..#..nextAddr... 339b0: 42d40223 10000300 00425204 00030000 B..#.....BR..... 339c0: 42520400 077a7344 6d615175 65756500 BR...zsDmaQueue. 339d0: 08000043 14086865 61640000 0042db02 ...C..head...B.. 339e0: 23000874 65726d69 6e61746f 72000000 #..terminator... 339f0: 42db0223 0400077a 73547844 6d615175 B..#...zsTxDmaQu 33a00: 65756500 10000043 78086865 61640000 eue....Cx.head.. 33a10: 0042db02 23000874 65726d69 6e61746f .B..#..terminato 33a20: 72000000 42db0223 0408786d 69746564 r...B..#..xmited 33a30: 5f627566 5f686561 64000000 14400223 _buf_head....@.# 33a40: 0808786d 69746564 5f627566 5f746169 ..xmited_buf_tai 33a50: 6c000000 14400223 0c000201 03000043 l....@.#.......C 33a60: 78040003 000042e2 04000201 03000043 x.....B........C 33a70: 88040003 00004314 04000201 03000043 ......C........C 33a80: 98040002 01030000 43a10400 02010300 ........C....... 33a90: 0043aa04 00060000 14400103 000043b3 .C.......@....C. 33aa0: 04000201 03000043 c0040006 00001440 .......C.......@ 33ab0: 01030000 43c90400 02010300 0043d604 ....C........C.. 33ac0: 00060000 011b0103 000043df 04000600 ..........C..... 33ad0: 0042db01 03000043 ec040002 01030000 .B.....C........ 33ae0: 43f90400 07646d61 5f656e67 696e655f C....dma_engine_ 33af0: 61706900 40000045 6f085f69 6e697400 api.@..Eo._init. 33b00: 0000437a 02230008 5f696e69 745f7278 ..Cz.#.._init_rx 33b10: 5f717565 75650000 00438a02 2304085f _queue...C..#.._ 33b20: 696e6974 5f74785f 71756575 65000000 init_tx_queue... 33b30: 439a0223 08085f63 6f6e6669 675f7278 C..#.._config_rx 33b40: 5f717565 75650000 0043a302 230c085f _queue...C..#.._ 33b50: 786d6974 5f627566 00000043 ac022310 xmit_buf...C..#. 33b60: 085f666c 7573685f 786d6974 00000043 ._flush_xmit...C 33b70: 8a022314 085f7265 61705f72 6563765f ..#.._reap_recv_ 33b80: 62756600 000043b9 02231808 5f726574 buf...C..#.._ret 33b90: 75726e5f 72656376 5f627566 00000043 urn_recv_buf...C 33ba0: c202231c 085f7265 61705f78 6d697465 ..#.._reap_xmite 33bb0: 645f6275 66000000 43cf0223 20085f73 d_buf...C..# ._s 33bc0: 7761705f 64617461 00000043 d8022324 wap_data...C..#$ 33bd0: 085f6861 735f636f 6d706c5f 7061636b ._has_compl_pack 33be0: 65747300 000043e5 02232808 5f646573 ets...C..#(._des 33bf0: 635f6475 6d700000 00438a02 232c085f c_dump...C..#,._ 33c00: 6765745f 7061636b 65740000 0043f202 get_packet...C.. 33c10: 2330085f 7265636c 61696d5f 7061636b #0._reclaim_pack 33c20: 65740000 0043fb02 2334085f 7075745f et...C..#4._put_ 33c30: 7061636b 65740000 0043fb02 23380870 packet...C..#8.p 33c40: 52657365 72766564 00000004 1002233c Reserved......#< 33c50: 00095f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 33c60: 65637469 6f6e5f74 61626c65 5f740000 ection_table_t.. 33c70: 0030ae09 574d495f 5356435f 41504953 .0..WMI_SVC_APIS 33c80: 00000041 17175f41 5f6d6167 7069655f ...A.._A_magpie_ 33c90: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 33ca0: 6500034c 0000469d 08636d6e 6f730000 e..L..F..cmnos.. 33cb0: 00456f02 23000864 62670000 0003dd03 .Eo.#..dbg...... 33cc0: 23b80308 68696600 00002957 0323c003 #...hif...)W.#.. 33cd0: 08687463 0000003a ca0323f8 0308776d .htc...:..#...wm 33ce0: 695f7376 635f6170 69000000 45910323 i_svc_api...E..# 33cf0: ac040875 73626669 666f5f61 70690000 ...usbfifo_api.. 33d00: 00328203 23d80408 6275665f 706f6f6c .2..#...buf_pool 33d10: 00000037 2b0323e4 04087662 75660000 ...7+.#...vbuf.. 33d20: 00146a03 23800508 76646573 63000000 ..j.#...vdesc... 33d30: 134c0323 94050861 6c6c6f63 72616d00 .L.#...allocram. 33d40: 00000942 0323a805 08646d61 5f656e67 ...B.#...dma_eng 33d50: 696e6500 00004402 0323b405 08646d61 ine...D..#...dma 33d60: 5f6c6962 0000002b eb0323f4 05086869 _lib...+..#...hi 33d70: 665f7063 69000000 2e4b0323 a8060009 f_pci....K.#.... 33d80: 5f415f6d 61677069 655f696e 64697265 _A_magpie_indire 33d90: 6374696f 6e5f7461 626c655f 74000000 ction_table_t... 33da0: 45a3075f 7461736b 6c65745f 636f6e74 E.._tasklet_cont 33db0: 65787400 04000046 ef087363 68656475 ext....F..schedu 33dc0: 6c655f74 61736b73 0000000a 26022300 le_tasks....&.#. 33dd0: 00097461 736b6c65 745f636f 6e746578 ..tasklet_contex 33de0: 74000000 46c01a67 5f746173 6b6c6574 t...F..g_tasklet 33df0: 5f637478 00000046 ef050300 500a3003 _ctx...F....P.0. 33e00: 00000a3f 04000201 1b011163 6d6e6f73 ...?.......cmnos 33e10: 5f746173 6b6c6574 5f696e69 74000101 _tasklet_init... 33e20: 03920120 02900000 8e2b5000 8e2b5c1c ... .....+P..+\. 33e30: 0118636d 6e6f735f 7461736b 6c65745f ..cmnos_tasklet_ 33e40: 696e6974 5f746173 6b000101 03920120 init_task...... 33e50: 02900000 8e2b5c00 8e2b6b00 0047a81d .....+\..+k..G.. 33e60: 0118666e 00000009 af01521d 01186172 ..fn......R...ar 33e70: 67000000 04100153 1d011874 61736b6c g......S...taskl 33e80: 65740000 000a2601 54001c01 21636d6e et....&.T...!cmn 33e90: 6f735f74 61736b6c 65745f73 63686564 os_tasklet_sched 33ea0: 756c6500 01010392 01200290 00008e2b ule...... .....+ 33eb0: 6c008e2b 85000047 e91d0121 7461736b l..+...G...!task 33ec0: 6c657400 00000a26 0152001c 0131636d let....&.R...1cm 33ed0: 6e6f735f 7461736b 6c65745f 64697361 nos_tasklet_disa 33ee0: 626c6500 01010392 01200290 00008e2b ble...... .....+ 33ef0: 88008e2b b9000048 3c1d0131 7461736b ...+...H<..1task 33f00: 6c657400 00000a26 01521e70 72657600 let....&.R.prev. 33f10: 00000a26 1e746d70 0000000a 26001c01 ...&.tmp....&... 33f20: 4d636d6e 6f735f74 61736b6c 65745f72 Mcmnos_tasklet_r 33f30: 756e0001 01039201 20029000 008e2bbc un...... .....+. 33f40: 008e2be4 00004870 1e746d70 0000000a ..+...Hp.tmp.... 33f50: 26001f01 61636d6e 6f735f74 61736b6c &...acmnos_taskl 33f60: 65745f6d 6f64756c 655f696e 7374616c et_module_instal 33f70: 6c000101 03920120 02900000 8e2be400 l...... .....+.. 33f80: 8e2c021d 01617462 6c000000 471d0152 .,...atbl...G..R 33f90: 00000000 00496f00 02000013 0804012f .....Io......../ 33fa0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 33fb0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 33fc0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 33fd0: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 33fe0: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 33ff0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 34000: 6f732f74 696d6572 2f737263 2f636d6e os/timer/src/cmn 34010: 6f735f74 696d6572 2e63002f 726f6f74 os_timer.c./root 34020: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 34030: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 34040: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 34050: 6e6f732f 74696d65 72007874 2d786363 nos/timer.xt-xcc 34060: 20666f72 20372e31 2e30202d 4f50543a for 7.1.0 -OPT: 34070: 616c6967 6e5f696e 73747275 6374696f align_instructio 34080: 6e733d33 32202d4f 32202d67 33202d4f ns=32 -O2 -g3 -O 34090: 50543a73 70616365 00010000 00b6f502 PT:space........ 340a0: 01030000 010c0400 04696e74 00050404 .........int.... 340b0: 63686172 00070105 0000011c 05000001 char............ 340c0: 1c030000 01290400 06000001 15010300 .....).......... 340d0: 00013504 00077072 696e7466 5f617069 ..5...printf_api 340e0: 00080000 0179085f 7072696e 74665f69 .....y._printf_i 340f0: 6e697400 0000010e 02230008 5f707269 nit......#.._pri 34100: 6e746600 0000013b 02230400 0473686f ntf....;.#...sho 34110: 72742075 6e736967 6e656420 696e7400 rt unsigned int. 34120: 07020975 696e7431 365f7400 00000179 ...uint16_t....y 34130: 046c6f6e 6720756e 7369676e 65642069 .long unsigned i 34140: 6e740007 04097569 6e743332 5f740000 nt....uint32_t.. 34150: 00019d07 75617274 5f666966 6f000800 ....uart_fifo... 34160: 00020b08 73746172 745f696e 64657800 ....start_index. 34170: 0000018f 02230008 656e645f 696e6465 .....#..end_inde 34180: 78000000 018f0223 02086f76 65727275 x......#..overru 34190: 6e5f6572 72000000 01b20223 04000775 n_err......#...u 341a0: 6172745f 61706900 20000002 c4085f75 art_api. ....._u 341b0: 6172745f 696e6974 00000003 1b022300 art_init......#. 341c0: 085f7561 72745f63 6861725f 70757400 ._uart_char_put. 341d0: 00000342 02230408 5f756172 745f6368 ...B.#.._uart_ch 341e0: 61725f67 65740000 00035602 2308085f ar_get....V.#.._ 341f0: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... 34200: 5f02230c 085f7561 72745f74 61736b00 _.#.._uart_task. 34210: 0000010e 02231008 5f756172 745f7374 .....#.._uart_st 34220: 61747573 00000003 1b022314 085f7561 atus......#.._ua 34230: 72745f63 6f6e6669 67000000 03680223 rt_config....h.# 34240: 18085f75 6172745f 6877696e 69740000 .._uart_hwinit.. 34250: 00037102 231c0003 0000020b 04000775 ..q.#..........u 34260: 6172745f 626c6b00 10000003 15086465 art_blk.......de 34270: 6275675f 6d6f6465 00000001 8f022300 bug_mode......#. 34280: 08626175 64000000 018f0223 02085f75 .baud......#.._u 34290: 61727400 000002c4 02230408 5f747800 art......#.._tx. 342a0: 000001c0 02230800 06000001 b2010300 .....#.......... 342b0: 00031504 0004756e 7369676e 65642063 ......unsigned c 342c0: 68617200 07010975 696e7438 5f740000 har....uint8_t.. 342d0: 00032202 01030000 03400400 03000003 .."......@...... 342e0: 33040006 0000018f 01030000 03500400 3............P.. 342f0: 02010300 00035d04 00020103 00000366 ......]........f 34300: 04000201 03000003 6f040003 0000011c ........o....... 34310: 04000600 00011501 03000003 7f040007 ................ 34320: 44425f43 4f4d4d41 4e445f53 54525543 DB_COMMAND_STRUC 34330: 54000c00 0003d708 636d645f 73747200 T.......cmd_str. 34340: 00000378 02230008 68656c70 5f737472 ...x.#..help_str 34350: 00000003 78022304 08636d64 5f66756e ....x.#..cmd_fun 34360: 63000000 03850223 08000764 62675f61 c......#...dbg_a 34370: 70690008 0000040a 085f6462 675f696e pi......._dbg_in 34380: 69740000 00010e02 2300085f 6462675f it......#.._dbg_ 34390: 7461736b 00000001 0e022304 000a0400 task......#..... 343a0: 04756e73 69676e65 6420696e 74000704 .unsigned int... 343b0: 06000004 0a010300 00041d04 000b0b03 ................ 343c0: 0000042b 04000600 00040a01 03000004 ...+............ 343d0: 33040006 00000115 01030000 04400400 3............@.. 343e0: 076d656d 5f617069 00140000 04af085f .mem_api......._ 343f0: 6d656d5f 696e6974 00000001 0e022300 mem_init......#. 34400: 085f6d65 6d736574 00000004 23022304 ._memset....#.#. 34410: 085f6d65 6d637079 00000004 39022308 ._memcpy....9.#. 34420: 085f6d65 6d6d6f76 65000000 04390223 ._memmove....9.# 34430: 0c085f6d 656d636d 70000000 04460223 .._memcmp....F.# 34440: 10000c72 65676973 7465725f 64756d70 ...register_dump 34450: 5f730000 01030000 04af0400 02010300 _s.............. 34460: 0004c904 00020103 000004d2 04000600 ................ 34470: 00011501 03000004 db04000d 686f7374 ............host 34480: 69665f73 00040000 05370e48 49465f55 if_s.....7.HIF_U 34490: 53420000 0e484946 5f504349 4500010e SB...HIF_PCIE... 344a0: 4849465f 474d4143 00020e48 49465f50 HIF_GMAC...HIF_P 344b0: 43490003 0e484946 5f4e554d 00040e48 CI...HIF_NUM...H 344c0: 49465f4e 4f4e4500 05000941 5f484f53 IF_NONE....A_HOS 344d0: 54494600 000004e8 06000005 37010300 TIF.........7... 344e0: 00054504 00060000 03330103 00000552 ..E......3.....R 344f0: 04000600 00018f01 03000005 5f040007 ............_... 34500: 6d697363 5f617069 00240000 064f085f misc_api.$...O._ 34510: 73797374 656d5f72 65736574 00000001 system_reset.... 34520: 0e022300 085f6d61 635f7265 73657400 ..#.._mac_reset. 34530: 0000010e 02230408 5f617373 6661696c .....#.._assfail 34540: 00000004 cb022308 085f6d69 73616c69 ......#.._misali 34550: 676e6564 5f6c6f61 645f6861 6e646c65 gned_load_handle 34560: 72000000 04cb0223 0c085f72 65706f72 r......#.._repor 34570: 745f6661 696c7572 655f746f 5f686f73 t_failure_to_hos 34580: 74000000 04d40223 10085f74 61726765 t......#.._targe 34590: 745f6964 5f676574 00000004 e1022314 t_id_get......#. 345a0: 085f6973 5f686f73 745f7072 6573656e ._is_host_presen 345b0: 74000000 054b0223 18085f6b 62686974 t....K.#.._kbhit 345c0: 00000005 5802231c 085f726f 6d5f7665 ....X.#.._rom_ve 345d0: 7273696f 6e5f6765 74000000 05650223 rsion_get....e.# 345e0: 20000600 00037801 03000006 4f040006 .....x.....O... 345f0: 00000378 01030000 065c0400 06000001 ...x.....\...... 34600: 15010300 00066904 00060000 01150103 ......i......... 34610: 00000676 04000600 00011501 03000006 ...v............ 34620: 83040007 73747269 6e675f61 70690018 ....string_api.. 34630: 00000709 085f7374 72696e67 5f696e69 ....._string_ini 34640: 74000000 010e0223 00085f73 74726370 t......#.._strcp 34650: 79000000 06550223 04085f73 74726e63 y....U.#.._strnc 34660: 70790000 00066202 2308085f 7374726c py....b.#.._strl 34670: 656e0000 00066f02 230c085f 73747263 en....o.#.._strc 34680: 6d700000 00067c02 2310085f 7374726e mp....|.#.._strn 34690: 636d7000 00000689 02231400 0f000004 cmp......#...... 346a0: 0d140000 07161004 00095f41 5f54494d .........._A_TIM 346b0: 45525f53 50414345 00000007 0909415f ER_SPACE......A_ 346c0: 74696d65 725f7400 00000716 03000007 timer_t......... 346d0: 2a040002 01030000 07400400 02010300 *........@...... 346e0: 00074904 0009415f 48414e44 4c450000 ..I...A_HANDLE.. 346f0: 00040d02 0109415f 54494d45 525f4655 ......A_TIMER_FU 34700: 4e430000 00076003 00000762 04000201 NC....`....b.... 34710: 03000007 7b040007 74696d65 725f6170 ....{...timer_ap 34720: 69001400 0007fa08 5f74696d 65725f69 i......._timer_i 34730: 6e697400 0000010e 02230008 5f74696d nit......#.._tim 34740: 65725f61 726d0000 00074202 2304085f er_arm....B.#.._ 34750: 74696d65 725f6469 7361726d 00000007 timer_disarm.... 34760: 4b022308 085f7469 6d65725f 73657466 K.#.._timer_setf 34770: 6e000000 077d0223 0c085f74 696d6572 n....}.#.._timer 34780: 5f72756e 00000001 0e022310 0009424f _run......#...BO 34790: 4f4c4541 4e000000 018f0600 0007fa01 OLEAN........... 347a0: 03000008 07040006 000007fa 01030000 ................ 347b0: 08140400 06000007 fa010300 00082104 ..............!. 347c0: 0007726f 6d705f61 70690010 00000893 ..romp_api...... 347d0: 085f726f 6d705f69 6e697400 0000010e ._romp_init..... 347e0: 02230008 5f726f6d 705f646f 776e6c6f .#.._romp_downlo 347f0: 61640000 00080d02 2304085f 726f6d70 ad......#.._romp 34800: 5f696e73 74616c6c 00000008 1a022308 _install......#. 34810: 085f726f 6d705f64 65636f64 65000000 ._romp_decode... 34820: 08270223 0c000772 6f6d5f70 61746368 .'.#...rom_patch 34830: 5f737400 10000008 ef086372 63313600 _st.......crc16. 34840: 0000018f 02230008 6c656e00 0000018f .....#..len..... 34850: 02230208 6c645f61 64647200 000001b2 .#..ld_addr..... 34860: 02230408 66756e5f 61646472 00000001 .#..fun_addr.... 34870: b2022308 08706675 6e000000 03490223 ..#..pfun....I.# 34880: 0c000765 65705f72 65646972 5f616464 ...eep_redir_add 34890: 72000400 00092108 6f666673 65740000 r.....!.offset.. 348a0: 00018f02 23000873 697a6500 0000018f ....#..size..... 348b0: 02230200 09415f55 494e5433 32000000 .#...A_UINT32... 348c0: 040d0600 00040a01 03000009 2f040007 ............/... 348d0: 616c6c6f 6372616d 5f617069 000c0000 allocram_api.... 348e0: 09a00863 6d6e6f73 5f616c6c 6f637261 ...cmnos_allocra 348f0: 6d5f696e 69740000 00093502 23000863 m_init....5.#..c 34900: 6d6e6f73 5f616c6c 6f637261 6d000000 mnos_allocram... 34910: 09350223 0408636d 6e6f735f 616c6c6f .5.#..cmnos_allo 34920: 6372616d 5f646562 75670000 00010e02 cram_debug...... 34930: 23080002 01030000 09a00400 09415f54 #............A_T 34940: 41534b4c 45545f46 554e4300 000009a2 ASKLET_FUNC..... 34950: 075f7461 736b6c65 74001000 000a0108 ._tasklet....... 34960: 66756e63 00000009 a9022300 08617267 func......#..arg 34970: 00000004 0a022304 08737461 74650000 ......#..state.. 34980: 00011502 2308086e 65787400 00000a01 ....#..next..... 34990: 02230c00 03000009 bd040003 000009bd .#.............. 349a0: 04000941 5f746173 6b6c6574 5f740000 ...A_tasklet_t.. 349b0: 0009bd03 00000a0f 04000201 0300000a ................ 349c0: 27040002 01030000 0a300400 07746173 '........0...tas 349d0: 6b6c6574 5f617069 00140000 0ac5085f klet_api......._ 349e0: 7461736b 6c65745f 696e6974 00000001 tasklet_init.... 349f0: 0e022300 085f7461 736b6c65 745f696e ..#.._tasklet_in 34a00: 69745f74 61736b00 00000a29 02230408 it_task....).#.. 34a10: 5f746173 6b6c6574 5f646973 61626c65 _tasklet_disable 34a20: 0000000a 32022308 085f7461 736b6c65 ....2.#.._taskle 34a30: 745f7363 68656475 6c650000 000a3202 t_schedule....2. 34a40: 230c085f 7461736b 6c65745f 72756e00 #.._tasklet_run. 34a50: 0000010e 02231000 02010300 000ac504 .....#.......... 34a60: 00060000 09210103 00000ace 04000201 .....!.......... 34a70: 0300000a db040007 636c6f63 6b5f6170 ........clock_ap 34a80: 69002400 000bbd08 5f636c6f 636b5f69 i.$....._clock_i 34a90: 6e697400 00000ac7 02230008 5f636c6f nit......#.._clo 34aa0: 636b7265 67735f69 6e697400 0000010e ckregs_init..... 34ab0: 02230408 5f756172 745f6672 65717565 .#.._uart_freque 34ac0: 6e637900 00000ad4 02230808 5f64656c ncy......#.._del 34ad0: 61795f75 73000000 0add0223 0c085f77 ay_us......#.._w 34ae0: 6c616e5f 62616e64 5f736574 0000000a lan_band_set.... 34af0: dd022310 085f7265 66636c6b 5f737065 ..#.._refclk_spe 34b00: 65645f67 65740000 000ad402 2314085f ed_get......#.._ 34b10: 6d696c6c 69736563 6f6e6473 0000000a milliseconds.... 34b20: d4022318 085f7379 73636c6b 5f636861 ..#.._sysclk_cha 34b30: 6e676500 0000010e 02231c08 5f636c6f nge......#.._clo 34b40: 636b5f74 69636b00 0000010e 02232000 ck_tick......# . 34b50: 06000001 b2010300 000bbd04 0009415f ..............A_ 34b60: 6f6c645f 696e7472 5f740000 0001b206 old_intr_t...... 34b70: 00000bca 01030000 0bdc0400 02010300 ................ 34b80: 000be904 00020103 00000bf2 04000600 ................ 34b90: 0001b201 0300000b fb040009 415f6973 ............A_is 34ba0: 725f7400 00000c01 02010300 000c1504 r_t............. 34bb0: 00060000 040d0103 00000c1e 04000201 ................ 34bc0: 0300000c 2b040007 696e7472 5f617069 ....+...intr_api 34bd0: 002c0000 0d4d085f 696e7472 5f696e69 .,...M._intr_ini 34be0: 74000000 010e0223 00085f69 6e74725f t......#.._intr_ 34bf0: 696e766f 6b655f69 73720000 000bc302 invoke_isr...... 34c00: 2304085f 696e7472 5f646973 61626c65 #.._intr_disable 34c10: 0000000b e2022308 085f696e 74725f72 ......#.._intr_r 34c20: 6573746f 72650000 000beb02 230c085f estore......#.._ 34c30: 696e7472 5f6d6173 6b5f696e 756d0000 intr_mask_inum.. 34c40: 000bf402 2310085f 696e7472 5f756e6d ....#.._intr_unm 34c50: 61736b5f 696e756d 0000000b f4022314 ask_inum......#. 34c60: 085f696e 74725f61 74746163 685f6973 ._intr_attach_is 34c70: 72000000 0c170223 18085f67 65745f69 r......#.._get_i 34c80: 6e747265 6e61626c 65000000 0c240223 ntrenable....$.# 34c90: 1c085f73 65745f69 6e747265 6e61626c .._set_intrenabl 34ca0: 65000000 0c2d0223 20085f67 65745f69 e....-.# ._get_i 34cb0: 6e747270 656e6469 6e670000 000c2402 ntrpending....$. 34cc0: 2324085f 756e626c 6f636b5f 616c6c5f #$._unblock_all_ 34cd0: 696e7472 6c766c00 0000010e 02232800 intrlvl......#(. 34ce0: 11040000 0d730874 696d656f 75740000 .....s.timeout.. 34cf0: 0001b202 23000861 6374696f 6e000000 ....#..action... 34d00: 01b20223 00001208 00000d8e 08636d64 ...#.........cmd 34d10: 00000001 b2022300 1300000d 4d022304 ......#.....M.#. 34d20: 0009545f 5744545f 434d4400 00000d73 ..T_WDT_CMD....s 34d30: 02010300 000d9d04 00140400 000df30e ................ 34d40: 454e554d 5f574454 5f424f4f 5400010e ENUM_WDT_BOOT... 34d50: 454e554d 5f434f4c 445f424f 4f540002 ENUM_COLD_BOOT.. 34d60: 0e454e55 4d5f5355 53505f42 4f4f5400 .ENUM_SUSP_BOOT. 34d70: 030e454e 554d5f55 4e4b4e4f 574e5f42 ..ENUM_UNKNOWN_B 34d80: 4f4f5400 04000954 5f424f4f 545f5459 OOT....T_BOOT_TY 34d90: 50450000 000da606 00000df3 01030000 PE.............. 34da0: 0e040400 07776474 5f617069 001c0000 .....wdt_api.... 34db0: 0ea8085f 7764745f 696e6974 00000001 ..._wdt_init.... 34dc0: 0e022300 085f7764 745f656e 61626c65 ..#.._wdt_enable 34dd0: 00000001 0e022304 085f7764 745f6469 ......#.._wdt_di 34de0: 7361626c 65000000 010e0223 08085f77 sable......#.._w 34df0: 64745f73 65740000 000d9f02 230c085f dt_set......#.._ 34e00: 7764745f 7461736b 00000001 0e022310 wdt_task......#. 34e10: 085f7764 745f7265 73657400 0000010e ._wdt_reset..... 34e20: 02231408 5f776474 5f6c6173 745f626f .#.._wdt_last_bo 34e30: 6f740000 000e0a02 23180014 0400000f ot......#....... 34e40: 0f0e5245 545f5355 43434553 5300000e ..RET_SUCCESS... 34e50: 5245545f 4e4f545f 494e4954 00010e52 RET_NOT_INIT...R 34e60: 45545f4e 4f545f45 58495354 00020e52 ET_NOT_EXIST...R 34e70: 45545f45 45505f43 4f525255 50540003 ET_EEP_CORRUPT.. 34e80: 0e524554 5f454550 5f4f5645 52464c4f .RET_EEP_OVERFLO 34e90: 5700040e 5245545f 554e4b4e 4f574e00 W...RET_UNKNOWN. 34ea0: 05000954 5f454550 5f524554 0000000e ...T_EEP_RET.... 34eb0: a8030000 018f0400 0600000f 0f010300 ................ 34ec0: 000f2504 00060000 0f0f0103 00000f32 ..%............2 34ed0: 04000765 65705f61 70690010 00000f9b ...eep_api...... 34ee0: 085f6565 705f696e 69740000 00010e02 ._eep_init...... 34ef0: 2300085f 6565705f 72656164 0000000f #.._eep_read.... 34f00: 2b022304 085f6565 705f7772 69746500 +.#.._eep_write. 34f10: 00000f2b 02230808 5f656570 5f69735f ...+.#.._eep_is_ 34f20: 65786973 74000000 0f380223 0c000775 exist....8.#...u 34f30: 73625f61 70690070 00001248 085f7573 sb_api.p...H._us 34f40: 625f696e 69740000 00010e02 2300085f b_init......#.._ 34f50: 7573625f 726f6d5f 7461736b 00000001 usb_rom_task.... 34f60: 0e022304 085f7573 625f6677 5f746173 ..#.._usb_fw_tas 34f70: 6b000000 010e0223 08085f75 73625f69 k......#.._usb_i 34f80: 6e69745f 70687900 0000010e 02230c08 nit_phy......#.. 34f90: 5f757362 5f657030 5f736574 75700000 _usb_ep0_setup.. 34fa0: 00010e02 2310085f 7573625f 6570305f ....#.._usb_ep0_ 34fb0: 74780000 00010e02 2314085f 7573625f tx......#.._usb_ 34fc0: 6570305f 72780000 00010e02 2318085f ep0_rx......#.._ 34fd0: 7573625f 6765745f 696e7465 72666163 usb_get_interfac 34fe0: 65000000 081a0223 1c085f75 73625f73 e......#.._usb_s 34ff0: 65745f69 6e746572 66616365 00000008 et_interface.... 35000: 1a022320 085f7573 625f6765 745f636f ..# ._usb_get_co 35010: 6e666967 75726174 696f6e00 0000081a nfiguration..... 35020: 02232408 5f757362 5f736574 5f636f6e .#$._usb_set_con 35030: 66696775 72617469 6f6e0000 00081a02 figuration...... 35040: 2328085f 7573625f 7374616e 64617264 #(._usb_standard 35050: 5f636d64 00000008 1a02232c 085f7573 _cmd......#,._us 35060: 625f7665 6e646f72 5f636d64 00000001 b_vendor_cmd.... 35070: 0e022330 085f7573 625f706f 7765725f ..#0._usb_power_ 35080: 6f666600 0000010e 02233408 5f757362 off......#4._usb 35090: 5f726573 65745f66 69666f00 0000010e _reset_fifo..... 350a0: 02233808 5f757362 5f67656e 5f776474 .#8._usb_gen_wdt 350b0: 00000001 0e02233c 085f7573 625f6a75 ......#<._usb_ju 350c0: 6d705f62 6f6f7400 0000010e 02234008 mp_boot......#@. 350d0: 5f757362 5f636c72 5f666561 74757265 _usb_clr_feature 350e0: 00000008 1a022344 085f7573 625f7365 ......#D._usb_se 350f0: 745f6665 61747572 65000000 081a0223 t_feature......# 35100: 48085f75 73625f73 65745f61 64647265 H._usb_set_addre 35110: 73730000 00081a02 234c085f 7573625f ss......#L._usb_ 35120: 6765745f 64657363 72697074 6f720000 get_descriptor.. 35130: 00081a02 2350085f 7573625f 6765745f ....#P._usb_get_ 35140: 73746174 75730000 00081a02 2354085f status......#T._ 35150: 7573625f 73657475 705f6465 73630000 usb_setup_desc.. 35160: 00010e02 2358085f 7573625f 7265675f ....#X._usb_reg_ 35170: 6f757400 0000010e 02235c08 5f757362 out......#\._usb 35180: 5f737461 7475735f 696e0000 00010e02 _status_in...... 35190: 2360085f 7573625f 6570305f 74785f64 #`._usb_ep0_tx_d 351a0: 61746100 0000010e 02236408 5f757362 ata......#d._usb 351b0: 5f657030 5f72785f 64617461 00000001 _ep0_rx_data.... 351c0: 0e022368 085f7573 625f636c 6b5f696e ..#h._usb_clk_in 351d0: 69740000 00010e02 236c0007 5f564445 it......#l.._VDE 351e0: 53430024 000012d4 086e6578 745f6465 SC.$.....next_de 351f0: 73630000 0012d402 23000862 75665f61 sc......#..buf_a 35200: 64647200 000012e8 02230408 6275665f ddr......#..buf_ 35210: 73697a65 00000012 ef022308 08646174 size......#..dat 35220: 615f6f66 66736574 00000012 ef02230a a_offset......#. 35230: 08646174 615f7369 7a650000 0012ef02 .data_size...... 35240: 230c0863 6f6e7472 6f6c0000 0012ef02 #..control...... 35250: 230e0868 775f6465 73635f62 75660000 #..hw_desc_buf.. 35260: 0012fd02 23100003 00001248 04000941 ....#......H...A 35270: 5f55494e 54380000 00032203 000012db _UINT8...."..... 35280: 04000941 5f55494e 54313600 00000179 ...A_UINT16....y 35290: 0f000012 db140000 130a1013 00030000 ................ 352a0: 12480400 09564445 53430000 00124803 .H...VDESC....H. 352b0: 00001311 04000600 00131c01 03000013 ................ 352c0: 23040006 000012e8 01030000 13300400 #............0.. 352d0: 02010300 00133d04 00077664 6573635f ......=...vdesc_ 352e0: 61706900 14000013 b5085f69 6e697400 api......._init. 352f0: 00000add 02230008 5f616c6c 6f635f76 .....#.._alloc_v 35300: 64657363 00000013 29022304 085f6765 desc....).#.._ge 35310: 745f6877 5f646573 63000000 13360223 t_hw_desc....6.# 35320: 08085f73 7761705f 76646573 63000000 .._swap_vdesc... 35330: 133f0223 0c087052 65736572 76656400 .?.#..pReserved. 35340: 0000040a 02231000 075f5642 55460020 .....#..._VBUF. 35350: 00001415 08646573 635f6c69 73740000 .....desc_list.. 35360: 00131c02 2300086e 6578745f 62756600 ....#..next_buf. 35370: 00001415 02230408 6275665f 6c656e67 .....#..buf_leng 35380: 74680000 0012ef02 23080872 65736572 th......#..reser 35390: 76656400 0000141c 02230a08 63747800 ved......#..ctx. 353a0: 000012fd 02230c00 03000013 b504000f .....#.......... 353b0: 000012db 02000014 29100100 03000013 ........)....... 353c0: b5040009 56425546 00000013 b5030000 ....VBUF........ 353d0: 14300400 06000014 3a010300 00144104 .0......:.....A. 353e0: 00060000 143a0103 0000144e 04000201 .....:.....N.... 353f0: 03000014 5b040007 76627566 5f617069 ....[...vbuf_api 35400: 00140000 14d9085f 696e6974 0000000a ......._init.... 35410: dd022300 085f616c 6c6f635f 76627566 ..#.._alloc_vbuf 35420: 00000014 47022304 085f616c 6c6f635f ....G.#.._alloc_ 35430: 76627566 5f776974 685f7369 7a650000 vbuf_with_size.. 35440: 00145402 2308085f 66726565 5f766275 ..T.#.._free_vbu 35450: 66000000 145d0223 0c087052 65736572 f....].#..pReser 35460: 76656400 0000040a 02231000 075f5f61 ved......#...__a 35470: 64665f64 65766963 65000400 0014fb08 df_device....... 35480: 64756d6d 79000000 01150223 00000300 dummy......#.... 35490: 00092104 00075f5f 6164665f 646d615f ..!...__adf_dma_ 354a0: 6d617000 0c000015 42086275 66000000 map.....B.buf... 354b0: 143a0223 00086473 5f616464 72000000 .:.#..ds_addr... 354c0: 14fb0223 04086473 5f6c656e 00000012 ...#..ds_len.... 354d0: ef022308 00120c00 00157c08 5f5f7661 ..#.......|.__va 354e0: 5f73746b 00000003 78022300 085f5f76 _stk....x.#..__v 354f0: 615f7265 67000000 03780223 04085f5f a_reg....x.#..__ 35500: 76615f6e 64780000 00011502 23080009 va_ndx......#... 35510: 5f5f6164 665f6f73 5f646d61 5f616464 __adf_os_dma_add 35520: 725f7400 00000921 09616466 5f6f735f r_t....!.adf_os_ 35530: 646d615f 61646472 5f740000 00157c09 dma_addr_t....|. 35540: 5f5f6164 665f6f73 5f646d61 5f73697a __adf_os_dma_siz 35550: 655f7400 00000921 09616466 5f6f735f e_t....!.adf_os_ 35560: 646d615f 73697a65 5f740000 0015ac07 dma_size_t...... 35570: 5f5f646d 615f7365 67730008 00001608 __dma_segs...... 35580: 08706164 64720000 00159502 2300086c .paddr......#..l 35590: 656e0000 0015c502 23040009 5f5f615f en......#...__a_ 355a0: 75696e74 33325f74 00000009 2109615f uint32_t....!.a_ 355b0: 75696e74 33325f74 00000016 080f0000 uint32_t........ 355c0: 15dc0800 00163710 00000761 64665f6f ......7....adf_o 355d0: 735f646d 616d6170 5f696e66 6f000c00 s_dmamap_info... 355e0: 00167008 6e736567 73000000 161a0223 ..p.nsegs......# 355f0: 0008646d 615f7365 67730000 00162a02 ..dma_segs....*. 35600: 23040009 5f5f615f 75696e74 385f7400 #...__a_uint8_t. 35610: 000012db 09615f75 696e7438 5f740000 .....a_uint8_t.. 35620: 00167003 00001681 0400075f 5f73675f ..p........__sg_ 35630: 73656773 00080000 16c20876 61646472 segs.......vaddr 35640: 00000016 90022300 086c656e 00000016 ......#..len.... 35650: 1a022304 000f0000 16972000 0016cf10 ..#....... ..... 35660: 03000761 64665f6f 735f7367 6c697374 ...adf_os_sglist 35670: 00240000 1702086e 73656773 00000016 .$.....nsegs.... 35680: 1a022300 0873675f 73656773 00000016 ..#..sg_segs.... 35690: c2022304 00121000 00174b08 76656e64 ..#.......K.vend 356a0: 6f720000 00161a02 23000864 65766963 or......#..devic 356b0: 65000000 161a0223 04087375 6276656e e......#..subven 356c0: 646f7200 0000161a 02230808 73756264 dor......#..subd 356d0: 65766963 65000000 161a0223 0c00046c evice......#...l 356e0: 6f6e6720 6c6f6e67 20756e73 69676e65 ong long unsigne 356f0: 6420696e 74000708 09415f55 494e5436 d int....A_UINT6 35700: 34000000 174b095f 5f615f75 696e7436 4....K.__a_uint6 35710: 345f7400 00001765 09615f75 696e7436 4_t....e.a_uint6 35720: 345f7400 00001773 14040000 17d10e41 4_t....s.......A 35730: 44465f4f 535f5245 534f5552 43455f54 DF_OS_RESOURCE_T 35740: 5950455f 4d454d00 000e4144 465f4f53 YPE_MEM...ADF_OS 35750: 5f524553 4f555243 455f5459 50455f49 _RESOURCE_TYPE_I 35760: 4f000100 09616466 5f6f735f 7265736f O....adf_os_reso 35770: 75726365 5f747970 655f7400 00001795 urce_type_t..... 35780: 12180000 181b0873 74617274 00000017 .......start.... 35790: 85022300 08656e64 00000017 85022308 ..#..end......#. 357a0: 08747970 65000000 17d10223 10000961 .type......#...a 357b0: 64665f6f 735f7063 695f6465 765f6964 df_os_pci_dev_id 357c0: 5f740000 00170203 0000181b 04001104 _t.............. 357d0: 0000185a 08706369 00000018 34022300 ...Z.pci....4.#. 357e0: 08726177 00000004 0a022300 00111000 .raw......#..... 357f0: 00187908 70636900 0000181b 02230008 ..y.pci......#.. 35800: 72617700 0000040a 02230000 09616466 raw......#...adf 35810: 5f647276 5f68616e 646c655f 74000000 _drv_handle_t... 35820: 040a0961 64665f6f 735f7265 736f7572 ...adf_os_resour 35830: 63655f74 00000017 ed030000 188f0400 ce_t............ 35840: 09616466 5f6f735f 61747461 63685f64 .adf_os_attach_d 35850: 6174615f 74000000 185a0300 0018ad04 ata_t....Z...... 35860: 00030000 14d90400 095f5f61 64665f6f .........__adf_o 35870: 735f6465 76696365 5f740000 0018ce09 s_device_t...... 35880: 6164665f 6f735f64 65766963 655f7400 adf_os_device_t. 35890: 000018d5 06000018 79010300 00190104 ........y....... 358a0: 00020103 0000190e 04000961 64665f6f ...........adf_o 358b0: 735f706d 5f740000 00040a02 01030000 s_pm_t.......... 358c0: 19280400 14040000 19680e41 44465f4f .(.......h.ADF_O 358d0: 535f4255 535f5459 50455f50 43490001 S_BUS_TYPE_PCI.. 358e0: 0e414446 5f4f535f 4255535f 54595045 .ADF_OS_BUS_TYPE 358f0: 5f47454e 45524943 00020009 6164665f _GENERIC....adf_ 35900: 6f735f62 75735f74 7970655f 74000000 os_bus_type_t... 35910: 19310961 64665f6f 735f6275 735f7265 .1.adf_os_bus_re 35920: 675f6461 74615f74 00000018 3b030000 g_data_t....;... 35930: 03220400 075f6164 665f6472 765f696e ."..._adf_drv_in 35940: 666f0020 00001a45 08647276 5f617474 fo. ...E.drv_att 35950: 61636800 00001907 02230008 6472765f ach......#..drv_ 35960: 64657461 63680000 00191002 23040864 detach......#..d 35970: 72765f73 75737065 6e640000 00192a02 rv_suspend....*. 35980: 23080864 72765f72 6573756d 65000000 #..drv_resume... 35990: 19100223 0c086275 735f7479 70650000 ...#..bus_type.. 359a0: 00196802 23100862 75735f64 61746100 ..h.#..bus_data. 359b0: 0000197f 02231408 6d6f645f 6e616d65 .....#..mod_name 359c0: 00000019 9a022318 0869666e 616d6500 ......#..ifname. 359d0: 0000199a 02231c00 09616466 5f6f735f .....#...adf_os_ 359e0: 68616e64 6c655f74 00000004 0a030000 handle_t........ 359f0: 16700400 02010201 095f5f61 64665f6f .p.......__adf_o 35a00: 735f7369 7a655f74 00000004 0d140400 s_size_t........ 35a10: 001a940e 415f4641 4c534500 000e415f ....A_FALSE...A_ 35a20: 54525545 00010009 615f626f 6f6c5f74 TRUE....a_bool_t 35a30: 0000001a 7a030000 15020400 095f5f61 ....z........__a 35a40: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 35a50: 00001aa2 02010d61 64665f6f 735f6361 .......adf_os_ca 35a60: 6368655f 73796e63 00040000 1b2c0e41 che_sync.....,.A 35a70: 44465f53 594e435f 50524552 45414400 DF_SYNC_PREREAD. 35a80: 000e4144 465f5359 4e435f50 52455752 ..ADF_SYNC_PREWR 35a90: 49544500 020e4144 465f5359 4e435f50 ITE...ADF_SYNC_P 35aa0: 4f535452 45414400 010e4144 465f5359 OSTREAD...ADF_SY 35ab0: 4e435f50 4f535457 52495445 00030009 NC_POSTWRITE.... 35ac0: 6164665f 6f735f63 61636865 5f73796e adf_os_cache_syn 35ad0: 635f7400 00001ac3 02010961 64665f6f c_t........adf_o 35ae0: 735f7369 7a655f74 0000001a 65060000 s_size_t....e... 35af0: 1b470109 6164665f 6f735f64 6d615f6d .G..adf_os_dma_m 35b00: 61705f74 0000001a a9030000 1b600400 ap_t.........`.. 35b10: 06000004 0a010300 001aa904 00060000 ................ 35b20: 040a0102 01060000 15950102 01047368 ..............sh 35b30: 6f727420 696e7400 05020941 5f494e54 ort int....A_INT 35b40: 31360000 001b9a09 5f5f615f 696e7431 16......__a_int1 35b50: 365f7400 00001ba7 09615f69 6e743136 6_t......a_int16 35b60: 5f740000 001bb404 7369676e 65642063 _t......signed c 35b70: 68617200 05010941 5f494e54 38000000 har....A_INT8... 35b80: 1bd4095f 5f615f69 6e74385f 74000000 ...__a_int8_t... 35b90: 1be30961 5f696e74 385f7400 00001bef ...a_int8_t..... 35ba0: 120c0000 1c660873 7570706f 72746564 .....f.supported 35bb0: 00000016 1a022300 08616476 65727469 ......#..adverti 35bc0: 7a656400 0000161a 02230408 73706565 zed......#..spee 35bd0: 64000000 1bc50223 08086475 706c6578 d......#..duplex 35be0: 0000001b ff02230a 08617574 6f6e6567 ......#..autoneg 35bf0: 00000016 8102230b 000f0000 16810600 ......#......... 35c00: 001c7310 05000761 64665f6e 65745f65 ..s....adf_net_e 35c10: 74686164 64720006 00001c97 08616464 thaddr.......add 35c20: 72000000 1c660223 0000095f 5f615f75 r....f.#...__a_u 35c30: 696e7431 365f7400 000012ef 09615f75 int16_t......a_u 35c40: 696e7431 365f7400 00001c97 120e0000 int16_t......... 35c50: 1cfb0865 74686572 5f64686f 73740000 ...ether_dhost.. 35c60: 001c6602 23000865 74686572 5f73686f ..f.#..ether_sho 35c70: 73740000 001c6602 23060865 74686572 st....f.#..ether 35c80: 5f747970 65000000 1ca90223 0c001214 _type......#.... 35c90: 00001dbc 1569705f 76657273 696f6e00 .....ip_version. 35ca0: 00001681 01000402 23001569 705f686c ........#..ip_hl 35cb0: 00000016 81010404 02230008 69705f74 .........#..ip_t 35cc0: 6f730000 00168102 23010869 705f6c65 os......#..ip_le 35cd0: 6e000000 1ca90223 02086970 5f696400 n......#..ip_id. 35ce0: 00001ca9 02230408 69705f66 7261675f .....#..ip_frag_ 35cf0: 6f666600 00001ca9 02230608 69705f74 off......#..ip_t 35d00: 746c0000 00168102 23080869 705f7072 tl......#..ip_pr 35d10: 6f746f00 00001681 02230908 69705f63 oto......#..ip_c 35d20: 6865636b 0000001c a902230a 0869705f heck......#..ip_ 35d30: 73616464 72000000 161a0223 0c086970 saddr......#..ip 35d40: 5f646164 64720000 00161a02 23100007 _daddr......#... 35d50: 6164665f 6e65745f 766c616e 68647200 adf_net_vlanhdr. 35d60: 0400001e 0e087470 69640000 001ca902 ......tpid...... 35d70: 23001570 72696f00 00001681 01000302 #..prio......... 35d80: 23021563 66690000 00168101 03010223 #..cfi.........# 35d90: 02157669 64000000 1ca90204 0c022302 ..vid.........#. 35da0: 00076164 665f6e65 745f7669 64000200 ..adf_net_vid... 35db0: 001e3f15 72657300 00001681 01000402 ..?.res......... 35dc0: 23001576 616c0000 001ca902 040c0223 #..val.........# 35dd0: 0000120c 00001e7b 0872785f 62756673 .......{.rx_bufs 35de0: 697a6500 0000161a 02230008 72785f6e ize......#..rx_n 35df0: 64657363 00000016 1a022304 0874785f desc......#..tx_ 35e00: 6e646573 63000000 161a0223 08001208 ndesc......#.... 35e10: 00001ea1 08706f6c 6c656400 00001a94 .....polled..... 35e20: 02230008 706f6c6c 5f777400 0000161a .#..poll_wt..... 35e30: 02230400 0f000016 81400000 1eae103f .#.......@.....? 35e40: 00124600 001ed608 69665f6e 616d6500 ..F.....if_name. 35e50: 00001ea1 02230008 6465765f 61646472 .....#..dev_addr 35e60: 0000001c 66022340 00140400 001f0d0e ....f.#@........ 35e70: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ 35e80: 33324249 5400000e 4144465f 4f535f44 32BIT...ADF_OS_D 35e90: 4d415f4d 41534b5f 36344249 54000100 MA_MASK_64BIT... 35ea0: 09616466 5f6f735f 646d615f 6d61736b .adf_os_dma_mask 35eb0: 5f740000 001ed607 6164665f 646d615f _t......adf_dma_ 35ec0: 696e666f 00080000 1f5a0864 6d615f6d info.....Z.dma_m 35ed0: 61736b00 00001f0d 02230008 73675f6e ask......#..sg_n 35ee0: 73656773 00000016 1a022304 00140400 segs......#..... 35ef0: 001fb00e 4144465f 4e45545f 434b5355 ....ADF_NET_CKSU 35f00: 4d5f4e4f 4e450000 0e414446 5f4e4554 M_NONE...ADF_NET 35f10: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 35f20: 50763400 010e4144 465f4e45 545f434b Pv4...ADF_NET_CK 35f30: 53554d5f 5443505f 5544505f 49507636 SUM_TCP_UDP_IPv6 35f40: 00020009 6164665f 6e65745f 636b7375 ....adf_net_cksu 35f50: 6d5f7479 70655f74 0000001f 5a120800 m_type_t....Z... 35f60: 001ff308 74785f63 6b73756d 0000001f ....tx_cksum.... 35f70: b0022300 0872785f 636b7375 6d000000 ..#..rx_cksum... 35f80: 1fb00223 04000961 64665f6e 65745f63 ...#...adf_net_c 35f90: 6b73756d 5f696e66 6f5f7400 00001fca ksum_info_t..... 35fa0: 14040000 204c0e41 44465f4e 45545f54 .... L.ADF_NET_T 35fb0: 534f5f4e 4f4e4500 000e4144 465f4e45 SO_NONE...ADF_NE 35fc0: 545f5453 4f5f4950 56340001 0e414446 T_TSO_IPV4...ADF 35fd0: 5f4e4554 5f54534f 5f414c4c 00020009 _NET_TSO_ALL.... 35fe0: 6164665f 6e65745f 74736f5f 74797065 adf_net_tso_type 35ff0: 5f740000 00200d12 10000020 a008636b _t... ..... ..ck 36000: 73756d5f 63617000 00001ff3 02230008 sum_cap......#.. 36010: 74736f00 0000204c 02230808 766c616e tso... L.#..vlan 36020: 5f737570 706f7274 65640000 00168102 _supported...... 36030: 230c0012 20000021 39087478 5f706163 #... ..!9.tx_pac 36040: 6b657473 00000016 1a022300 0872785f kets......#..rx_ 36050: 7061636b 65747300 0000161a 02230408 packets......#.. 36060: 74785f62 79746573 00000016 1a022308 tx_bytes......#. 36070: 0872785f 62797465 73000000 161a0223 .rx_bytes......# 36080: 0c087478 5f64726f 70706564 00000016 ..tx_dropped.... 36090: 1a022310 0872785f 64726f70 70656400 ..#..rx_dropped. 360a0: 0000161a 02231408 72785f65 72726f72 .....#..rx_error 360b0: 73000000 161a0223 18087478 5f657272 s......#..tx_err 360c0: 6f727300 0000161a 02231c00 09616466 ors......#...adf 360d0: 5f6e6574 5f657468 61646472 5f740000 _net_ethaddr_t.. 360e0: 001c7316 00002139 03000000 215e107f ..s...!9....!^.. 360f0: 00176164 665f6e65 745f636d 645f6d63 ..adf_net_cmd_mc 36100: 61646472 00030400 00219508 6e656c65 addr.....!..nele 36110: 6d000000 161a0223 00086d63 61737400 m......#..mcast. 36120: 00002150 02230400 09616466 5f6e6574 ..!P.#...adf_net 36130: 5f636d64 5f6c696e 6b5f696e 666f5f74 _cmd_link_info_t 36140: 0000001c 0d096164 665f6e65 745f636d ......adf_net_cm 36150: 645f706f 6c6c5f69 6e666f5f 74000000 d_poll_info_t... 36160: 1e7b0961 64665f6e 65745f63 6d645f63 .{.adf_net_cmd_c 36170: 6b73756d 5f696e66 6f5f7400 00001ff3 ksum_info_t..... 36180: 09616466 5f6e6574 5f636d64 5f72696e .adf_net_cmd_rin 36190: 675f696e 666f5f74 0000001e 3f096164 g_info_t....?.ad 361a0: 665f6e65 745f636d 645f646d 615f696e f_net_cmd_dma_in 361b0: 666f5f74 0000001f 24096164 665f6e65 fo_t....$.adf_ne 361c0: 745f636d 645f7669 645f7400 00001ca9 t_cmd_vid_t..... 361d0: 09616466 5f6e6574 5f636d64 5f6f6666 .adf_net_cmd_off 361e0: 6c6f6164 5f636170 5f740000 00206409 load_cap_t... d. 361f0: 6164665f 6e65745f 636d645f 73746174 adf_net_cmd_stat 36200: 735f7400 000020a0 09616466 5f6e6574 s_t... ..adf_net 36210: 5f636d64 5f6d6361 6464725f 74000000 _cmd_mcaddr_t... 36220: 215e0d61 64665f6e 65745f63 6d645f6d !^.adf_net_cmd_m 36230: 63617374 5f636170 00040000 22d70e41 cast_cap...."..A 36240: 44465f4e 45545f4d 43415354 5f535550 DF_NET_MCAST_SUP 36250: 00000e41 44465f4e 45545f4d 43415354 ...ADF_NET_MCAST 36260: 5f4e4f54 53555000 01000961 64665f6e _NOTSUP....adf_n 36270: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap 36280: 5f740000 00228f18 03040000 23a9086c _t..."......#..l 36290: 696e6b5f 696e666f 00000021 95022300 ink_info...!..#. 362a0: 08706f6c 6c5f696e 666f0000 0021b202 .poll_info...!.. 362b0: 23000863 6b73756d 5f696e66 6f000000 #..cksum_info... 362c0: 21cf0223 00087269 6e675f69 6e666f00 !..#..ring_info. 362d0: 000021ed 02230008 646d615f 696e666f ..!..#..dma_info 362e0: 00000022 0a022300 08766964 00000022 ..."..#..vid..." 362f0: 26022300 086f6666 6c6f6164 5f636170 &.#..offload_cap 36300: 00000022 3d022300 08737461 74730000 ..."=.#..stats.. 36310: 00225c02 2300086d 63617374 5f696e66 ."\.#..mcast_inf 36320: 6f000000 22750223 00086d63 6173745f o..."u.#..mcast_ 36330: 63617000 000022d7 02230000 14040000 cap..."..#...... 36340: 24000e41 44465f4e 4255465f 52585f43 $..ADF_NBUF_RX_C 36350: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 36360: 4e425546 5f52585f 434b5355 4d5f4857 NBUF_RX_CKSUM_HW 36370: 00010e41 44465f4e 4255465f 52585f43 ...ADF_NBUF_RX_C 36380: 4b53554d 5f554e4e 45434553 53415259 KSUM_UNNECESSARY 36390: 00020009 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ 363a0: 636b7375 6d5f7479 70655f74 00000023 cksum_type_t...# 363b0: a9120800 00244008 72657375 6c740000 .....$@.result.. 363c0: 00240002 23000876 616c0000 00161a02 .$..#..val...... 363d0: 23040012 08000024 70087479 70650000 #......$p.type.. 363e0: 00204c02 2300086d 73730000 001ca902 . L.#..mss...... 363f0: 23040868 64725f6f 66660000 00168102 #..hdr_off...... 36400: 23060007 5f5f6164 665f6e62 75665f71 #...__adf_nbuf_q 36410: 68656164 000c0000 24af0868 65616400 head....$..head. 36420: 0000143a 02230008 7461696c 00000014 ...:.#..tail.... 36430: 3a022304 08716c65 6e000000 161a0223 :.#..qlen......# 36440: 0800095f 5f616466 5f6e6275 665f7400 ...__adf_nbuf_t. 36450: 0000143a 03000016 90040003 0000161a ...:............ 36460: 04000201 06000013 1c010600 00161a01 ................ 36470: 06000016 90010600 00169001 03000012 ................ 36480: fd040009 5f5f6164 665f6e62 75665f71 ....__adf_nbuf_q 36490: 68656164 5f740000 00247009 5f5f6164 head_t...$p.__ad 364a0: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. 364b0: 0024f003 00002508 04000600 0024af01 .$....%......$.. 364c0: 06000024 af011404 00002628 0e415f53 ...$......&(.A_S 364d0: 54415455 535f4f4b 00000e41 5f535441 TATUS_OK...A_STA 364e0: 5455535f 4641494c 45440001 0e415f53 TUS_FAILED...A_S 364f0: 54415455 535f454e 4f454e54 00020e41 TATUS_ENOENT...A 36500: 5f535441 5455535f 454e4f4d 454d0003 _STATUS_ENOMEM.. 36510: 0e415f53 54415455 535f4549 4e56414c .A_STATUS_EINVAL 36520: 00040e41 5f535441 5455535f 45494e50 ...A_STATUS_EINP 36530: 524f4752 45535300 050e415f 53544154 ROGRESS...A_STAT 36540: 55535f45 4e4f5453 55505000 060e415f US_ENOTSUPP...A_ 36550: 53544154 55535f45 42555359 00070e41 STATUS_EBUSY...A 36560: 5f535441 5455535f 45324249 4700080e _STATUS_E2BIG... 36570: 415f5354 41545553 5f454144 44524e4f A_STATUS_EADDRNO 36580: 54415641 494c0009 0e415f53 54415455 TAVAIL...A_STATU 36590: 535f454e 58494f00 0a0e415f 53544154 S_ENXIO...A_STAT 365a0: 55535f45 4641554c 54000b0e 415f5354 US_EFAULT...A_ST 365b0: 41545553 5f45494f 000c0009 615f7374 ATUS_EIO....a_st 365c0: 61747573 5f740000 00253306 00002628 atus_t...%3...&( 365d0: 01060000 01150102 01096164 665f6e62 ..........adf_nb 365e0: 75665f74 00000024 af140400 00268d0e uf_t...$.....&.. 365f0: 4144465f 4f535f44 4d415f54 4f5f4445 ADF_OS_DMA_TO_DE 36600: 56494345 00000e41 44465f4f 535f444d VICE...ADF_OS_DM 36610: 415f4652 4f4d5f44 45564943 45000100 A_FROM_DEVICE... 36620: 09616466 5f6f735f 646d615f 6469725f .adf_os_dma_dir_ 36630: 74000000 26560600 00262801 02010961 t...&V...&(....a 36640: 64665f6f 735f646d 616d6170 5f696e66 df_os_dmamap_inf 36650: 6f5f7400 00001637 03000026 ab040002 o_t....7...&.... 36660: 01020106 00002646 01060000 24af0102 ......&F....$... 36670: 01020106 00002646 01060000 24af0106 ......&F....$... 36680: 00002646 01060000 24af0106 00002646 ..&F....$.....&F 36690: 01020102 01060000 161a0106 00001690 ................ 366a0: 01020102 01060000 1b470106 00001a94 .........G...... 366b0: 01060000 1a940109 6164665f 6f735f73 ........adf_os_s 366c0: 676c6973 745f7400 000016cf 03000027 glist_t........' 366d0: 24040002 01020102 01060000 16900109 $............... 366e0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 366f0: 00000025 08030000 274c0400 02010300 ...%....'L...... 36700: 0024f004 00020102 01020106 00002646 .$............&F 36710: 01060000 24af0106 0000161a 01060000 ....$........... 36720: 161a0106 00001a94 01060000 1a940106 ................ 36730: 00001fb0 01060000 161a0109 6164665f ............adf_ 36740: 6e627566 5f72785f 636b7375 6d5f7400 nbuf_rx_cksum_t. 36750: 0000241e 03000027 a8040002 01020109 ..$....'........ 36760: 6164665f 6e627566 5f74736f 5f740000 adf_nbuf_tso_t.. 36770: 00244003 000027cc 04000201 02010961 .$@...'........a 36780: 64665f6e 65745f68 616e646c 655f7400 df_net_handle_t. 36790: 0000040a 09616466 5f6e6574 5f766c61 .....adf_net_vla 367a0: 6e686472 5f740000 001dbc03 00002801 nhdr_t........(. 367b0: 04000600 00262801 06000026 28010201 .....&(....&(... 367c0: 0201075f 4849465f 434f4e46 49470004 ..._HIF_CONFIG.. 367d0: 00002850 0864756d 6d790000 00011502 ..(P.dummy...... 367e0: 23000002 01030000 28500400 02010300 #.......(P...... 367f0: 00285904 00075f48 49465f43 414c4c42 .(Y..._HIF_CALLB 36800: 41434b00 0c000028 ae087365 6e645f62 ACK....(..send_b 36810: 75665f64 6f6e6500 00002852 02230008 uf_done...(R.#.. 36820: 72656376 5f627566 00000028 5b022304 recv_buf...([.#. 36830: 08636f6e 74657874 00000004 0a022308 .context......#. 36840: 00096869 665f6861 6e646c65 5f740000 ..hif_handle_t.. 36850: 00040a09 4849465f 434f4e46 49470000 ....HIF_CONFIG.. 36860: 00282f03 000028c0 04000600 0028ae01 .(/...(......(.. 36870: 03000028 d7040002 01030000 28e40400 ...(........(... 36880: 09484946 5f43414c 4c424143 4b000000 .HIF_CALLBACK... 36890: 28620300 0028ed04 00020103 00002906 (b...(........). 368a0: 04000600 00011501 03000029 0f040002 ...........).... 368b0: 01030000 291c0400 06000001 15010300 ....)........... 368c0: 00292504 00020103 00002932 04000600 .)%.......)2.... 368d0: 00011501 03000029 3b040002 01030000 .......);....... 368e0: 29480400 07686966 5f617069 00380000 )H...hif_api.8.. 368f0: 2aa1085f 696e6974 00000028 dd022300 *.._init...(..#. 36900: 085f7368 7574646f 776e0000 0028e602 ._shutdown...(.. 36910: 2304085f 72656769 73746572 5f63616c #.._register_cal 36920: 6c626163 6b000000 29080223 08085f67 lback...)..#.._g 36930: 65745f74 6f74616c 5f637265 6469745f et_total_credit_ 36940: 636f756e 74000000 29150223 0c085f73 count...)..#.._s 36950: 74617274 00000028 e6022310 085f636f tart...(..#.._co 36960: 6e666967 5f706970 65000000 291e0223 nfig_pipe...)..# 36970: 14085f73 656e645f 62756666 65720000 .._send_buffer.. 36980: 00292b02 2318085f 72657475 726e5f72 .)+.#.._return_r 36990: 6563765f 62756600 00002934 02231c08 ecv_buf...)4.#.. 369a0: 5f69735f 70697065 5f737570 706f7274 _is_pipe_support 369b0: 65640000 00294102 2320085f 6765745f ed...)A.# ._get_ 369c0: 6d61785f 6d73675f 6c656e00 00002941 max_msg_len...)A 369d0: 02232408 5f676574 5f726573 65727665 .#$._get_reserve 369e0: 645f6865 6164726f 6f6d0000 00291502 d_headroom...).. 369f0: 2328085f 6973725f 68616e64 6c657200 #(._isr_handler. 36a00: 000028e6 02232c08 5f676574 5f646566 ..(..#,._get_def 36a10: 61756c74 5f706970 65000000 294a0223 ault_pipe...)J.# 36a20: 30087052 65736572 76656400 0000040a 0.pReserved..... 36a30: 02233400 0d646d61 5f656e67 696e6500 .#4..dma_engine. 36a40: 0400002b 2a0e444d 415f454e 47494e45 ...+*.DMA_ENGINE 36a50: 5f525830 00000e44 4d415f45 4e47494e _RX0...DMA_ENGIN 36a60: 455f5258 3100010e 444d415f 454e4749 E_RX1...DMA_ENGI 36a70: 4e455f52 58320002 0e444d41 5f454e47 NE_RX2...DMA_ENG 36a80: 494e455f 52583300 030e444d 415f454e INE_RX3...DMA_EN 36a90: 47494e45 5f545830 00040e44 4d415f45 GINE_TX0...DMA_E 36aa0: 4e47494e 455f5458 3100050e 444d415f NGINE_TX1...DMA_ 36ab0: 454e4749 4e455f4d 41580006 0009646d ENGINE_MAX....dm 36ac0: 615f656e 67696e65 5f740000 002aa10d a_engine_t...*.. 36ad0: 646d615f 69667479 70650004 00002b77 dma_iftype....+w 36ae0: 0e444d41 5f49465f 474d4143 00000e44 .DMA_IF_GMAC...D 36af0: 4d415f49 465f5043 4900010e 444d415f MA_IF_PCI...DMA_ 36b00: 49465f50 43494500 02000964 6d615f69 IF_PCIE....dma_i 36b10: 66747970 655f7400 00002b3c 06000012 ftype_t...+<.... 36b20: ef010300 002b8904 00020103 00002b96 .....+........+. 36b30: 04000201 0300002b 9f040006 00000921 .......+.......! 36b40: 01030000 2ba80400 06000012 ef010300 ....+........... 36b50: 002bb504 00060000 12ef0103 00002bc2 .+............+. 36b60: 04000600 00143a01 0300002b cf040002 ......:....+.... 36b70: 01030000 2bdc0400 07646d61 5f6c6962 ....+....dma_lib 36b80: 5f617069 00340000 2ce30874 785f696e _api.4..,..tx_in 36b90: 69740000 002b8f02 23000874 785f7374 it...+..#..tx_st 36ba0: 61727400 00002b98 02230408 72785f69 art...+..#..rx_i 36bb0: 6e697400 00002b8f 02230808 72785f63 nit...+..#..rx_c 36bc0: 6f6e6669 67000000 2ba10223 0c087278 onfig...+..#..rx 36bd0: 5f737461 72740000 002b9802 23100869 _start...+..#..i 36be0: 6e74725f 73746174 75730000 002bae02 ntr_status...+.. 36bf0: 23140868 6172645f 786d6974 0000002b #..hard_xmit...+ 36c00: bb022318 08666c75 73685f78 6d697400 ..#..flush_xmit. 36c10: 00002b98 02231c08 786d6974 5f646f6e ..+..#..xmit_don 36c20: 65000000 2bc80223 20087265 61705f78 e...+..# .reap_x 36c30: 6d697474 65640000 002bd502 23240872 mitted...+..#$.r 36c40: 6561705f 72656376 0000002b d5022328 eap_recv...+..#( 36c50: 08726574 75726e5f 72656376 0000002b .return_recv...+ 36c60: de02232c 08726563 765f706b 74000000 ..#,.recv_pkt... 36c70: 2bc80223 3000075f 5f706369 5f736f66 +..#0..__pci_sof 36c80: 7463000c 00002d01 08737700 000028ed tc....-..sw...(. 36c90: 02230000 095f5f70 63695f73 6f667463 .#...__pci_softc 36ca0: 5f740000 002ce303 00002d01 04000201 _t...,....-..... 36cb0: 0300002d 1b040006 000012db 01030000 ...-............ 36cc0: 2d240400 0d686966 5f706369 5f706970 -$...hif_pci_pip 36cd0: 655f7478 00040000 2d840e48 49465f50 e_tx....-..HIF_P 36ce0: 43495f50 4950455f 54583000 000e4849 CI_PIPE_TX0...HI 36cf0: 465f5043 495f5049 50455f54 58310001 F_PCI_PIPE_TX1.. 36d00: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 36d10: 5f4d4158 00020009 6869665f 7063695f _MAX....hif_pci_ 36d20: 70697065 5f74785f 74000000 2d310600 pipe_tx_t...-1.. 36d30: 002b2a01 0300002d 9b04000d 6869665f .+*....-....hif_ 36d40: 7063695f 70697065 5f727800 0400002e pci_pipe_rx..... 36d50: 210e4849 465f5043 495f5049 50455f52 !.HIF_PCI_PIPE_R 36d60: 58300000 0e484946 5f504349 5f504950 X0...HIF_PCI_PIP 36d70: 455f5258 3100010e 4849465f 5043495f E_RX1...HIF_PCI_ 36d80: 50495045 5f525832 00020e48 49465f50 PIPE_RX2...HIF_P 36d90: 43495f50 4950455f 52583300 030e4849 CI_PIPE_RX3...HI 36da0: 465f5043 495f5049 50455f52 585f4d41 F_PCI_PIPE_RX_MA 36db0: 58000400 09686966 5f706369 5f706970 X....hif_pci_pip 36dc0: 655f7278 5f740000 002da806 00002b2a e_rx_t...-....+* 36dd0: 01030000 2e380400 07686966 5f706369 .....8...hif_pci 36de0: 5f617069 00240000 2f160870 63695f62 _api.$../..pci_b 36df0: 6f6f745f 696e6974 00000001 0e022300 oot_init......#. 36e00: 08706369 5f696e69 74000000 28dd0223 .pci_init...(..# 36e10: 04087063 695f7265 73657400 0000010e ..pci_reset..... 36e20: 02230808 7063695f 656e6162 6c650000 .#..pci_enable.. 36e30: 00010e02 230c0870 63695f72 6561705f ....#..pci_reap_ 36e40: 786d6974 74656400 00002d1d 02231008 xmitted...-..#.. 36e50: 7063695f 72656170 5f726563 76000000 pci_reap_recv... 36e60: 2d1d0223 14087063 695f6765 745f7069 -..#..pci_get_pi 36e70: 70650000 002d2a02 23180870 63695f67 pe...-*.#..pci_g 36e80: 65745f74 785f656e 67000000 2da10223 et_tx_eng...-..# 36e90: 1c087063 695f6765 745f7278 5f656e67 ..pci_get_rx_eng 36ea0: 0000002e 3e022320 0007676d 61635f61 ....>.# ..gmac_a 36eb0: 70690004 00002f3d 08676d61 635f626f pi..../=.gmac_bo 36ec0: 6f745f69 6e697400 0000010e 02230000 ot_init......#.. 36ed0: 0f000003 22060000 2f4a1005 00075f5f ....".../J....__ 36ee0: 65746868 6472000e 00002f80 08647374 ethhdr..../..dst 36ef0: 0000002f 3d022300 08737263 0000002f .../=.#..src.../ 36f00: 3d022306 08657479 70650000 0012ef02 =.#..etype...... 36f10: 230c0007 5f5f6174 68686472 00040000 #...__athhdr.... 36f20: 2fce1572 65730000 0012db01 00020223 /..res.........# 36f30: 00157072 6f746f00 000012db 01020602 ..proto......... 36f40: 23000872 65735f6c 6f000000 12db0223 #..res_lo......# 36f50: 01087265 735f6869 00000012 ef022302 ..res_hi......#. 36f60: 00075f5f 676d6163 5f686472 00140000 ..__gmac_hdr.... 36f70: 300a0865 74680000 002f4a02 23000861 0..eth.../J.#..a 36f80: 74680000 002f8002 230e0861 6c69676e th.../..#..align 36f90: 5f706164 00000012 ef022312 00095f5f _pad......#...__ 36fa0: 676d6163 5f686472 5f740000 002fce07 gmac_hdr_t.../.. 36fb0: 5f5f676d 61635f73 6f667463 00240000 __gmac_softc.$.. 36fc0: 30540868 64720000 00300a02 23000867 0T.hdr...0..#..g 36fd0: 72616e00 000012ef 02231408 73770000 ran......#..sw.. 36fe0: 0028ed02 23180007 5f415f6f 735f6c69 .(..#..._A_os_li 36ff0: 6e6b6167 655f6368 65636b00 08000030 nkage_check....0 37000: 8d087665 7273696f 6e000000 01150223 ..version......# 37010: 00087461 626c6500 00000115 02230400 ..table......#.. 37020: 03000030 54040006 00000115 01030000 ...0T........... 37030: 30940400 03000004 0d040017 5f415f63 0..........._A_c 37040: 6d6e6f73 5f696e64 69726563 74696f6e mnos_indirection 37050: 5f746162 6c650001 b8000031 e4086861 _table.....1..ha 37060: 6c5f6c69 6e6b6167 655f6368 65636b00 l_linkage_check. 37070: 0000309a 02230008 73746172 745f6273 ..0..#..start_bs 37080: 73000000 30a10223 04086170 705f7374 s...0..#..app_st 37090: 61727400 0000010e 02230808 6d656d00 art......#..mem. 370a0: 0000044d 02230c08 6d697363 00000005 ...M.#..misc.... 370b0: 6c022320 08707269 6e746600 00000142 l.# .printf....B 370c0: 02234408 75617274 00000002 0b02234c .#D.uart......#L 370d0: 08676d61 63000000 2f160223 6c087573 .gmac.../..#l.us 370e0: 62000000 0f9b0223 7008636c 6f636b00 b......#p.clock. 370f0: 00000ae4 0323e001 0874696d 65720000 .....#...timer.. 37100: 00078403 23840208 696e7472 0000000c ....#...intr.... 37110: 34032398 0208616c 6c6f6372 616d0000 4.#...allocram.. 37120: 00093c03 23c40208 726f6d70 00000008 ..<.#...romp.... 37130: 2e0323d0 02087764 745f7469 6d657200 ..#...wdt_timer. 37140: 00000e11 0323e002 08656570 0000000f .....#...eep.... 37150: 3f0323fc 02087374 72696e67 00000006 ?.#...string.... 37160: 9003238c 03087461 736b6c65 74000000 ..#...tasklet... 37170: 0a390323 a4030007 5f555342 5f464946 .9.#...._USB_FIF 37180: 4f5f434f 4e464947 00100000 32570867 O_CONFIG....2W.g 37190: 65745f63 6f6d6d61 6e645f62 75660000 et_command_buf.. 371a0: 00144702 23000872 6563765f 636f6d6d ..G.#..recv_comm 371b0: 616e6400 0000145d 02230408 6765745f and....].#..get_ 371c0: 6576656e 745f6275 66000000 14470223 event_buf....G.# 371d0: 08087365 6e645f65 76656e74 5f646f6e ..send_event_don 371e0: 65000000 145d0223 0c000955 53425f46 e....].#...USB_F 371f0: 49464f5f 434f4e46 49470000 0031e403 IFO_CONFIG...1.. 37200: 00003257 04000201 03000032 73040007 ..2W.......2s... 37210: 75736266 69666f5f 61706900 0c000032 usbfifo_api....2 37220: c9085f69 6e697400 00003275 02230008 .._init...2u.#.. 37230: 5f656e61 626c655f 6576656e 745f6973 _enable_event_is 37240: 72000000 010e0223 04087052 65736572 r......#..pReser 37250: 76656400 0000040a 02230800 0f000016 ved......#...... 37260: 81020000 32d61001 00075f48 54435f46 ....2....._HTC_F 37270: 52414d45 5f484452 00080000 33480845 RAME_HDR....3H.E 37280: 6e64706f 696e7449 44000000 16810223 ndpointID......# 37290: 0008466c 61677300 00001681 02230108 ..Flags......#.. 372a0: 5061796c 6f61644c 656e0000 001ca902 PayloadLen...... 372b0: 23020843 6f6e7472 6f6c4279 74657300 #..ControlBytes. 372c0: 000032c9 02230408 486f7374 5365714e ..2..#..HostSeqN 372d0: 756d0000 001ca902 23060012 02000033 um......#......3 372e0: 61084d65 73736167 65494400 00001ca9 a.MessageID..... 372f0: 02230000 12080000 33c4084d 65737361 .#......3..Messa 37300: 67654944 0000001c a9022300 08437265 geID......#..Cre 37310: 64697443 6f756e74 0000001c a9022302 ditCount......#. 37320: 08437265 64697453 697a6500 00001ca9 .CreditSize..... 37330: 02230408 4d617845 6e64706f 696e7473 .#..MaxEndpoints 37340: 00000016 81022306 085f5061 64310000 ......#.._Pad1.. 37350: 00168102 23070012 0a000034 5b084d65 ....#......4[.Me 37360: 73736167 65494400 00001ca9 02230008 ssageID......#.. 37370: 53657276 69636549 44000000 1ca90223 ServiceID......# 37380: 0208436f 6e6e6563 74696f6e 466c6167 ..ConnectionFlag 37390: 73000000 1ca90223 0408446f 776e4c69 s......#..DownLi 373a0: 6e6b5069 70654944 00000016 81022306 nkPipeID......#. 373b0: 0855704c 696e6b50 69706549 44000000 .UpLinkPipeID... 373c0: 16810223 07085365 72766963 654d6574 ...#..ServiceMet 373d0: 614c656e 67746800 00001681 02230808 aLength......#.. 373e0: 5f506164 31000000 16810223 0900120a _Pad1......#.... 373f0: 000034e3 084d6573 73616765 49440000 ..4..MessageID.. 37400: 001ca902 23000853 65727669 63654944 ....#..ServiceID 37410: 0000001c a9022302 08537461 74757300 ......#..Status. 37420: 00001681 02230408 456e6470 6f696e74 .....#..Endpoint 37430: 49440000 00168102 2305084d 61784d73 ID......#..MaxMs 37440: 6753697a 65000000 1ca90223 06085365 gSize......#..Se 37450: 72766963 654d6574 614c656e 67746800 rviceMetaLength. 37460: 00001681 02230808 5f506164 31000000 .....#.._Pad1... 37470: 16810223 09001202 000034fc 084d6573 ...#......4..Mes 37480: 73616765 49440000 001ca902 23000012 sageID......#... 37490: 04000035 38084d65 73736167 65494400 ...58.MessageID. 374a0: 00001ca9 02230008 50697065 49440000 .....#..PipeID.. 374b0: 00168102 23020843 72656469 74436f75 ....#..CreditCou 374c0: 6e740000 00168102 23030012 04000035 nt......#......5 374d0: 6f084d65 73736167 65494400 00001ca9 o.MessageID..... 374e0: 02230008 50697065 49440000 00168102 .#..PipeID...... 374f0: 23020853 74617475 73000000 16810223 #..Status......# 37500: 03001202 00003596 08526563 6f726449 ......5..RecordI 37510: 44000000 16810223 00084c65 6e677468 D......#..Length 37520: 00000016 81022301 00120200 0035c008 ......#......5.. 37530: 456e6470 6f696e74 49440000 00168102 EndpointID...... 37540: 23000843 72656469 74730000 00168102 #..Credits...... 37550: 23010012 04000036 0108456e 64706f69 #......6..Endpoi 37560: 6e744944 00000016 81022300 08437265 ntID......#..Cre 37570: 64697473 00000016 81022301 08546774 dits......#..Tgt 37580: 43726564 69745365 714e6f00 00001ca9 CreditSeqNo..... 37590: 02230200 0f000016 81040000 360e1003 .#..........6... 375a0: 00120600 00364a08 50726556 616c6964 .....6J.PreValid 375b0: 00000016 81022300 084c6f6f 6b416865 ......#..LookAhe 375c0: 61640000 00360102 23010850 6f737456 ad...6..#..PostV 375d0: 616c6964 00000016 81022305 0009706f alid......#...po 375e0: 6f6c5f68 616e646c 655f7400 0000040a ol_handle_t..... 375f0: 06000036 4a010300 00365d04 00020103 ...6J....6]..... 37600: 0000366a 04001404 000036e8 0e504f4f ..6j......6..POO 37610: 4c5f4944 5f485443 5f434f4e 54524f4c L_ID_HTC_CONTROL 37620: 00000e50 4f4f4c5f 49445f57 4d495f53 ...POOL_ID_WMI_S 37630: 56435f43 4d445f52 45504c59 00010e50 VC_CMD_REPLY...P 37640: 4f4f4c5f 49445f57 4d495f53 56435f45 OOL_ID_WMI_SVC_E 37650: 56454e54 00020e50 4f4f4c5f 49445f57 VENT...POOL_ID_W 37660: 4c414e5f 52585f42 55460003 0e504f4f LAN_RX_BUF...POO 37670: 4c5f4944 5f4d4158 000a0009 4255465f L_ID_MAX....BUF_ 37680: 504f4f4c 5f494400 00003673 02010300 POOL_ID...6s.... 37690: 0036f904 00060000 26460103 00003702 .6......&F....7. 376a0: 04000600 00264601 03000037 0f040002 .....&F....7.... 376b0: 01030000 371c0400 07627566 5f706f6f ....7....buf_poo 376c0: 6c5f6170 69001c00 0037be08 5f696e69 l_api....7.._ini 376d0: 74000000 36630223 00085f73 68757464 t...6c.#.._shutd 376e0: 6f776e00 0000366c 02230408 5f637265 own...6l.#.._cre 376f0: 6174655f 706f6f6c 00000036 fb022308 ate_pool...6..#. 37700: 085f616c 6c6f635f 62756600 00003708 ._alloc_buf...7. 37710: 02230c08 5f616c6c 6f635f62 75665f61 .#.._alloc_buf_a 37720: 6c69676e 00000037 15022310 085f6672 lign...7..#.._fr 37730: 65655f62 75660000 00371e02 23140870 ee_buf...7..#..p 37740: 52657365 72766564 00000004 0a022318 Reserved......#. 37750: 00075f48 54435f53 45525649 4345001c .._HTC_SERVICE.. 37760: 0000389d 08704e65 78740000 00389d02 ..8..pNext...8.. 37770: 23000850 726f6365 73735265 63764d73 #..ProcessRecvMs 37780: 67000000 39520223 04085072 6f636573 g...9R.#..Proces 37790: 7353656e 64427566 66657243 6f6d706c sSendBufferCompl 377a0: 65746500 0000395b 02230808 50726f63 ete...9[.#..Proc 377b0: 65737343 6f6e6e65 63740000 00396f02 essConnect...9o. 377c0: 230c0853 65727669 63654944 00000012 #..ServiceID.... 377d0: ef022310 08536572 76696365 466c6167 ..#..ServiceFlag 377e0: 73000000 12ef0223 12084d61 78537663 s......#..MaxSvc 377f0: 4d736753 697a6500 000012ef 02231408 MsgSize......#.. 37800: 54726169 6c657253 70634368 65636b4c TrailerSpcCheckL 37810: 696d6974 00000012 ef022316 08536572 imit......#..Ser 37820: 76696365 43747800 0000040a 02231800 viceCtx......#.. 37830: 03000037 be040014 04000039 3b19454e ...7.......9;.EN 37840: 44504f49 4e545f55 4e555345 4400ffff DPOINT_UNUSED... 37850: ffff0e45 4e44504f 494e5430 00000e45 ...ENDPOINT0...E 37860: 4e44504f 494e5431 00010e45 4e44504f NDPOINT1...ENDPO 37870: 494e5432 00020e45 4e44504f 494e5433 INT2...ENDPOINT3 37880: 00030e45 4e44504f 494e5434 00040e45 ...ENDPOINT4...E 37890: 4e44504f 494e5435 00050e45 4e44504f NDPOINT5...ENDPO 378a0: 494e5436 00060e45 4e44504f 494e5437 INT6...ENDPOINT7 378b0: 00070e45 4e44504f 494e5438 00080e45 ...ENDPOINT8...E 378c0: 4e44504f 494e545f 4d415800 16000948 NDPOINT_MAX....H 378d0: 54435f45 4e44504f 494e545f 49440000 TC_ENDPOINT_ID.. 378e0: 0038a402 01030000 39500400 02010300 .8......9P...... 378f0: 00395904 00030000 01150400 06000012 .9Y............. 37900: db010300 00396904 00030000 37be0400 .....9i.....7... 37910: 075f4854 435f434f 4e464947 00140000 ._HTC_CONFIG.... 37920: 39ee0843 72656469 7453697a 65000000 9..CreditSize... 37930: 01150223 00084372 65646974 4e756d62 ...#..CreditNumb 37940: 65720000 00011502 2304084f 5348616e er......#..OSHan 37950: 646c6500 00001a45 02230808 48494648 dle....E.#..HIFH 37960: 616e646c 65000000 28ae0223 0c08506f andle...(..#..Po 37970: 6f6c4861 6e646c65 00000036 4a022310 olHandle...6J.#. 37980: 00075f48 54435f42 55465f43 4f4e5445 .._HTC_BUF_CONTE 37990: 58540002 00003a2a 08656e64 5f706f69 XT....:*.end_poi 379a0: 6e740000 0012db02 23000868 74635f66 nt......#..htc_f 379b0: 6c616773 00000012 db022301 00096874 lags......#...ht 379c0: 635f6861 6e646c65 5f740000 00040a09 c_handle_t...... 379d0: 4854435f 53455455 505f434f 4d504c45 HTC_SETUP_COMPLE 379e0: 54455f43 42000000 010e0948 54435f43 TE_CB......HTC_C 379f0: 4f4e4649 47000000 397d0300 003a5704 ONFIG...9}...:W. 37a00: 00060000 3a2a0103 00003a6e 04000201 ....:*....:n.... 37a10: 0300003a 7b040009 4854435f 53455256 ...:{...HTC_SERV 37a20: 49434500 000037be 0300003a 84040002 ICE...7....:.... 37a30: 01030000 3a9c0400 02010300 003aa504 ....:........:.. 37a40: 00020103 00003aae 04000600 00011501 ......:......... 37a50: 0300003a b7040007 6874635f 61706973 ...:....htc_apis 37a60: 00340000 3c34085f 4854435f 496e6974 .4..<4._HTC_Init 37a70: 0000003a 74022300 085f4854 435f5368 ...:t.#.._HTC_Sh 37a80: 7574646f 776e0000 003a7d02 2304085f utdown...:}.#.._ 37a90: 4854435f 52656769 73746572 53657276 HTC_RegisterServ 37aa0: 69636500 00003a9e 02230808 5f485443 ice...:..#.._HTC 37ab0: 5f526561 64790000 003a7d02 230c085f _Ready...:}.#.._ 37ac0: 4854435f 52657475 726e4275 66666572 HTC_ReturnBuffer 37ad0: 73000000 3aa70223 10085f48 54435f52 s...:..#.._HTC_R 37ae0: 65747572 6e427566 66657273 4c697374 eturnBuffersList 37af0: 0000003a b0022314 085f4854 435f5365 ...:..#.._HTC_Se 37b00: 6e644d73 67000000 3aa70223 18085f48 ndMsg...:..#.._H 37b10: 54435f47 65745265 73657276 65644865 TC_GetReservedHe 37b20: 6164726f 6f6d0000 003abd02 231c085f adroom...:..#.._ 37b30: 4854435f 4d736752 65637648 616e646c HTC_MsgRecvHandl 37b40: 65720000 00285b02 2320085f 4854435f er...([.# ._HTC_ 37b50: 53656e64 446f6e65 48616e64 6c657200 SendDoneHandler. 37b60: 00002852 02232408 5f485443 5f436f6e ..(R.#$._HTC_Con 37b70: 74726f6c 53766350 726f6365 73734d73 trolSvcProcessMs 37b80: 67000000 39520223 28085f48 54435f43 g...9R.#(._HTC_C 37b90: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 37ba0: 53656e64 436f6d70 6c657465 00000039 SendComplete...9 37bb0: 5b02232c 08705265 73657276 65640000 [.#,.pReserved.. 37bc0: 00040a02 23300007 686f7374 5f617070 ....#0..host_app 37bd0: 5f617265 615f7300 0400003c 6408776d _area_s......t 37d70: 75706c65 4e756d4c 0000001c a9022300 upleNumL......#. 37d80: 08747570 6c654e75 6d480000 001ca902 .tupleNumH...... 37d90: 23020861 76740000 003dc802 23040012 #..avt...=..#... 37da0: 0100003e 2e086265 61636f6e 50656e64 ...>..beaconPend 37db0: 696e6743 6f756e74 00000016 81022300 ingCount......#. 37dc0: 00075f57 4d495f53 56435f43 4f4e4649 .._WMI_SVC_CONFI 37dd0: 47001000 003e9708 48746348 616e646c G....>..HtcHandl 37de0: 65000000 3a2a0223 0008506f 6f6c4861 e...:*.#..PoolHa 37df0: 6e646c65 00000036 4a022304 084d6178 ndle...6J.#..Max 37e00: 436d6452 65706c79 45767473 00000001 CmdReplyEvts.... 37e10: 15022308 084d6178 4576656e 74457674 ..#..MaxEventEvt 37e20: 73000000 01150223 0c000201 0300003e s......#.......> 37e30: 97040009 574d495f 434d445f 48414e44 ....WMI_CMD_HAND 37e40: 4c455200 00003e99 075f574d 495f4449 LER...>.._WMI_DI 37e50: 53504154 43485f45 4e545259 00080000 SPATCH_ENTRY.... 37e60: 3f000870 436d6448 616e646c 65720000 ?..pCmdHandler.. 37e70: 003ea002 23000843 6d644944 00000012 .>..#..CmdID.... 37e80: ef022304 08466c61 67730000 0012ef02 ..#..Flags...... 37e90: 23060007 5f574d49 5f444953 50415443 #..._WMI_DISPATC 37ea0: 485f5441 424c4500 1000003f 6108704e H_TABLE....?a.pN 37eb0: 65787400 00003f61 02230008 70436f6e ext...?a.#..pCon 37ec0: 74657874 00000004 0a022304 084e756d text......#..Num 37ed0: 6265724f 66456e74 72696573 00000001 berOfEntries.... 37ee0: 15022308 08705461 626c6500 00003f80 ..#..pTable...?. 37ef0: 02230c00 0300003f 00040009 574d495f .#.....?....WMI_ 37f00: 44495350 41544348 5f454e54 52590000 DISPATCH_ENTRY.. 37f10: 003eb503 00003f68 04000300 003f0004 .>....?h.....?.. 37f20: 00094854 435f4255 465f434f 4e544558 ..HTC_BUF_CONTEX 37f30: 54000000 39ee0d57 4d495f45 56545f43 T...9..WMI_EVT_C 37f40: 4c415353 00040000 40181957 4d495f45 LASS....@..WMI_E 37f50: 56545f43 4c415353 5f4e4f4e 4500ffff VT_CLASS_NONE... 37f60: ffff0e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 37f70: 5f434d44 5f455645 4e540000 0e574d49 _CMD_EVENT...WMI 37f80: 5f455654 5f434c41 53535f43 4d445f52 _EVT_CLASS_CMD_R 37f90: 45504c59 00010e57 4d495f45 56545f43 EPLY...WMI_EVT_C 37fa0: 4c415353 5f4d4158 00020009 574d495f LASS_MAX....WMI_ 37fb0: 4556545f 434c4153 53000000 3fa3075f EVT_CLASS...?.._ 37fc0: 574d495f 4255465f 434f4e54 45585400 WMI_BUF_CONTEXT. 37fd0: 0c000040 76084874 63427566 43747800 ...@v.HtcBufCtx. 37fe0: 00003f8e 02230008 4576656e 74436c61 ..?..#..EventCla 37ff0: 73730000 00401802 23040846 6c616773 ss...@..#..Flags 38000: 00000012 ef022308 0009776d 695f6861 ......#...wmi_ha 38010: 6e646c65 5f740000 00040a09 574d495f ndle_t......WMI_ 38020: 5356435f 434f4e46 49470000 003e2e03 SVC_CONFIG...>.. 38030: 00004088 04000600 00407601 03000040 ..@......@v....@ 38040: a3040009 574d495f 44495350 41544348 ....WMI_DISPATCH 38050: 5f544142 4c450000 003f0003 000040b0 _TABLE...?....@. 38060: 04000201 03000040 cf040006 00002646 .......@......&F 38070: 01030000 40d80400 02010300 0040e504 ....@........@.. 38080: 00060000 01150103 000040ee 04000201 ..........@..... 38090: 03000040 fb040006 000012db 01030000 ...@............ 380a0: 41040400 075f776d 695f7376 635f6170 A...._wmi_svc_ap 380b0: 6973002c 0000424c 085f574d 495f496e is.,..BL._WMI_In 380c0: 69740000 0040a902 2300085f 574d495f it...@..#.._WMI_ 380d0: 52656769 73746572 44697370 61746368 RegisterDispatch 380e0: 5461626c 65000000 40d10223 04085f57 Table...@..#.._W 380f0: 4d495f41 6c6c6f63 4576656e 74000000 MI_AllocEvent... 38100: 40de0223 08085f57 4d495f53 656e6445 @..#.._WMI_SendE 38110: 76656e74 00000040 e702230c 085f574d vent...@..#.._WM 38120: 495f4765 7450656e 64696e67 4576656e I_GetPendingEven 38130: 7473436f 756e7400 000040f4 02231008 tsCount...@..#.. 38140: 5f574d49 5f53656e 64436f6d 706c6574 _WMI_SendComplet 38150: 6548616e 646c6572 00000039 5b022314 eHandler...9[.#. 38160: 085f574d 495f4765 74436f6e 74726f6c ._WMI_GetControl 38170: 45700000 0040f402 2318085f 574d495f Ep...@..#.._WMI_ 38180: 53687574 646f776e 00000040 fd02231c Shutdown...@..#. 38190: 085f574d 495f5265 63764d65 73736167 ._WMI_RecvMessag 381a0: 6548616e 646c6572 00000039 52022320 eHandler...9R.# 381b0: 085f574d 495f5365 72766963 65436f6e ._WMI_ServiceCon 381c0: 6e656374 00000041 0a022324 08705265 nect...A..#$.pRe 381d0: 73657276 65640000 00040a02 23280007 served......#(.. 381e0: 7a73446d 61446573 63001400 0042ce08 zsDmaDesc....B.. 381f0: 6374726c 00000001 79022300 08737461 ctrl....y.#..sta 38200: 74757300 00000179 02230208 746f7461 tus....y.#..tota 38210: 6c4c656e 00000001 79022304 08646174 lLen....y.#..dat 38220: 6153697a 65000000 01790223 06086c61 aSize....y.#..la 38230: 73744164 64720000 0042ce02 23080864 stAddr...B..#..d 38240: 61746141 64647200 0000019d 02230c08 ataAddr......#.. 38250: 6e657874 41646472 00000042 ce022310 nextAddr...B..#. 38260: 00030000 424c0400 03000042 4c040007 ....BL.....BL... 38270: 7a73446d 61517565 75650008 0000430e zsDmaQueue....C. 38280: 08686561 64000000 42d50223 00087465 .head...B..#..te 38290: 726d696e 61746f72 00000042 d5022304 rminator...B..#. 382a0: 00077a73 5478446d 61517565 75650010 ..zsTxDmaQueue.. 382b0: 00004372 08686561 64000000 42d50223 ..Cr.head...B..# 382c0: 00087465 726d696e 61746f72 00000042 ..terminator...B 382d0: d5022304 08786d69 7465645f 6275665f ..#..xmited_buf_ 382e0: 68656164 00000014 3a022308 08786d69 head....:.#..xmi 382f0: 7465645f 6275665f 7461696c 00000014 ted_buf_tail.... 38300: 3a02230c 00020103 00004372 04000300 :.#.......Cr.... 38310: 0042dc04 00020103 00004382 04000300 .B........C..... 38320: 00430e04 00020103 00004392 04000201 .C........C..... 38330: 03000043 9b040002 01030000 43a40400 ...C........C... 38340: 06000014 3a010300 0043ad04 00020103 ....:....C...... 38350: 000043ba 04000600 00143a01 03000043 ..C.......:....C 38360: c3040002 01030000 43d00400 06000001 ........C....... 38370: 15010300 0043d904 00060000 42d50103 .....C......B... 38380: 000043e6 04000201 03000043 f3040007 ..C........C.... 38390: 646d615f 656e6769 6e655f61 70690040 dma_engine_api.@ 383a0: 00004569 085f696e 69740000 00437402 ..Ei._init...Ct. 383b0: 2300085f 696e6974 5f72785f 71756575 #.._init_rx_queu 383c0: 65000000 43840223 04085f69 6e69745f e...C..#.._init_ 383d0: 74785f71 75657565 00000043 94022308 tx_queue...C..#. 383e0: 085f636f 6e666967 5f72785f 71756575 ._config_rx_queu 383f0: 65000000 439d0223 0c085f78 6d69745f e...C..#.._xmit_ 38400: 62756600 000043a6 02231008 5f666c75 buf...C..#.._flu 38410: 73685f78 6d697400 00004384 02231408 sh_xmit...C..#.. 38420: 5f726561 705f7265 63765f62 75660000 _reap_recv_buf.. 38430: 0043b302 2318085f 72657475 726e5f72 .C..#.._return_r 38440: 6563765f 62756600 000043bc 02231c08 ecv_buf...C..#.. 38450: 5f726561 705f786d 69746564 5f627566 _reap_xmited_buf 38460: 00000043 c9022320 085f7377 61705f64 ...C..# ._swap_d 38470: 61746100 000043d2 02232408 5f686173 ata...C..#$._has 38480: 5f636f6d 706c5f70 61636b65 74730000 _compl_packets.. 38490: 0043df02 2328085f 64657363 5f64756d .C..#(._desc_dum 384a0: 70000000 43840223 2c085f67 65745f70 p...C..#,._get_p 384b0: 61636b65 74000000 43ec0223 30085f72 acket...C..#0._r 384c0: 65636c61 696d5f70 61636b65 74000000 eclaim_packet... 384d0: 43f50223 34085f70 75745f70 61636b65 C..#4._put_packe 384e0: 74000000 43f50223 38087052 65736572 t...C..#8.pReser 384f0: 76656400 0000040a 02233c00 095f415f ved......#<.._A_ 38500: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 38510: 6e5f7461 626c655f 74000000 30a80957 n_table_t...0..W 38520: 4d495f53 56435f41 50495300 00004111 MI_SVC_APIS...A. 38530: 175f415f 6d616770 69655f69 6e646972 ._A_magpie_indir 38540: 65637469 6f6e5f74 61626c65 00034c00 ection_table..L. 38550: 00469708 636d6e6f 73000000 45690223 .F..cmnos...Ei.# 38560: 00086462 67000000 03d70323 b8030868 ..dbg......#...h 38570: 69660000 00295103 23c00308 68746300 if...)Q.#...htc. 38580: 00003ac4 0323f803 08776d69 5f737663 ..:..#...wmi_svc 38590: 5f617069 00000045 8b0323ac 04087573 _api...E..#...us 385a0: 62666966 6f5f6170 69000000 327c0323 bfifo_api...2|.# 385b0: d8040862 75665f70 6f6f6c00 00003725 ...buf_pool...7% 385c0: 0323e404 08766275 66000000 14640323 .#...vbuf....d.# 385d0: 80050876 64657363 00000013 46032394 ...vdesc....F.#. 385e0: 0508616c 6c6f6372 616d0000 00093c03 ..allocram....<. 385f0: 23a80508 646d615f 656e6769 6e650000 #...dma_engine.. 38600: 0043fc03 23b40508 646d615f 6c696200 .C..#...dma_lib. 38610: 00002be5 0323f405 08686966 5f706369 ..+..#...hif_pci 38620: 0000002e 450323a8 0600095f 415f6d61 ....E.#...._A_ma 38630: 67706965 5f696e64 69726563 74696f6e gpie_indirection 38640: 5f746162 6c655f74 00000045 9d07636d _table_t...E..cm 38650: 6e6f735f 74696d65 725f7300 14000047 nos_timer_s....G 38660: 35087469 6d65725f 6e657874 00000047 5.timer_next...G 38670: 35022300 0874696d 65725f65 78706972 5.#..timer_expir 38680: 65000000 09210223 04087469 6d65725f e....!.#..timer_ 38690: 70657269 6f640000 00092102 23080874 period....!.#..t 386a0: 696d6572 5f66756e 6374696f 6e000000 imer_function... 386b0: 07740223 0c087469 6d65725f 61726700 .t.#..timer_arg. 386c0: 0000040a 02231000 03000046 ba040003 .....#.....F.... 386d0: 000046ba 04000963 6d6e6f73 5f74696d ..F....cmnos_tim 386e0: 65725f74 00000046 ba030000 47430400 er_t...F....GC.. 386f0: 1a74696d 65725f6c 69737400 00004756 .timer_list...GV 38700: 05030050 0a340103 00000784 04000201 ...P.4.......... 38710: 1b012163 6d6e6f73 5f74696d 65725f73 ..!cmnos_timer_s 38720: 6574666e 00010103 92012002 9000008e etfn...... ..... 38730: 2c04008e 2c130000 47e61c01 21415f74 ,...,...G...!A_t 38740: 696d6572 00000007 3901521c 01217066 imer....9.R..!pf 38750: 756e6374 696f6e00 00000774 01531c01 unction....t.S.. 38760: 21706172 67000000 040a0154 1d707469 !parg......T.pti 38770: 6d657200 00004756 001b012e 636d6e6f mer...GV....cmno 38780: 735f7469 6d65725f 61726d00 01010392 s_timer_arm..... 38790: 01200290 00008e2c 14008e2c 56000048 . .....,...,V..H 387a0: 791c012e 415f7469 6d657200 00000739 y...A_timer....9 387b0: 01521c01 2e6d696c 6c697365 636f6e64 .R...millisecond 387c0: 73000000 040d0153 1d707469 6d657200 s......S.ptimer. 387d0: 00004756 1d707265 76000000 47561d74 ..GV.prev...GV.t 387e0: 696d6572 5f746963 6b730000 0009211d imer_ticks....!. 387f0: 74696d65 725f6578 70697265 00000009 timer_expire.... 38800: 211d6375 72720000 00475600 1b015363 !.curr...GV...Sc 38810: 6d6e6f73 5f74696d 65725f64 69736172 mnos_timer_disar 38820: 6d000101 03920120 02900000 8e2c5800 m...... .....,X. 38830: 8e2c8800 0048d61c 0153415f 74696d65 .,...H...SA_time 38840: 72000000 07390152 1d707469 6d657200 r....9.R.ptimer. 38850: 00004756 1d707265 76000000 47561d63 ..GV.prev...GV.c 38860: 75727200 00004756 001e0172 636d6e6f urr...GV...rcmno 38870: 735f7469 6d65725f 696e6974 00010103 s_timer_init.... 38880: 92012002 9000008e 2c88008e 2c941b01 .. .....,...,... 38890: 79636d6e 6f735f74 696d6572 5f68616e ycmnos_timer_han 388a0: 646c6572 00010103 92012002 9000008e dler...... ..... 388b0: 2c94008e 2cc10000 49341d70 74696d65 ,...,...I4.ptime 388c0: 72000000 4756001f 0189636d 6e6f735f r...GV....cmnos_ 388d0: 74696d65 725f6d6f 64756c65 5f696e73 timer_module_ins 388e0: 74616c6c 00010103 92012002 9000008e tall...... ..... 388f0: 2cc4008e 2ce21c01 8974626c 00000047 ,...,....tbl...G 38900: 74015200 00000000 493f0002 0000146e t.R.....I?.....n 38910: 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac 38920: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 38930: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 38940: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. 38950: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build 38960: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 38970: 636d6e6f 732f7764 742f7372 632f636d cmnos/wdt/src/cm 38980: 6e6f735f 7764742e 63002f72 6f6f742f nos_wdt.c./root/ 38990: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 389a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 389b0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 389c0: 6f732f77 64740078 742d7863 6320666f os/wdt.xt-xcc fo 389d0: 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali 389e0: 676e5f69 6e737472 75637469 6f6e733d gn_instructions= 389f0: 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: 38a00: 73706163 65000100 0000c521 02010300 space......!.... 38a10: 00010604 0004696e 74000504 04636861 ......int....cha 38a20: 72000701 05000001 16050000 01160300 r............... 38a30: 00012304 00060000 010f0103 0000012f ..#............/ 38a40: 04000770 72696e74 665f6170 69000800 ...printf_api... 38a50: 00017308 5f707269 6e74665f 696e6974 ..s._printf_init 38a60: 00000001 08022300 085f7072 696e7466 ......#.._printf 38a70: 00000001 35022304 00047368 6f727420 ....5.#...short 38a80: 756e7369 676e6564 20696e74 00070209 unsigned int.... 38a90: 75696e74 31365f74 00000001 73046c6f uint16_t....s.lo 38aa0: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. 38ab0: 07040975 696e7433 325f7400 00000197 ...uint32_t..... 38ac0: 07756172 745f6669 666f0008 00000205 .uart_fifo...... 38ad0: 08737461 72745f69 6e646578 00000001 .start_index.... 38ae0: 89022300 08656e64 5f696e64 65780000 ..#..end_index.. 38af0: 00018902 2302086f 76657272 756e5f65 ....#..overrun_e 38b00: 72720000 0001ac02 23040007 75617274 rr......#...uart 38b10: 5f617069 00200000 02be085f 75617274 _api. ....._uart 38b20: 5f696e69 74000000 03150223 00085f75 _init......#.._u 38b30: 6172745f 63686172 5f707574 00000003 art_char_put.... 38b40: 3c022304 085f7561 72745f63 6861725f <.#.._uart_char_ 38b50: 67657400 00000350 02230808 5f756172 get....P.#.._uar 38b60: 745f7374 725f6f75 74000000 03590223 t_str_out....Y.# 38b70: 0c085f75 6172745f 7461736b 00000001 .._uart_task.... 38b80: 08022310 085f7561 72745f73 74617475 ..#.._uart_statu 38b90: 73000000 03150223 14085f75 6172745f s......#.._uart_ 38ba0: 636f6e66 69670000 00036202 2318085f config....b.#.._ 38bb0: 75617274 5f687769 6e697400 0000036b uart_hwinit....k 38bc0: 02231c00 03000002 05040007 75617274 .#..........uart 38bd0: 5f626c6b 00100000 030f0864 65627567 _blk.......debug 38be0: 5f6d6f64 65000000 01890223 00086261 _mode......#..ba 38bf0: 75640000 00018902 2302085f 75617274 ud......#.._uart 38c00: 00000002 be022304 085f7478 00000001 ......#.._tx.... 38c10: ba022308 00060000 01ac0103 0000030f ..#............. 38c20: 04000475 6e736967 6e656420 63686172 ...unsigned char 38c30: 00070109 75696e74 385f7400 0000031c ....uint8_t..... 38c40: 02010300 00033a04 00030000 032d0400 ......:......-.. 38c50: 06000001 89010300 00034a04 00020103 ..........J..... 38c60: 00000357 04000201 03000003 60040002 ...W........`... 38c70: 01030000 03690400 03000001 16040006 .....i.......... 38c80: 0000010f 01030000 03790400 0744425f .........y...DB_ 38c90: 434f4d4d 414e445f 53545255 4354000c COMMAND_STRUCT.. 38ca0: 000003d1 08636d64 5f737472 00000003 .....cmd_str.... 38cb0: 72022300 0868656c 705f7374 72000000 r.#..help_str... 38cc0: 03720223 0408636d 645f6675 6e630000 .r.#..cmd_func.. 38cd0: 00037f02 23080007 6462675f 61706900 ....#...dbg_api. 38ce0: 08000004 04085f64 62675f69 6e697400 ......_dbg_init. 38cf0: 00000108 02230008 5f646267 5f746173 .....#.._dbg_tas 38d00: 6b000000 01080223 04000a04 0004756e k......#......un 38d10: 7369676e 65642069 6e740007 04060000 signed int...... 38d20: 04040103 00000417 04000b0b 03000004 ................ 38d30: 25040006 00000404 01030000 042d0400 %............-.. 38d40: 06000001 0f010300 00043a04 00076d65 ..........:...me 38d50: 6d5f6170 69001400 0004a908 5f6d656d m_api......._mem 38d60: 5f696e69 74000000 01080223 00085f6d _init......#.._m 38d70: 656d7365 74000000 041d0223 04085f6d emset......#.._m 38d80: 656d6370 79000000 04330223 08085f6d emcpy....3.#.._m 38d90: 656d6d6f 76650000 00043302 230c085f emmove....3.#.._ 38da0: 6d656d63 6d700000 00044002 2310000c memcmp....@.#... 38db0: 72656769 73746572 5f64756d 705f7300 register_dump_s. 38dc0: 00010300 0004a904 00020103 000004c3 ................ 38dd0: 04000201 03000004 cc040006 0000010f ................ 38de0: 01030000 04d50400 0d686f73 7469665f .........hostif_ 38df0: 73000400 0005310e 4849465f 55534200 s.....1.HIF_USB. 38e00: 000e4849 465f5043 49450001 0e484946 ..HIF_PCIE...HIF 38e10: 5f474d41 4300020e 4849465f 50434900 _GMAC...HIF_PCI. 38e20: 030e4849 465f4e55 4d00040e 4849465f ..HIF_NUM...HIF_ 38e30: 4e4f4e45 00050009 415f484f 53544946 NONE....A_HOSTIF 38e40: 00000004 e2060000 05310103 0000053f .........1.....? 38e50: 04000600 00032d01 03000005 4c040006 ......-.....L... 38e60: 00000189 01030000 05590400 076d6973 .........Y...mis 38e70: 635f6170 69002400 00064908 5f737973 c_api.$...I._sys 38e80: 74656d5f 72657365 74000000 01080223 tem_reset......# 38e90: 00085f6d 61635f72 65736574 00000001 .._mac_reset.... 38ea0: 08022304 085f6173 73666169 6c000000 ..#.._assfail... 38eb0: 04c50223 08085f6d 6973616c 69676e65 ...#.._misaligne 38ec0: 645f6c6f 61645f68 616e646c 65720000 d_load_handler.. 38ed0: 0004c502 230c085f 7265706f 72745f66 ....#.._report_f 38ee0: 61696c75 72655f74 6f5f686f 73740000 ailure_to_host.. 38ef0: 0004ce02 2310085f 74617267 65745f69 ....#.._target_i 38f00: 645f6765 74000000 04db0223 14085f69 d_get......#.._i 38f10: 735f686f 73745f70 72657365 6e740000 s_host_present.. 38f20: 00054502 2318085f 6b626869 74000000 ..E.#.._kbhit... 38f30: 05520223 1c085f72 6f6d5f76 65727369 .R.#.._rom_versi 38f40: 6f6e5f67 65740000 00055f02 23200006 on_get...._.# .. 38f50: 00000372 01030000 06490400 06000003 ...r.....I...... 38f60: 72010300 00065604 00060000 010f0103 r.....V......... 38f70: 00000663 04000600 00010f01 03000006 ...c............ 38f80: 70040006 0000010f 01030000 067d0400 p............}.. 38f90: 07737472 696e675f 61706900 18000007 .string_api..... 38fa0: 03085f73 7472696e 675f696e 69740000 .._string_init.. 38fb0: 00010802 2300085f 73747263 70790000 ....#.._strcpy.. 38fc0: 00064f02 2304085f 7374726e 63707900 ..O.#.._strncpy. 38fd0: 0000065c 02230808 5f737472 6c656e00 ...\.#.._strlen. 38fe0: 00000669 02230c08 5f737472 636d7000 ...i.#.._strcmp. 38ff0: 00000676 02231008 5f737472 6e636d70 ...v.#.._strncmp 39000: 00000006 83022314 000f0000 04071400 ......#......... 39010: 00071010 0400095f 415f5449 4d45525f ......._A_TIMER_ 39020: 53504143 45000000 07030941 5f74696d SPACE......A_tim 39030: 65725f74 00000007 10030000 07240400 er_t.........$.. 39040: 02010300 00073a04 00020103 00000743 ......:........C 39050: 04000941 5f48414e 444c4500 00000407 ...A_HANDLE..... 39060: 02010941 5f54494d 45525f46 554e4300 ...A_TIMER_FUNC. 39070: 0000075a 03000007 5c040002 01030000 ...Z....\....... 39080: 07750400 0774696d 65725f61 70690014 .u...timer_api.. 39090: 000007f4 085f7469 6d65725f 696e6974 ....._timer_init 390a0: 00000001 08022300 085f7469 6d65725f ......#.._timer_ 390b0: 61726d00 0000073c 02230408 5f74696d arm....<.#.._tim 390c0: 65725f64 69736172 6d000000 07450223 er_disarm....E.# 390d0: 08085f74 696d6572 5f736574 666e0000 .._timer_setfn.. 390e0: 00077702 230c085f 74696d65 725f7275 ..w.#.._timer_ru 390f0: 6e000000 01080223 10000942 4f4f4c45 n......#...BOOLE 39100: 414e0000 00018906 000007f4 01030000 AN.............. 39110: 08010400 06000007 f4010300 00080e04 ................ 39120: 00060000 07f40103 0000081b 04000772 ...............r 39130: 6f6d705f 61706900 10000008 8d085f72 omp_api......._r 39140: 6f6d705f 696e6974 00000001 08022300 omp_init......#. 39150: 085f726f 6d705f64 6f776e6c 6f616400 ._romp_download. 39160: 00000807 02230408 5f726f6d 705f696e .....#.._romp_in 39170: 7374616c 6c000000 08140223 08085f72 stall......#.._r 39180: 6f6d705f 6465636f 64650000 00082102 omp_decode....!. 39190: 230c0007 726f6d5f 70617463 685f7374 #...rom_patch_st 391a0: 00100000 08e90863 72633136 00000001 .......crc16.... 391b0: 89022300 086c656e 00000001 89022302 ..#..len......#. 391c0: 086c645f 61646472 00000001 ac022304 .ld_addr......#. 391d0: 0866756e 5f616464 72000000 01ac0223 .fun_addr......# 391e0: 08087066 756e0000 00034302 230c0007 ..pfun....C.#... 391f0: 6565705f 72656469 725f6164 64720004 eep_redir_addr.. 39200: 0000091b 086f6666 73657400 00000189 .....offset..... 39210: 02230008 73697a65 00000001 89022302 .#..size......#. 39220: 0009415f 55494e54 33320000 00040706 ..A_UINT32...... 39230: 00000404 01030000 09290400 07616c6c .........)...all 39240: 6f637261 6d5f6170 69000c00 00099a08 ocram_api....... 39250: 636d6e6f 735f616c 6c6f6372 616d5f69 cmnos_allocram_i 39260: 6e697400 0000092f 02230008 636d6e6f nit..../.#..cmno 39270: 735f616c 6c6f6372 616d0000 00092f02 s_allocram..../. 39280: 23040863 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra 39290: 6d5f6465 62756700 00000108 02230800 m_debug......#.. 392a0: 02010300 00099a04 0009415f 5441534b ..........A_TASK 392b0: 4c45545f 46554e43 00000009 9c075f74 LET_FUNC......_t 392c0: 61736b6c 65740010 000009fb 0866756e asklet.......fun 392d0: 63000000 09a30223 00086172 67000000 c......#..arg... 392e0: 04040223 04087374 61746500 0000010f ...#..state..... 392f0: 02230808 6e657874 00000009 fb02230c .#..next......#. 39300: 00030000 09b70400 03000009 b7040009 ................ 39310: 415f7461 736b6c65 745f7400 000009b7 A_tasklet_t..... 39320: 0300000a 09040002 01030000 0a210400 .............!.. 39330: 02010300 000a2a04 00077461 736b6c65 ......*...taskle 39340: 745f6170 69001400 000abf08 5f746173 t_api......._tas 39350: 6b6c6574 5f696e69 74000000 01080223 klet_init......# 39360: 00085f74 61736b6c 65745f69 6e69745f .._tasklet_init_ 39370: 7461736b 0000000a 23022304 085f7461 task....#.#.._ta 39380: 736b6c65 745f6469 7361626c 65000000 sklet_disable... 39390: 0a2c0223 08085f74 61736b6c 65745f73 .,.#.._tasklet_s 393a0: 63686564 756c6500 00000a2c 02230c08 chedule....,.#.. 393b0: 5f746173 6b6c6574 5f72756e 00000001 _tasklet_run.... 393c0: 08022310 00020103 00000abf 04000600 ..#............. 393d0: 00091b01 0300000a c8040002 01030000 ................ 393e0: 0ad50400 07636c6f 636b5f61 70690024 .....clock_api.$ 393f0: 00000bb7 085f636c 6f636b5f 696e6974 ....._clock_init 39400: 0000000a c1022300 085f636c 6f636b72 ......#.._clockr 39410: 6567735f 696e6974 00000001 08022304 egs_init......#. 39420: 085f7561 72745f66 72657175 656e6379 ._uart_frequency 39430: 0000000a ce022308 085f6465 6c61795f ......#.._delay_ 39440: 75730000 000ad702 230c085f 776c616e us......#.._wlan 39450: 5f62616e 645f7365 74000000 0ad70223 _band_set......# 39460: 10085f72 6566636c 6b5f7370 6565645f .._refclk_speed_ 39470: 67657400 00000ace 02231408 5f6d696c get......#.._mil 39480: 6c697365 636f6e64 73000000 0ace0223 liseconds......# 39490: 18085f73 7973636c 6b5f6368 616e6765 .._sysclk_change 394a0: 00000001 0802231c 085f636c 6f636b5f ......#.._clock_ 394b0: 7469636b 00000001 08022320 00060000 tick......# .... 394c0: 01ac0103 00000bb7 04000941 5f6f6c64 ...........A_old 394d0: 5f696e74 725f7400 000001ac 0600000b _intr_t......... 394e0: c4010300 000bd604 00020103 00000be3 ................ 394f0: 04000201 0300000b ec040006 000001ac ................ 39500: 01030000 0bf50400 09415f69 73725f74 .........A_isr_t 39510: 0000000b fb020103 00000c0f 04000600 ................ 39520: 00040701 0300000c 18040002 01030000 ................ 39530: 0c250400 07696e74 725f6170 69002c00 .%...intr_api.,. 39540: 000d4708 5f696e74 725f696e 69740000 ..G._intr_init.. 39550: 00010802 2300085f 696e7472 5f696e76 ....#.._intr_inv 39560: 6f6b655f 69737200 00000bbd 02230408 oke_isr......#.. 39570: 5f696e74 725f6469 7361626c 65000000 _intr_disable... 39580: 0bdc0223 08085f69 6e74725f 72657374 ...#.._intr_rest 39590: 6f726500 00000be5 02230c08 5f696e74 ore......#.._int 395a0: 725f6d61 736b5f69 6e756d00 00000bee r_mask_inum..... 395b0: 02231008 5f696e74 725f756e 6d61736b .#.._intr_unmask 395c0: 5f696e75 6d000000 0bee0223 14085f69 _inum......#.._i 395d0: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. 395e0: 000c1102 2318085f 6765745f 696e7472 ....#.._get_intr 395f0: 656e6162 6c650000 000c1e02 231c085f enable......#.._ 39600: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. 39610: 000c2702 2320085f 6765745f 696e7472 ..'.# ._get_intr 39620: 70656e64 696e6700 00000c1e 02232408 pending......#$. 39630: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int 39640: 726c766c 00000001 08022328 00110400 rlvl......#(.... 39650: 000d6d08 74696d65 6f757400 000001ac ..m.timeout..... 39660: 02230008 61637469 6f6e0000 0001ac02 .#..action...... 39670: 23000012 0800000d 8808636d 64000000 #.........cmd... 39680: 01ac0223 00130000 0d470223 04000954 ...#.....G.#...T 39690: 5f574454 5f434d44 0000000d 6d020103 _WDT_CMD....m... 396a0: 00000d97 04001404 00000ded 0e454e55 .............ENU 396b0: 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU 396c0: 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN 396d0: 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E 396e0: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT 396f0: 00040009 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. 39700: 00000da0 0600000d ed010300 000dfe04 ................ 39710: 00077764 745f6170 69001c00 000ea208 ..wdt_api....... 39720: 5f776474 5f696e69 74000000 01080223 _wdt_init......# 39730: 00085f77 64745f65 6e61626c 65000000 .._wdt_enable... 39740: 01080223 04085f77 64745f64 69736162 ...#.._wdt_disab 39750: 6c650000 00010802 2308085f 7764745f le......#.._wdt_ 39760: 73657400 00000d99 02230c08 5f776474 set......#.._wdt 39770: 5f746173 6b000000 01080223 10085f77 _task......#.._w 39780: 64745f72 65736574 00000001 08022314 dt_reset......#. 39790: 085f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. 397a0: 00000e04 02231800 14040000 0f090e52 .....#.........R 397b0: 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET 397c0: 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ 397d0: 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ 397e0: 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE 397f0: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. 39800: 0e524554 5f554e4b 4e4f574e 00050009 .RET_UNKNOWN.... 39810: 545f4545 505f5245 54000000 0ea20300 T_EEP_RET....... 39820: 00018904 00060000 0f090103 00000f1f ................ 39830: 04000600 000f0901 0300000f 2c040007 ............,... 39840: 6565705f 61706900 1000000f 95085f65 eep_api......._e 39850: 65705f69 6e697400 00000108 02230008 ep_init......#.. 39860: 5f656570 5f726561 64000000 0f250223 _eep_read....%.# 39870: 04085f65 65705f77 72697465 0000000f .._eep_write.... 39880: 25022308 085f6565 705f6973 5f657869 %.#.._eep_is_exi 39890: 73740000 000f3202 230c0007 7573625f st....2.#...usb_ 398a0: 61706900 70000012 42085f75 73625f69 api.p...B._usb_i 398b0: 6e697400 00000108 02230008 5f757362 nit......#.._usb 398c0: 5f726f6d 5f746173 6b000000 01080223 _rom_task......# 398d0: 04085f75 73625f66 775f7461 736b0000 .._usb_fw_task.. 398e0: 00010802 2308085f 7573625f 696e6974 ....#.._usb_init 398f0: 5f706879 00000001 0802230c 085f7573 _phy......#.._us 39900: 625f6570 305f7365 74757000 00000108 b_ep0_setup..... 39910: 02231008 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. 39920: 00000108 02231408 5f757362 5f657030 .....#.._usb_ep0 39930: 5f727800 00000108 02231808 5f757362 _rx......#.._usb 39940: 5f676574 5f696e74 65726661 63650000 _get_interface.. 39950: 00081402 231c085f 7573625f 7365745f ....#.._usb_set_ 39960: 696e7465 72666163 65000000 08140223 interface......# 39970: 20085f75 73625f67 65745f63 6f6e6669 ._usb_get_confi 39980: 67757261 74696f6e 00000008 14022324 guration......#$ 39990: 085f7573 625f7365 745f636f 6e666967 ._usb_set_config 399a0: 75726174 696f6e00 00000814 02232808 uration......#(. 399b0: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm 399c0: 64000000 08140223 2c085f75 73625f76 d......#,._usb_v 399d0: 656e646f 725f636d 64000000 01080223 endor_cmd......# 399e0: 30085f75 73625f70 6f776572 5f6f6666 0._usb_power_off 399f0: 00000001 08022334 085f7573 625f7265 ......#4._usb_re 39a00: 7365745f 6669666f 00000001 08022338 set_fifo......#8 39a10: 085f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... 39a20: 01080223 3c085f75 73625f6a 756d705f ...#<._usb_jump_ 39a30: 626f6f74 00000001 08022340 085f7573 boot......#@._us 39a40: 625f636c 725f6665 61747572 65000000 b_clr_feature... 39a50: 08140223 44085f75 73625f73 65745f66 ...#D._usb_set_f 39a60: 65617475 72650000 00081402 2348085f eature......#H._ 39a70: 7573625f 7365745f 61646472 65737300 usb_set_address. 39a80: 00000814 02234c08 5f757362 5f676574 .....#L._usb_get 39a90: 5f646573 63726970 746f7200 00000814 _descriptor..... 39aa0: 02235008 5f757362 5f676574 5f737461 .#P._usb_get_sta 39ab0: 74757300 00000814 02235408 5f757362 tus......#T._usb 39ac0: 5f736574 75705f64 65736300 00000108 _setup_desc..... 39ad0: 02235808 5f757362 5f726567 5f6f7574 .#X._usb_reg_out 39ae0: 00000001 0802235c 085f7573 625f7374 ......#\._usb_st 39af0: 61747573 5f696e00 00000108 02236008 atus_in......#`. 39b00: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data 39b10: 00000001 08022364 085f7573 625f6570 ......#d._usb_ep 39b20: 305f7278 5f646174 61000000 01080223 0_rx_data......# 39b30: 68085f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. 39b40: 00000108 02236c00 075f5644 45534300 .....#l.._VDESC. 39b50: 24000012 ce086e65 78745f64 65736300 $.....next_desc. 39b60: 000012ce 02230008 6275665f 61646472 .....#..buf_addr 39b70: 00000012 e2022304 08627566 5f73697a ......#..buf_siz 39b80: 65000000 12e90223 08086461 74615f6f e......#..data_o 39b90: 66667365 74000000 12e90223 0a086461 ffset......#..da 39ba0: 74615f73 697a6500 000012e9 02230c08 ta_size......#.. 39bb0: 636f6e74 726f6c00 000012e9 02230e08 control......#.. 39bc0: 68775f64 6573635f 62756600 000012f7 hw_desc_buf..... 39bd0: 02231000 03000012 42040009 415f5549 .#......B...A_UI 39be0: 4e543800 0000031c 03000012 d5040009 NT8............. 39bf0: 415f5549 4e543136 00000001 730f0000 A_UINT16....s... 39c00: 12d51400 00130410 13000300 00124204 ..............B. 39c10: 00095644 45534300 00001242 03000013 ..VDESC....B.... 39c20: 0b040006 00001316 01030000 131d0400 ................ 39c30: 06000012 e2010300 00132a04 00020103 ..........*..... 39c40: 00001337 04000776 64657363 5f617069 ...7...vdesc_api 39c50: 00140000 13af085f 696e6974 0000000a ......._init.... 39c60: d7022300 085f616c 6c6f635f 76646573 ..#.._alloc_vdes 39c70: 63000000 13230223 04085f67 65745f68 c....#.#.._get_h 39c80: 775f6465 73630000 00133002 2308085f w_desc....0.#.._ 39c90: 73776170 5f766465 73630000 00133902 swap_vdesc....9. 39ca0: 230c0870 52657365 72766564 00000004 #..pReserved.... 39cb0: 04022310 00075f56 42554600 20000014 ..#..._VBUF. ... 39cc0: 0f086465 73635f6c 69737400 00001316 ..desc_list..... 39cd0: 02230008 6e657874 5f627566 00000014 .#..next_buf.... 39ce0: 0f022304 08627566 5f6c656e 67746800 ..#..buf_length. 39cf0: 000012e9 02230808 72657365 72766564 .....#..reserved 39d00: 00000014 1602230a 08637478 00000012 ......#..ctx.... 39d10: f702230c 00030000 13af0400 0f000012 ..#............. 39d20: d5020000 14231001 00030000 13af0400 .....#.......... 39d30: 09564255 46000000 13af0300 00142a04 .VBUF.........*. 39d40: 00060000 14340103 0000143b 04000600 .....4.....;.... 39d50: 00143401 03000014 48040002 01030000 ..4.....H....... 39d60: 14550400 07766275 665f6170 69001400 .U...vbuf_api... 39d70: 0014d308 5f696e69 74000000 0ad70223 ...._init......# 39d80: 00085f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... 39d90: 14410223 04085f61 6c6c6f63 5f766275 .A.#.._alloc_vbu 39da0: 665f7769 74685f73 697a6500 0000144e f_with_size....N 39db0: 02230808 5f667265 655f7662 75660000 .#.._free_vbuf.. 39dc0: 00145702 230c0870 52657365 72766564 ..W.#..pReserved 39dd0: 00000004 04022310 00075f5f 6164665f ......#...__adf_ 39de0: 64657669 63650004 000014f5 0864756d device.......dum 39df0: 6d790000 00010f02 23000003 0000091b my......#....... 39e00: 0400075f 5f616466 5f646d61 5f6d6170 ...__adf_dma_map 39e10: 000c0000 153c0862 75660000 00143402 .....<.buf....4. 39e20: 23000864 735f6164 64720000 0014f502 #..ds_addr...... 39e30: 23040864 735f6c65 6e000000 12e90223 #..ds_len......# 39e40: 0800120c 00001576 085f5f76 615f7374 .......v.__va_st 39e50: 6b000000 03720223 00085f5f 76615f72 k....r.#..__va_r 39e60: 65670000 00037202 2304085f 5f76615f eg....r.#..__va_ 39e70: 6e647800 0000010f 02230800 095f5f61 ndx......#...__a 39e80: 64665f6f 735f646d 615f6164 64725f74 df_os_dma_addr_t 39e90: 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma 39ea0: 5f616464 725f7400 00001576 095f5f61 _addr_t....v.__a 39eb0: 64665f6f 735f646d 615f7369 7a655f74 df_os_dma_size_t 39ec0: 00000009 1b096164 665f6f73 5f646d61 ......adf_os_dma 39ed0: 5f73697a 655f7400 000015a6 075f5f64 _size_t......__d 39ee0: 6d615f73 65677300 08000016 02087061 ma_segs.......pa 39ef0: 64647200 0000158f 02230008 6c656e00 ddr......#..len. 39f00: 000015bf 02230400 095f5f61 5f75696e .....#...__a_uin 39f10: 7433325f 74000000 091b0961 5f75696e t32_t......a_uin 39f20: 7433325f 74000000 16020f00 0015d608 t32_t........... 39f30: 00001631 10000007 6164665f 6f735f64 ...1....adf_os_d 39f40: 6d616d61 705f696e 666f000c 0000166a mamap_info.....j 39f50: 086e7365 67730000 00161402 23000864 .nsegs......#..d 39f60: 6d615f73 65677300 00001624 02230400 ma_segs....$.#.. 39f70: 095f5f61 5f75696e 74385f74 00000012 .__a_uint8_t.... 39f80: d509615f 75696e74 385f7400 0000166a ..a_uint8_t....j 39f90: 03000016 7b040007 5f5f7367 5f736567 ....{...__sg_seg 39fa0: 73000800 0016bc08 76616464 72000000 s.......vaddr... 39fb0: 168a0223 00086c65 6e000000 16140223 ...#..len......# 39fc0: 04000f00 00169120 000016c9 10030007 ....... ........ 39fd0: 6164665f 6f735f73 676c6973 74002400 adf_os_sglist.$. 39fe0: 0016fc08 6e736567 73000000 16140223 ....nsegs......# 39ff0: 00087367 5f736567 73000000 16bc0223 ..sg_segs......# 3a000: 04001210 00001745 0876656e 646f7200 .......E.vendor. 3a010: 00001614 02230008 64657669 63650000 .....#..device.. 3a020: 00161402 23040873 75627665 6e646f72 ....#..subvendor 3a030: 00000016 14022308 08737562 64657669 ......#..subdevi 3a040: 63650000 00161402 230c0004 6c6f6e67 ce......#...long 3a050: 206c6f6e 6720756e 7369676e 65642069 long unsigned i 3a060: 6e740007 0809415f 55494e54 36340000 nt....A_UINT64.. 3a070: 00174509 5f5f615f 75696e74 36345f74 ..E.__a_uint64_t 3a080: 00000017 5f09615f 75696e74 36345f74 ...._.a_uint64_t 3a090: 00000017 6d140400 0017cb0e 4144465f ....m.......ADF_ 3a0a0: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 3a0b0: 5f4d454d 00000e41 44465f4f 535f5245 _MEM...ADF_OS_RE 3a0c0: 534f5552 43455f54 5950455f 494f0001 SOURCE_TYPE_IO.. 3a0d0: 00096164 665f6f73 5f726573 6f757263 ..adf_os_resourc 3a0e0: 655f7479 70655f74 00000017 8f121800 e_type_t........ 3a0f0: 00181508 73746172 74000000 177f0223 ....start......# 3a100: 0008656e 64000000 177f0223 08087479 ..end......#..ty 3a110: 70650000 0017cb02 23100009 6164665f pe......#...adf_ 3a120: 6f735f70 63695f64 65765f69 645f7400 os_pci_dev_id_t. 3a130: 000016fc 03000018 15040011 04000018 ................ 3a140: 54087063 69000000 182e0223 00087261 T.pci......#..ra 3a150: 77000000 04040223 00001110 00001873 w......#.......s 3a160: 08706369 00000018 15022300 08726177 .pci......#..raw 3a170: 00000004 04022300 00096164 665f6472 ......#...adf_dr 3a180: 765f6861 6e646c65 5f740000 00040409 v_handle_t...... 3a190: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 3a1a0: 74000000 17e70300 00188904 00096164 t.............ad 3a1b0: 665f6f73 5f617474 6163685f 64617461 f_os_attach_data 3a1c0: 5f740000 00185403 000018a7 04000300 _t....T......... 3a1d0: 0014d304 00095f5f 6164665f 6f735f64 ......__adf_os_d 3a1e0: 65766963 655f7400 000018c8 09616466 evice_t......adf 3a1f0: 5f6f735f 64657669 63655f74 00000018 _os_device_t.... 3a200: cf060000 18730103 000018fb 04000201 .....s.......... 3a210: 03000019 08040009 6164665f 6f735f70 ........adf_os_p 3a220: 6d5f7400 00000404 02010300 00192204 m_t...........". 3a230: 00140400 0019620e 4144465f 4f535f42 ......b.ADF_OS_B 3a240: 55535f54 5950455f 50434900 010e4144 US_TYPE_PCI...AD 3a250: 465f4f53 5f425553 5f545950 455f4745 F_OS_BUS_TYPE_GE 3a260: 4e455249 43000200 09616466 5f6f735f NERIC....adf_os_ 3a270: 6275735f 74797065 5f740000 00192b09 bus_type_t....+. 3a280: 6164665f 6f735f62 75735f72 65675f64 adf_os_bus_reg_d 3a290: 6174615f 74000000 18350300 00031c04 ata_t....5...... 3a2a0: 00075f61 64665f64 72765f69 6e666f00 .._adf_drv_info. 3a2b0: 2000001a 3f086472 765f6174 74616368 ...?.drv_attach 3a2c0: 00000019 01022300 08647276 5f646574 ......#..drv_det 3a2d0: 61636800 0000190a 02230408 6472765f ach......#..drv_ 3a2e0: 73757370 656e6400 00001924 02230808 suspend....$.#.. 3a2f0: 6472765f 72657375 6d650000 00190a02 drv_resume...... 3a300: 230c0862 75735f74 79706500 00001962 #..bus_type....b 3a310: 02231008 6275735f 64617461 00000019 .#..bus_data.... 3a320: 79022314 086d6f64 5f6e616d 65000000 y.#..mod_name... 3a330: 19940223 18086966 6e616d65 00000019 ...#..ifname.... 3a340: 9402231c 00096164 665f6f73 5f68616e ..#...adf_os_han 3a350: 646c655f 74000000 04040300 00166a04 dle_t.........j. 3a360: 00020102 01095f5f 6164665f 6f735f73 ......__adf_os_s 3a370: 697a655f 74000000 04071404 00001a8e ize_t........... 3a380: 0e415f46 414c5345 00000e41 5f545255 .A_FALSE...A_TRU 3a390: 45000100 09615f62 6f6f6c5f 74000000 E....a_bool_t... 3a3a0: 1a740300 0014fc04 00095f5f 6164665f .t........__adf_ 3a3b0: 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... 3a3c0: 9c02010d 6164665f 6f735f63 61636865 ....adf_os_cache 3a3d0: 5f73796e 63000400 001b260e 4144465f _sync.....&.ADF_ 3a3e0: 53594e43 5f505245 52454144 00000e41 SYNC_PREREAD...A 3a3f0: 44465f53 594e435f 50524557 52495445 DF_SYNC_PREWRITE 3a400: 00020e41 44465f53 594e435f 504f5354 ...ADF_SYNC_POST 3a410: 52454144 00010e41 44465f53 594e435f READ...ADF_SYNC_ 3a420: 504f5354 57524954 45000300 09616466 POSTWRITE....adf 3a430: 5f6f735f 63616368 655f7379 6e635f74 _os_cache_sync_t 3a440: 0000001a bd020109 6164665f 6f735f73 ........adf_os_s 3a450: 697a655f 74000000 1a5f0600 001b4101 ize_t...._....A. 3a460: 09616466 5f6f735f 646d615f 6d61705f .adf_os_dma_map_ 3a470: 74000000 1aa30300 001b5a04 00060000 t.........Z..... 3a480: 04040103 00001aa3 04000600 00040401 ................ 3a490: 02010600 00158f01 02010473 686f7274 ...........short 3a4a0: 20696e74 00050209 415f494e 54313600 int....A_INT16. 3a4b0: 00001b94 095f5f61 5f696e74 31365f74 .....__a_int16_t 3a4c0: 0000001b a109615f 696e7431 365f7400 ......a_int16_t. 3a4d0: 00001bae 04736967 6e656420 63686172 .....signed char 3a4e0: 00050109 415f494e 54380000 001bce09 ....A_INT8...... 3a4f0: 5f5f615f 696e7438 5f740000 001bdd09 __a_int8_t...... 3a500: 615f696e 74385f74 0000001b e9120c00 a_int8_t........ 3a510: 001c6008 73757070 6f727465 64000000 ..`.supported... 3a520: 16140223 00086164 76657274 697a6564 ...#..advertized 3a530: 00000016 14022304 08737065 65640000 ......#..speed.. 3a540: 001bbf02 23080864 75706c65 78000000 ....#..duplex... 3a550: 1bf90223 0a086175 746f6e65 67000000 ...#..autoneg... 3a560: 167b0223 0b000f00 00167b06 00001c6d .{.#......{....m 3a570: 10050007 6164665f 6e65745f 65746861 ....adf_net_etha 3a580: 64647200 0600001c 91086164 64720000 ddr.......addr.. 3a590: 001c6002 23000009 5f5f615f 75696e74 ..`.#...__a_uint 3a5a0: 31365f74 00000012 e909615f 75696e74 16_t......a_uint 3a5b0: 31365f74 0000001c 91120e00 001cf508 16_t............ 3a5c0: 65746865 725f6468 6f737400 00001c60 ether_dhost....` 3a5d0: 02230008 65746865 725f7368 6f737400 .#..ether_shost. 3a5e0: 00001c60 02230608 65746865 725f7479 ...`.#..ether_ty 3a5f0: 70650000 001ca302 230c0012 1400001d pe......#....... 3a600: b6156970 5f766572 73696f6e 00000016 ..ip_version.... 3a610: 7b010004 02230015 69705f68 6c000000 {....#..ip_hl... 3a620: 167b0104 04022300 0869705f 746f7300 .{....#..ip_tos. 3a630: 0000167b 02230108 69705f6c 656e0000 ...{.#..ip_len.. 3a640: 001ca302 23020869 705f6964 0000001c ....#..ip_id.... 3a650: a3022304 0869705f 66726167 5f6f6666 ..#..ip_frag_off 3a660: 0000001c a3022306 0869705f 74746c00 ......#..ip_ttl. 3a670: 0000167b 02230808 69705f70 726f746f ...{.#..ip_proto 3a680: 00000016 7b022309 0869705f 63686563 ....{.#..ip_chec 3a690: 6b000000 1ca30223 0a086970 5f736164 k......#..ip_sad 3a6a0: 64720000 00161402 230c0869 705f6461 dr......#..ip_da 3a6b0: 64647200 00001614 02231000 07616466 ddr......#...adf 3a6c0: 5f6e6574 5f766c61 6e686472 00040000 _net_vlanhdr.... 3a6d0: 1e080874 70696400 00001ca3 02230015 ...tpid......#.. 3a6e0: 7072696f 00000016 7b010003 02230215 prio....{....#.. 3a6f0: 63666900 0000167b 01030102 23021576 cfi....{....#..v 3a700: 69640000 001ca302 040c0223 02000761 id.........#...a 3a710: 64665f6e 65745f76 69640002 00001e39 df_net_vid.....9 3a720: 15726573 00000016 7b010004 02230015 .res....{....#.. 3a730: 76616c00 00001ca3 02040c02 23000012 val.........#... 3a740: 0c00001e 75087278 5f627566 73697a65 ....u.rx_bufsize 3a750: 00000016 14022300 0872785f 6e646573 ......#..rx_ndes 3a760: 63000000 16140223 04087478 5f6e6465 c......#..tx_nde 3a770: 73630000 00161402 23080012 0800001e sc......#....... 3a780: 9b08706f 6c6c6564 0000001a 8e022300 ..polled......#. 3a790: 08706f6c 6c5f7774 00000016 14022304 .poll_wt......#. 3a7a0: 000f0000 167b4000 001ea810 3f001246 .....{@.....?..F 3a7b0: 00001ed0 0869665f 6e616d65 0000001e .....if_name.... 3a7c0: 9b022300 08646576 5f616464 72000000 ..#..dev_addr... 3a7d0: 1c600223 40001404 00001f07 0e414446 .`.#@........ADF 3a7e0: 5f4f535f 444d415f 4d41534b 5f333242 _OS_DMA_MASK_32B 3a7f0: 49540000 0e414446 5f4f535f 444d415f IT...ADF_OS_DMA_ 3a800: 4d41534b 5f363442 49540001 00096164 MASK_64BIT....ad 3a810: 665f6f73 5f646d61 5f6d6173 6b5f7400 f_os_dma_mask_t. 3a820: 00001ed0 07616466 5f646d61 5f696e66 .....adf_dma_inf 3a830: 6f000800 001f5408 646d615f 6d61736b o.....T.dma_mask 3a840: 0000001f 07022300 0873675f 6e736567 ......#..sg_nseg 3a850: 73000000 16140223 04001404 00001faa s......#........ 3a860: 0e414446 5f4e4554 5f434b53 554d5f4e .ADF_NET_CKSUM_N 3a870: 4f4e4500 000e4144 465f4e45 545f434b ONE...ADF_NET_CK 3a880: 53554d5f 5443505f 5544505f 49507634 SUM_TCP_UDP_IPv4 3a890: 00010e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 3a8a0: 5f544350 5f554450 5f495076 36000200 _TCP_UDP_IPv6... 3a8b0: 09616466 5f6e6574 5f636b73 756d5f74 .adf_net_cksum_t 3a8c0: 7970655f 74000000 1f541208 00001fed ype_t....T...... 3a8d0: 0874785f 636b7375 6d000000 1faa0223 .tx_cksum......# 3a8e0: 00087278 5f636b73 756d0000 001faa02 ..rx_cksum...... 3a8f0: 23040009 6164665f 6e65745f 636b7375 #...adf_net_cksu 3a900: 6d5f696e 666f5f74 0000001f c4140400 m_info_t........ 3a910: 0020460e 4144465f 4e45545f 54534f5f . F.ADF_NET_TSO_ 3a920: 4e4f4e45 00000e41 44465f4e 45545f54 NONE...ADF_NET_T 3a930: 534f5f49 50563400 010e4144 465f4e45 SO_IPV4...ADF_NE 3a940: 545f5453 4f5f414c 4c000200 09616466 T_TSO_ALL....adf 3a950: 5f6e6574 5f74736f 5f747970 655f7400 _net_tso_type_t. 3a960: 00002007 12100000 209a0863 6b73756d .. ..... ..cksum 3a970: 5f636170 0000001f ed022300 0874736f _cap......#..tso 3a980: 00000020 46022308 08766c61 6e5f7375 ... F.#..vlan_su 3a990: 70706f72 74656400 0000167b 02230c00 pported....{.#.. 3a9a0: 12200000 21330874 785f7061 636b6574 . ..!3.tx_packet 3a9b0: 73000000 16140223 00087278 5f706163 s......#..rx_pac 3a9c0: 6b657473 00000016 14022304 0874785f kets......#..tx_ 3a9d0: 62797465 73000000 16140223 08087278 bytes......#..rx 3a9e0: 5f627974 65730000 00161402 230c0874 _bytes......#..t 3a9f0: 785f6472 6f707065 64000000 16140223 x_dropped......# 3aa00: 10087278 5f64726f 70706564 00000016 ..rx_dropped.... 3aa10: 14022314 0872785f 6572726f 72730000 ..#..rx_errors.. 3aa20: 00161402 23180874 785f6572 726f7273 ....#..tx_errors 3aa30: 00000016 1402231c 00096164 665f6e65 ......#...adf_ne 3aa40: 745f6574 68616464 725f7400 00001c6d t_ethaddr_t....m 3aa50: 16000021 33030000 00215810 7f001761 ...!3....!X....a 3aa60: 64665f6e 65745f63 6d645f6d 63616464 df_net_cmd_mcadd 3aa70: 72000304 0000218f 086e656c 656d0000 r.....!..nelem.. 3aa80: 00161402 2300086d 63617374 00000021 ....#..mcast...! 3aa90: 4a022304 00096164 665f6e65 745f636d J.#...adf_net_cm 3aaa0: 645f6c69 6e6b5f69 6e666f5f 74000000 d_link_info_t... 3aab0: 1c070961 64665f6e 65745f63 6d645f70 ...adf_net_cmd_p 3aac0: 6f6c6c5f 696e666f 5f740000 001e7509 oll_info_t....u. 3aad0: 6164665f 6e65745f 636d645f 636b7375 adf_net_cmd_cksu 3aae0: 6d5f696e 666f5f74 0000001f ed096164 m_info_t......ad 3aaf0: 665f6e65 745f636d 645f7269 6e675f69 f_net_cmd_ring_i 3ab00: 6e666f5f 74000000 1e390961 64665f6e nfo_t....9.adf_n 3ab10: 65745f63 6d645f64 6d615f69 6e666f5f et_cmd_dma_info_ 3ab20: 74000000 1f1e0961 64665f6e 65745f63 t......adf_net_c 3ab30: 6d645f76 69645f74 0000001c a3096164 md_vid_t......ad 3ab40: 665f6e65 745f636d 645f6f66 666c6f61 f_net_cmd_offloa 3ab50: 645f6361 705f7400 0000205e 09616466 d_cap_t... ^.adf 3ab60: 5f6e6574 5f636d64 5f737461 74735f74 _net_cmd_stats_t 3ab70: 00000020 9a096164 665f6e65 745f636d ... ..adf_net_cm 3ab80: 645f6d63 61646472 5f740000 0021580d d_mcaddr_t...!X. 3ab90: 6164665f 6e65745f 636d645f 6d636173 adf_net_cmd_mcas 3aba0: 745f6361 70000400 0022d10e 4144465f t_cap...."..ADF_ 3abb0: 4e45545f 4d434153 545f5355 5000000e NET_MCAST_SUP... 3abc0: 4144465f 4e45545f 4d434153 545f4e4f ADF_NET_MCAST_NO 3abd0: 54535550 00010009 6164665f 6e65745f TSUP....adf_net_ 3abe0: 636d645f 6d636173 745f6361 705f7400 cmd_mcast_cap_t. 3abf0: 00002289 18030400 0023a308 6c696e6b .."......#..link 3ac00: 5f696e66 6f000000 218f0223 0008706f _info...!..#..po 3ac10: 6c6c5f69 6e666f00 000021ac 02230008 ll_info...!..#.. 3ac20: 636b7375 6d5f696e 666f0000 0021c902 cksum_info...!.. 3ac30: 23000872 696e675f 696e666f 00000021 #..ring_info...! 3ac40: e7022300 08646d61 5f696e66 6f000000 ..#..dma_info... 3ac50: 22040223 00087669 64000000 22200223 "..#..vid..." .# 3ac60: 00086f66 666c6f61 645f6361 70000000 ..offload_cap... 3ac70: 22370223 00087374 61747300 00002256 "7.#..stats..."V 3ac80: 02230008 6d636173 745f696e 666f0000 .#..mcast_info.. 3ac90: 00226f02 2300086d 63617374 5f636170 ."o.#..mcast_cap 3aca0: 00000022 d1022300 00140400 0023fa0e ..."..#......#.. 3acb0: 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU 3acc0: 4d5f4e4f 4e450000 0e414446 5f4e4255 M_NONE...ADF_NBU 3acd0: 465f5258 5f434b53 554d5f48 5700010e F_RX_CKSUM_HW... 3ace0: 4144465f 4e425546 5f52585f 434b5355 ADF_NBUF_RX_CKSU 3acf0: 4d5f554e 4e454345 53534152 59000200 M_UNNECESSARY... 3ad00: 09616466 5f6e6275 665f7278 5f636b73 .adf_nbuf_rx_cks 3ad10: 756d5f74 7970655f 74000000 23a31208 um_type_t...#... 3ad20: 0000243a 08726573 756c7400 000023fa ..$:.result...#. 3ad30: 02230008 76616c00 00001614 02230400 .#..val......#.. 3ad40: 12080000 246a0874 79706500 00002046 ....$j.type... F 3ad50: 02230008 6d737300 00001ca3 02230408 .#..mss......#.. 3ad60: 6864725f 6f666600 0000167b 02230600 hdr_off....{.#.. 3ad70: 075f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea 3ad80: 64000c00 0024a908 68656164 00000014 d....$..head.... 3ad90: 34022300 08746169 6c000000 14340223 4.#..tail....4.# 3ada0: 0408716c 656e0000 00161402 23080009 ..qlen......#... 3adb0: 5f5f6164 665f6e62 75665f74 00000014 __adf_nbuf_t.... 3adc0: 34030000 168a0400 03000016 14040002 4............... 3add0: 01060000 13160106 00001614 01060000 ................ 3ade0: 168a0106 0000168a 01030000 12f70400 ................ 3adf0: 095f5f61 64665f6e 6275665f 71686561 .__adf_nbuf_qhea 3ae00: 645f7400 0000246a 095f5f61 64665f6e d_t...$j.__adf_n 3ae10: 6275665f 71756575 655f7400 000024ea buf_queue_t...$. 3ae20: 03000025 02040006 000024a9 01060000 ...%......$..... 3ae30: 24a90114 04000026 220e415f 53544154 $......&".A_STAT 3ae40: 55535f4f 4b00000e 415f5354 41545553 US_OK...A_STATUS 3ae50: 5f464149 4c454400 010e415f 53544154 _FAILED...A_STAT 3ae60: 55535f45 4e4f454e 5400020e 415f5354 US_ENOENT...A_ST 3ae70: 41545553 5f454e4f 4d454d00 030e415f ATUS_ENOMEM...A_ 3ae80: 53544154 55535f45 494e5641 4c00040e STATUS_EINVAL... 3ae90: 415f5354 41545553 5f45494e 50524f47 A_STATUS_EINPROG 3aea0: 52455353 00050e41 5f535441 5455535f RESS...A_STATUS_ 3aeb0: 454e4f54 53555050 00060e41 5f535441 ENOTSUPP...A_STA 3aec0: 5455535f 45425553 5900070e 415f5354 TUS_EBUSY...A_ST 3aed0: 41545553 5f453242 49470008 0e415f53 ATUS_E2BIG...A_S 3aee0: 54415455 535f4541 4444524e 4f544156 TATUS_EADDRNOTAV 3aef0: 41494c00 090e415f 53544154 55535f45 AIL...A_STATUS_E 3af00: 4e58494f 000a0e41 5f535441 5455535f NXIO...A_STATUS_ 3af10: 45464155 4c54000b 0e415f53 54415455 EFAULT...A_STATU 3af20: 535f4549 4f000c00 09615f73 74617475 S_EIO....a_statu 3af30: 735f7400 0000252d 06000026 22010600 s_t...%-...&"... 3af40: 00010f01 02010961 64665f6e 6275665f .......adf_nbuf_ 3af50: 74000000 24a91404 00002687 0e414446 t...$.....&..ADF 3af60: 5f4f535f 444d415f 544f5f44 45564943 _OS_DMA_TO_DEVIC 3af70: 4500000e 4144465f 4f535f44 4d415f46 E...ADF_OS_DMA_F 3af80: 524f4d5f 44455649 43450001 00096164 ROM_DEVICE....ad 3af90: 665f6f73 5f646d61 5f646972 5f740000 f_os_dma_dir_t.. 3afa0: 00265006 00002622 01020109 6164665f .&P...&"....adf_ 3afb0: 6f735f64 6d616d61 705f696e 666f5f74 os_dmamap_info_t 3afc0: 00000016 31030000 26a50400 02010201 ....1...&....... 3afd0: 06000026 40010600 0024a901 02010201 ...&@....$...... 3afe0: 06000026 40010600 0024a901 06000026 ...&@....$.....& 3aff0: 40010600 0024a901 06000026 40010201 @....$.....&@... 3b000: 02010600 00161401 06000016 8a010201 ................ 3b010: 02010600 001b4101 0600001a 8e010600 ......A......... 3b020: 001a8e01 09616466 5f6f735f 73676c69 .....adf_os_sgli 3b030: 73745f74 00000016 c9030000 271e0400 st_t........'... 3b040: 02010201 02010600 00168a01 09616466 .............adf 3b050: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 3b060: 25020300 00274604 00020103 000024ea %....'F.......$. 3b070: 04000201 02010201 06000026 40010600 ...........&@... 3b080: 0024a901 06000016 14010600 00161401 .$.............. 3b090: 0600001a 8e010600 001a8e01 0600001f ................ 3b0a0: aa010600 00161401 09616466 5f6e6275 .........adf_nbu 3b0b0: 665f7278 5f636b73 756d5f74 00000024 f_rx_cksum_t...$ 3b0c0: 18030000 27a20400 02010201 09616466 ....'........adf 3b0d0: 5f6e6275 665f7473 6f5f7400 0000243a _nbuf_tso_t...$: 3b0e0: 03000027 c6040002 01020109 6164665f ...'........adf_ 3b0f0: 6e65745f 68616e64 6c655f74 00000004 net_handle_t.... 3b100: 04096164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd 3b110: 725f7400 00001db6 03000027 fb040006 r_t........'.... 3b120: 00002622 01060000 26220102 01020107 ..&"....&"...... 3b130: 5f484946 5f434f4e 46494700 04000028 _HIF_CONFIG....( 3b140: 4a086475 6d6d7900 0000010f 02230000 J.dummy......#.. 3b150: 02010300 00284a04 00020103 00002853 .....(J.......(S 3b160: 0400075f 4849465f 43414c4c 4241434b ..._HIF_CALLBACK 3b170: 000c0000 28a80873 656e645f 6275665f ....(..send_buf_ 3b180: 646f6e65 00000028 4c022300 08726563 done...(L.#..rec 3b190: 765f6275 66000000 28550223 0408636f v_buf...(U.#..co 3b1a0: 6e746578 74000000 04040223 08000968 ntext......#...h 3b1b0: 69665f68 616e646c 655f7400 00000404 if_handle_t..... 3b1c0: 09484946 5f434f4e 46494700 00002829 .HIF_CONFIG...() 3b1d0: 03000028 ba040006 000028a8 01030000 ...(......(..... 3b1e0: 28d10400 02010300 0028de04 00094849 (........(....HI 3b1f0: 465f4341 4c4c4241 434b0000 00285c03 F_CALLBACK...(\. 3b200: 000028e7 04000201 03000029 00040006 ..(........).... 3b210: 0000010f 01030000 29090400 02010300 ........)....... 3b220: 00291604 00060000 010f0103 0000291f .)............). 3b230: 04000201 03000029 2c040006 0000010f .......),....... 3b240: 01030000 29350400 02010300 00294204 ....)5.......)B. 3b250: 00076869 665f6170 69003800 002a9b08 ..hif_api.8..*.. 3b260: 5f696e69 74000000 28d70223 00085f73 _init...(..#.._s 3b270: 68757464 6f776e00 000028e0 02230408 hutdown...(..#.. 3b280: 5f726567 69737465 725f6361 6c6c6261 _register_callba 3b290: 636b0000 00290202 2308085f 6765745f ck...)..#.._get_ 3b2a0: 746f7461 6c5f6372 65646974 5f636f75 total_credit_cou 3b2b0: 6e740000 00290f02 230c085f 73746172 nt...)..#.._star 3b2c0: 74000000 28e00223 10085f63 6f6e6669 t...(..#.._confi 3b2d0: 675f7069 70650000 00291802 2314085f g_pipe...)..#.._ 3b2e0: 73656e64 5f627566 66657200 00002925 send_buffer...)% 3b2f0: 02231808 5f726574 75726e5f 72656376 .#.._return_recv 3b300: 5f627566 00000029 2e02231c 085f6973 _buf...)..#.._is 3b310: 5f706970 655f7375 70706f72 74656400 _pipe_supported. 3b320: 0000293b 02232008 5f676574 5f6d6178 ..);.# ._get_max 3b330: 5f6d7367 5f6c656e 00000029 3b022324 _msg_len...);.#$ 3b340: 085f6765 745f7265 73657276 65645f68 ._get_reserved_h 3b350: 65616472 6f6f6d00 0000290f 02232808 eadroom...)..#(. 3b360: 5f697372 5f68616e 646c6572 00000028 _isr_handler...( 3b370: e002232c 085f6765 745f6465 6661756c ..#,._get_defaul 3b380: 745f7069 70650000 00294402 23300870 t_pipe...)D.#0.p 3b390: 52657365 72766564 00000004 04022334 Reserved......#4 3b3a0: 000d646d 615f656e 67696e65 00040000 ..dma_engine.... 3b3b0: 2b240e44 4d415f45 4e47494e 455f5258 +$.DMA_ENGINE_RX 3b3c0: 3000000e 444d415f 454e4749 4e455f52 0...DMA_ENGINE_R 3b3d0: 58310001 0e444d41 5f454e47 494e455f X1...DMA_ENGINE_ 3b3e0: 52583200 020e444d 415f454e 47494e45 RX2...DMA_ENGINE 3b3f0: 5f525833 00030e44 4d415f45 4e47494e _RX3...DMA_ENGIN 3b400: 455f5458 3000040e 444d415f 454e4749 E_TX0...DMA_ENGI 3b410: 4e455f54 58310005 0e444d41 5f454e47 NE_TX1...DMA_ENG 3b420: 494e455f 4d415800 06000964 6d615f65 INE_MAX....dma_e 3b430: 6e67696e 655f7400 00002a9b 0d646d61 ngine_t...*..dma 3b440: 5f696674 79706500 0400002b 710e444d _iftype....+q.DM 3b450: 415f4946 5f474d41 4300000e 444d415f A_IF_GMAC...DMA_ 3b460: 49465f50 43490001 0e444d41 5f49465f IF_PCI...DMA_IF_ 3b470: 50434945 00020009 646d615f 69667479 PCIE....dma_ifty 3b480: 70655f74 0000002b 36060000 12e90103 pe_t...+6....... 3b490: 00002b83 04000201 0300002b 90040002 ..+........+.... 3b4a0: 01030000 2b990400 06000009 1b010300 ....+........... 3b4b0: 002ba204 00060000 12e90103 00002baf .+............+. 3b4c0: 04000600 0012e901 0300002b bc040006 ...........+.... 3b4d0: 00001434 01030000 2bc90400 02010300 ...4....+....... 3b4e0: 002bd604 0007646d 615f6c69 625f6170 .+....dma_lib_ap 3b4f0: 69003400 002cdd08 74785f69 6e697400 i.4..,..tx_init. 3b500: 00002b89 02230008 74785f73 74617274 ..+..#..tx_start 3b510: 0000002b 92022304 0872785f 696e6974 ...+..#..rx_init 3b520: 0000002b 89022308 0872785f 636f6e66 ...+..#..rx_conf 3b530: 69670000 002b9b02 230c0872 785f7374 ig...+..#..rx_st 3b540: 61727400 00002b92 02231008 696e7472 art...+..#..intr 3b550: 5f737461 74757300 00002ba8 02231408 _status...+..#.. 3b560: 68617264 5f786d69 74000000 2bb50223 hard_xmit...+..# 3b570: 1808666c 7573685f 786d6974 0000002b ..flush_xmit...+ 3b580: 9202231c 08786d69 745f646f 6e650000 ..#..xmit_done.. 3b590: 002bc202 23200872 6561705f 786d6974 .+..# .reap_xmit 3b5a0: 74656400 00002bcf 02232408 72656170 ted...+..#$.reap 3b5b0: 5f726563 76000000 2bcf0223 28087265 _recv...+..#(.re 3b5c0: 7475726e 5f726563 76000000 2bd80223 turn_recv...+..# 3b5d0: 2c087265 63765f70 6b740000 002bc202 ,.recv_pkt...+.. 3b5e0: 23300007 5f5f7063 695f736f 66746300 #0..__pci_softc. 3b5f0: 0c00002c fb087377 00000028 e7022300 ...,..sw...(..#. 3b600: 00095f5f 7063695f 736f6674 635f7400 ..__pci_softc_t. 3b610: 00002cdd 0300002c fb040002 01030000 ..,....,........ 3b620: 2d150400 06000012 d5010300 002d1e04 -............-.. 3b630: 000d6869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t 3b640: 78000400 002d7e0e 4849465f 5043495f x....-~.HIF_PCI_ 3b650: 50495045 5f545830 00000e48 49465f50 PIPE_TX0...HIF_P 3b660: 43495f50 4950455f 54583100 010e4849 CI_PIPE_TX1...HI 3b670: 465f5043 495f5049 50455f54 585f4d41 F_PCI_PIPE_TX_MA 3b680: 58000200 09686966 5f706369 5f706970 X....hif_pci_pip 3b690: 655f7478 5f740000 002d2b06 00002b24 e_tx_t...-+...+$ 3b6a0: 01030000 2d950400 0d686966 5f706369 ....-....hif_pci 3b6b0: 5f706970 655f7278 00040000 2e1b0e48 _pipe_rx.......H 3b6c0: 49465f50 43495f50 4950455f 52583000 IF_PCI_PIPE_RX0. 3b6d0: 000e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 3b6e0: 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP 3b6f0: 455f5258 3200020e 4849465f 5043495f E_RX2...HIF_PCI_ 3b700: 50495045 5f525833 00030e48 49465f50 PIPE_RX3...HIF_P 3b710: 43495f50 4950455f 52585f4d 41580004 CI_PIPE_RX_MAX.. 3b720: 00096869 665f7063 695f7069 70655f72 ..hif_pci_pipe_r 3b730: 785f7400 00002da2 0600002b 24010300 x_t...-....+$... 3b740: 002e3204 00076869 665f7063 695f6170 ..2...hif_pci_ap 3b750: 69002400 002f1008 7063695f 626f6f74 i.$../..pci_boot 3b760: 5f696e69 74000000 01080223 00087063 _init......#..pc 3b770: 695f696e 69740000 0028d702 23040870 i_init...(..#..p 3b780: 63695f72 65736574 00000001 08022308 ci_reset......#. 3b790: 08706369 5f656e61 626c6500 00000108 .pci_enable..... 3b7a0: 02230c08 7063695f 72656170 5f786d69 .#..pci_reap_xmi 3b7b0: 74746564 0000002d 17022310 08706369 tted...-..#..pci 3b7c0: 5f726561 705f7265 63760000 002d1702 _reap_recv...-.. 3b7d0: 23140870 63695f67 65745f70 69706500 #..pci_get_pipe. 3b7e0: 00002d24 02231808 7063695f 6765745f ..-$.#..pci_get_ 3b7f0: 74785f65 6e670000 002d9b02 231c0870 tx_eng...-..#..p 3b800: 63695f67 65745f72 785f656e 67000000 ci_get_rx_eng... 3b810: 2e380223 20000767 6d61635f 61706900 .8.# ..gmac_api. 3b820: 0400002f 3708676d 61635f62 6f6f745f .../7.gmac_boot_ 3b830: 696e6974 00000001 08022300 000f0000 init......#..... 3b840: 031c0600 002f4410 0500075f 5f657468 ...../D....__eth 3b850: 68647200 0e00002f 7a086473 74000000 hdr..../z.dst... 3b860: 2f370223 00087372 63000000 2f370223 /7.#..src.../7.# 3b870: 06086574 79706500 000012e9 02230c00 ..etype......#.. 3b880: 075f5f61 74686864 72000400 002fc815 .__athhdr..../.. 3b890: 72657300 000012d5 01000202 23001570 res.........#..p 3b8a0: 726f746f 00000012 d5010206 02230008 roto.........#.. 3b8b0: 7265735f 6c6f0000 0012d502 23010872 res_lo......#..r 3b8c0: 65735f68 69000000 12e90223 0200075f es_hi......#..._ 3b8d0: 5f676d61 635f6864 72001400 00300408 _gmac_hdr....0.. 3b8e0: 65746800 00002f44 02230008 61746800 eth.../D.#..ath. 3b8f0: 00002f7a 02230e08 616c6967 6e5f7061 ../z.#..align_pa 3b900: 64000000 12e90223 1200095f 5f676d61 d......#...__gma 3b910: 635f6864 725f7400 00002fc8 075f5f67 c_hdr_t.../..__g 3b920: 6d61635f 736f6674 63002400 00304e08 mac_softc.$..0N. 3b930: 68647200 00003004 02230008 6772616e hdr...0..#..gran 3b940: 00000012 e9022314 08737700 000028e7 ......#..sw...(. 3b950: 02231800 075f415f 6f735f6c 696e6b61 .#..._A_os_linka 3b960: 67655f63 6865636b 00080000 30870876 ge_check....0..v 3b970: 65727369 6f6e0000 00010f02 23000874 ersion......#..t 3b980: 61626c65 00000001 0f022304 00030000 able......#..... 3b990: 304e0400 06000001 0f010300 00308e04 0N...........0.. 3b9a0: 00030000 04070400 175f415f 636d6e6f ........._A_cmno 3b9b0: 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta 3b9c0: 626c6500 01b80000 31de0868 616c5f6c ble.....1..hal_l 3b9d0: 696e6b61 67655f63 6865636b 00000030 inkage_check...0 3b9e0: 94022300 08737461 72745f62 73730000 ..#..start_bss.. 3b9f0: 00309b02 23040861 70705f73 74617274 .0..#..app_start 3ba00: 00000001 08022308 086d656d 00000004 ......#..mem.... 3ba10: 4702230c 086d6973 63000000 05660223 G.#..misc....f.# 3ba20: 20087072 696e7466 00000001 3c022344 .printf....<.#D 3ba30: 08756172 74000000 02050223 4c08676d .uart......#L.gm 3ba40: 61630000 002f1002 236c0875 73620000 ac.../..#l.usb.. 3ba50: 000f9502 23700863 6c6f636b 0000000a ....#p.clock.... 3ba60: de0323e0 01087469 6d657200 0000077e ..#...timer....~ 3ba70: 03238402 08696e74 72000000 0c2e0323 .#...intr......# 3ba80: 98020861 6c6c6f63 72616d00 00000936 ...allocram....6 3ba90: 0323c402 08726f6d 70000000 08280323 .#...romp....(.# 3baa0: d0020877 64745f74 696d6572 0000000e ...wdt_timer.... 3bab0: 0b0323e0 02086565 70000000 0f390323 ..#...eep....9.# 3bac0: fc020873 7472696e 67000000 068a0323 ...string......# 3bad0: 8c030874 61736b6c 65740000 000a3303 ...tasklet....3. 3bae0: 23a40300 075f5553 425f4649 464f5f43 #...._USB_FIFO_C 3baf0: 4f4e4649 47001000 00325108 6765745f ONFIG....2Q.get_ 3bb00: 636f6d6d 616e645f 62756600 00001441 command_buf....A 3bb10: 02230008 72656376 5f636f6d 6d616e64 .#..recv_command 3bb20: 00000014 57022304 08676574 5f657665 ....W.#..get_eve 3bb30: 6e745f62 75660000 00144102 23080873 nt_buf....A.#..s 3bb40: 656e645f 6576656e 745f646f 6e650000 end_event_done.. 3bb50: 00145702 230c0009 5553425f 4649464f ..W.#...USB_FIFO 3bb60: 5f434f4e 46494700 000031de 03000032 _CONFIG...1....2 3bb70: 51040002 01030000 326d0400 07757362 Q.......2m...usb 3bb80: 6669666f 5f617069 000c0000 32c3085f fifo_api....2.._ 3bb90: 696e6974 00000032 6f022300 085f656e init...2o.#.._en 3bba0: 61626c65 5f657665 6e745f69 73720000 able_event_isr.. 3bbb0: 00010802 23040870 52657365 72766564 ....#..pReserved 3bbc0: 00000004 04022308 000f0000 167b0200 ......#......{.. 3bbd0: 0032d010 0100075f 4854435f 4652414d .2....._HTC_FRAM 3bbe0: 455f4844 52000800 00334208 456e6470 E_HDR....3B.Endp 3bbf0: 6f696e74 49440000 00167b02 23000846 ointID....{.#..F 3bc00: 6c616773 00000016 7b022301 08506179 lags....{.#..Pay 3bc10: 6c6f6164 4c656e00 00001ca3 02230208 loadLen......#.. 3bc20: 436f6e74 726f6c42 79746573 00000032 ControlBytes...2 3bc30: c3022304 08486f73 74536571 4e756d00 ..#..HostSeqNum. 3bc40: 00001ca3 02230600 12020000 335b084d .....#......3[.M 3bc50: 65737361 67654944 0000001c a3022300 essageID......#. 3bc60: 00120800 0033be08 4d657373 61676549 .....3..MessageI 3bc70: 44000000 1ca30223 00084372 65646974 D......#..Credit 3bc80: 436f756e 74000000 1ca30223 02084372 Count......#..Cr 3bc90: 65646974 53697a65 0000001c a3022304 editSize......#. 3bca0: 084d6178 456e6470 6f696e74 73000000 .MaxEndpoints... 3bcb0: 167b0223 06085f50 61643100 0000167b .{.#.._Pad1....{ 3bcc0: 02230700 120a0000 3455084d 65737361 .#......4U.Messa 3bcd0: 67654944 0000001c a3022300 08536572 geID......#..Ser 3bce0: 76696365 49440000 001ca302 23020843 viceID......#..C 3bcf0: 6f6e6e65 6374696f 6e466c61 67730000 onnectionFlags.. 3bd00: 001ca302 23040844 6f776e4c 696e6b50 ....#..DownLinkP 3bd10: 69706549 44000000 167b0223 06085570 ipeID....{.#..Up 3bd20: 4c696e6b 50697065 49440000 00167b02 LinkPipeID....{. 3bd30: 23070853 65727669 63654d65 74614c65 #..ServiceMetaLe 3bd40: 6e677468 00000016 7b022308 085f5061 ngth....{.#.._Pa 3bd50: 64310000 00167b02 23090012 0a000034 d1....{.#......4 3bd60: dd084d65 73736167 65494400 00001ca3 ..MessageID..... 3bd70: 02230008 53657276 69636549 44000000 .#..ServiceID... 3bd80: 1ca30223 02085374 61747573 00000016 ...#..Status.... 3bd90: 7b022304 08456e64 706f696e 74494400 {.#..EndpointID. 3bda0: 0000167b 02230508 4d61784d 73675369 ...{.#..MaxMsgSi 3bdb0: 7a650000 001ca302 23060853 65727669 ze......#..Servi 3bdc0: 63654d65 74614c65 6e677468 00000016 ceMetaLength.... 3bdd0: 7b022308 085f5061 64310000 00167b02 {.#.._Pad1....{. 3bde0: 23090012 02000034 f6084d65 73736167 #......4..Messag 3bdf0: 65494400 00001ca3 02230000 12040000 eID......#...... 3be00: 3532084d 65737361 67654944 0000001c 52.MessageID.... 3be10: a3022300 08506970 65494400 0000167b ..#..PipeID....{ 3be20: 02230208 43726564 6974436f 756e7400 .#..CreditCount. 3be30: 0000167b 02230300 12040000 3569084d ...{.#......5i.M 3be40: 65737361 67654944 0000001c a3022300 essageID......#. 3be50: 08506970 65494400 0000167b 02230208 .PipeID....{.#.. 3be60: 53746174 75730000 00167b02 23030012 Status....{.#... 3be70: 02000035 90085265 636f7264 49440000 ...5..RecordID.. 3be80: 00167b02 2300084c 656e6774 68000000 ..{.#..Length... 3be90: 167b0223 01001202 000035ba 08456e64 .{.#......5..End 3bea0: 706f696e 74494400 0000167b 02230008 pointID....{.#.. 3beb0: 43726564 69747300 0000167b 02230100 Credits....{.#.. 3bec0: 12040000 35fb0845 6e64706f 696e7449 ....5..EndpointI 3bed0: 44000000 167b0223 00084372 65646974 D....{.#..Credit 3bee0: 73000000 167b0223 01085467 74437265 s....{.#..TgtCre 3bef0: 64697453 65714e6f 0000001c a3022302 ditSeqNo......#. 3bf00: 000f0000 167b0400 00360810 03001206 .....{...6...... 3bf10: 00003644 08507265 56616c69 64000000 ..6D.PreValid... 3bf20: 167b0223 00084c6f 6f6b4168 65616400 .{.#..LookAhead. 3bf30: 000035fb 02230108 506f7374 56616c69 ..5..#..PostVali 3bf40: 64000000 167b0223 05000970 6f6f6c5f d....{.#...pool_ 3bf50: 68616e64 6c655f74 00000004 04060000 handle_t........ 3bf60: 36440103 00003657 04000201 03000036 6D....6W.......6 3bf70: 64040014 04000036 e20e504f 4f4c5f49 d......6..POOL_I 3bf80: 445f4854 435f434f 4e54524f 4c00000e D_HTC_CONTROL... 3bf90: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ 3bfa0: 434d445f 5245504c 5900010e 504f4f4c CMD_REPLY...POOL 3bfb0: 5f49445f 574d495f 5356435f 4556454e _ID_WMI_SVC_EVEN 3bfc0: 5400020e 504f4f4c 5f49445f 574c414e T...POOL_ID_WLAN 3bfd0: 5f52585f 42554600 030e504f 4f4c5f49 _RX_BUF...POOL_I 3bfe0: 445f4d41 58000a00 09425546 5f504f4f D_MAX....BUF_POO 3bff0: 4c5f4944 00000036 6d020103 000036f3 L_ID...6m.....6. 3c000: 04000600 00264001 03000036 fc040006 .....&@....6.... 3c010: 00002640 01030000 37090400 02010300 ..&@....7....... 3c020: 00371604 00076275 665f706f 6f6c5f61 .7....buf_pool_a 3c030: 7069001c 000037b8 085f696e 69740000 pi....7.._init.. 3c040: 00365d02 2300085f 73687574 646f776e .6].#.._shutdown 3c050: 00000036 66022304 085f6372 65617465 ...6f.#.._create 3c060: 5f706f6f 6c000000 36f50223 08085f61 _pool...6..#.._a 3c070: 6c6c6f63 5f627566 00000037 0202230c lloc_buf...7..#. 3c080: 085f616c 6c6f635f 6275665f 616c6967 ._alloc_buf_alig 3c090: 6e000000 370f0223 10085f66 7265655f n...7..#.._free_ 3c0a0: 62756600 00003718 02231408 70526573 buf...7..#..pRes 3c0b0: 65727665 64000000 04040223 1800075f erved......#..._ 3c0c0: 4854435f 53455256 49434500 1c000038 HTC_SERVICE....8 3c0d0: 9708704e 65787400 00003897 02230008 ..pNext...8..#.. 3c0e0: 50726f63 65737352 6563764d 73670000 ProcessRecvMsg.. 3c0f0: 00394c02 23040850 726f6365 73735365 .9L.#..ProcessSe 3c100: 6e644275 66666572 436f6d70 6c657465 ndBufferComplete 3c110: 00000039 55022308 0850726f 63657373 ...9U.#..Process 3c120: 436f6e6e 65637400 00003969 02230c08 Connect...9i.#.. 3c130: 53657276 69636549 44000000 12e90223 ServiceID......# 3c140: 10085365 72766963 65466c61 67730000 ..ServiceFlags.. 3c150: 0012e902 2312084d 61785376 634d7367 ....#..MaxSvcMsg 3c160: 53697a65 00000012 e9022314 08547261 Size......#..Tra 3c170: 696c6572 53706343 6865636b 4c696d69 ilerSpcCheckLimi 3c180: 74000000 12e90223 16085365 72766963 t......#..Servic 3c190: 65437478 00000004 04022318 00030000 eCtx......#..... 3c1a0: 37b80400 14040000 39351945 4e44504f 7.......95.ENDPO 3c1b0: 494e545f 554e5553 454400ff ffffff0e INT_UNUSED...... 3c1c0: 454e4450 4f494e54 3000000e 454e4450 ENDPOINT0...ENDP 3c1d0: 4f494e54 3100010e 454e4450 4f494e54 OINT1...ENDPOINT 3c1e0: 3200020e 454e4450 4f494e54 3300030e 2...ENDPOINT3... 3c1f0: 454e4450 4f494e54 3400040e 454e4450 ENDPOINT4...ENDP 3c200: 4f494e54 3500050e 454e4450 4f494e54 OINT5...ENDPOINT 3c210: 3600060e 454e4450 4f494e54 3700070e 6...ENDPOINT7... 3c220: 454e4450 4f494e54 3800080e 454e4450 ENDPOINT8...ENDP 3c230: 4f494e54 5f4d4158 00160009 4854435f OINT_MAX....HTC_ 3c240: 454e4450 4f494e54 5f494400 0000389e ENDPOINT_ID...8. 3c250: 02010300 00394a04 00020103 00003953 .....9J.......9S 3c260: 04000300 00010f04 00060000 12d50103 ................ 3c270: 00003963 04000300 0037b804 00075f48 ..9c.....7...._H 3c280: 54435f43 4f4e4649 47001400 0039e808 TC_CONFIG....9.. 3c290: 43726564 69745369 7a650000 00010f02 CreditSize...... 3c2a0: 23000843 72656469 744e756d 62657200 #..CreditNumber. 3c2b0: 0000010f 02230408 4f534861 6e646c65 .....#..OSHandle 3c2c0: 0000001a 3f022308 08484946 48616e64 ....?.#..HIFHand 3c2d0: 6c650000 0028a802 230c0850 6f6f6c48 le...(..#..PoolH 3c2e0: 616e646c 65000000 36440223 1000075f andle...6D.#..._ 3c2f0: 4854435f 4255465f 434f4e54 45585400 HTC_BUF_CONTEXT. 3c300: 0200003a 2408656e 645f706f 696e7400 ...:$.end_point. 3c310: 000012d5 02230008 6874635f 666c6167 .....#..htc_flag 3c320: 73000000 12d50223 01000968 74635f68 s......#...htc_h 3c330: 616e646c 655f7400 00000404 09485443 andle_t......HTC 3c340: 5f534554 55505f43 4f4d504c 4554455f _SETUP_COMPLETE_ 3c350: 43420000 00010809 4854435f 434f4e46 CB......HTC_CONF 3c360: 49470000 00397703 00003a51 04000600 IG...9w...:Q.... 3c370: 003a2401 0300003a 68040002 01030000 .:$....:h....... 3c380: 3a750400 09485443 5f534552 56494345 :u...HTC_SERVICE 3c390: 00000037 b8030000 3a7e0400 02010300 ...7....:~...... 3c3a0: 003a9604 00020103 00003a9f 04000201 .:........:..... 3c3b0: 0300003a a8040006 0000010f 01030000 ...:............ 3c3c0: 3ab10400 07687463 5f617069 73003400 :....htc_apis.4. 3c3d0: 003c2e08 5f485443 5f496e69 74000000 .<.._HTC_Init... 3c3e0: 3a6e0223 00085f48 54435f53 68757464 :n.#.._HTC_Shutd 3c3f0: 6f776e00 00003a77 02230408 5f485443 own...:w.#.._HTC 3c400: 5f526567 69737465 72536572 76696365 _RegisterService 3c410: 0000003a 98022308 085f4854 435f5265 ...:..#.._HTC_Re 3c420: 61647900 00003a77 02230c08 5f485443 ady...:w.#.._HTC 3c430: 5f526574 75726e42 75666665 72730000 _ReturnBuffers.. 3c440: 003aa102 2310085f 4854435f 52657475 .:..#.._HTC_Retu 3c450: 726e4275 66666572 734c6973 74000000 rnBuffersList... 3c460: 3aaa0223 14085f48 54435f53 656e644d :..#.._HTC_SendM 3c470: 73670000 003aa102 2318085f 4854435f sg...:..#.._HTC_ 3c480: 47657452 65736572 76656448 65616472 GetReservedHeadr 3c490: 6f6f6d00 00003ab7 02231c08 5f485443 oom...:..#.._HTC 3c4a0: 5f4d7367 52656376 48616e64 6c657200 _MsgRecvHandler. 3c4b0: 00002855 02232008 5f485443 5f53656e ..(U.# ._HTC_Sen 3c4c0: 64446f6e 6548616e 646c6572 00000028 dDoneHandler...( 3c4d0: 4c022324 085f4854 435f436f 6e74726f L.#$._HTC_Contro 3c4e0: 6c537663 50726f63 6573734d 73670000 lSvcProcessMsg.. 3c4f0: 00394c02 2328085f 4854435f 436f6e74 .9L.#(._HTC_Cont 3c500: 726f6c53 76635072 6f636573 7353656e rolSvcProcessSen 3c510: 64436f6d 706c6574 65000000 39550223 dComplete...9U.# 3c520: 2c087052 65736572 76656400 00000404 ,.pReserved..... 3c530: 02233000 07686f73 745f6170 705f6172 .#0..host_app_ar 3c540: 65615f73 00040000 3c5e0877 6d695f70 ea_s....<^.wmi_p 3c550: 726f746f 636f6c5f 76657200 00001614 rotocol_ver..... 3c560: 02230000 120e0000 3c950864 73744d61 .#......<..dstMa 3c570: 63000000 1c600223 00087372 634d6163 c....`.#..srcMac 3c580: 0000001c 60022306 08747970 654f724c ....`.#..typeOrL 3c590: 656e0000 001ca302 230c000f 0000167b en......#......{ 3c5a0: 0300003c a2100200 12080000 3cf20864 ...<........<..d 3c5b0: 73617000 0000167b 02230008 73736170 sap....{.#..ssap 3c5c0: 00000016 7b022301 08636e74 6c000000 ....{.#..cntl... 3c5d0: 167b0223 02086f72 67436f64 65000000 .{.#..orgCode... 3c5e0: 3c950223 03086574 68657254 79706500 <..#..etherType. 3c5f0: 00001ca3 02230600 12020000 3d130872 .....#......=..r 3c600: 73736900 00001bf9 02230008 696e666f ssi......#..info 3c610: 00000016 7b022301 00120400 003d3a08 ....{.#......=:. 3c620: 636f6d6d 616e6449 64000000 1ca30223 commandId......# 3c630: 00087365 714e6f00 00001ca3 02230200 ..seqNo......#.. 3c640: 0f000016 7b010000 3d471000 00120200 ....{...=G...... 3c650: 003d6e08 6d736753 697a6500 0000167b .=n.msgSize....{ 3c660: 02230008 6d736744 61746100 00003d3a .#..msgData...=: 3c670: 02230100 12080000 3db50861 64647265 .#......=..addre 3c680: 73734c00 00001ca3 02230008 61646472 ssL......#..addr 3c690: 65737348 0000001c a3022302 0876616c essH......#..val 3c6a0: 75654c00 00001ca3 02230408 76616c75 ueL......#..valu 3c6b0: 65480000 001ca302 23060009 574d495f eH......#...WMI_ 3c6c0: 41565400 00003d6e 0f00003d b5080000 AVT...=n...=.... 3c6d0: 3dcf1000 00120c00 003e0608 7475706c =........>..tupl 3c6e0: 654e756d 4c000000 1ca30223 00087475 eNumL......#..tu 3c6f0: 706c654e 756d4800 00001ca3 02230208 pleNumH......#.. 3c700: 61767400 00003dc2 02230400 12010000 avt...=..#...... 3c710: 3e280862 6561636f 6e50656e 64696e67 >(.beaconPending 3c720: 436f756e 74000000 167b0223 0000075f Count....{.#..._ 3c730: 574d495f 5356435f 434f4e46 49470010 WMI_SVC_CONFIG.. 3c740: 00003e91 08487463 48616e64 6c650000 ..>..HtcHandle.. 3c750: 003a2402 23000850 6f6f6c48 616e646c .:$.#..PoolHandl 3c760: 65000000 36440223 04084d61 78436d64 e...6D.#..MaxCmd 3c770: 5265706c 79457674 73000000 010f0223 ReplyEvts......# 3c780: 08084d61 78457665 6e744576 74730000 ..MaxEventEvts.. 3c790: 00010f02 230c0002 01030000 3e910400 ....#.......>... 3c7a0: 09574d49 5f434d44 5f48414e 444c4552 .WMI_CMD_HANDLER 3c7b0: 0000003e 93075f57 4d495f44 49535041 ...>.._WMI_DISPA 3c7c0: 5443485f 454e5452 59000800 003efa08 TCH_ENTRY....>.. 3c7d0: 70436d64 48616e64 6c657200 00003e9a pCmdHandler...>. 3c7e0: 02230008 436d6449 44000000 12e90223 .#..CmdID......# 3c7f0: 0408466c 61677300 000012e9 02230600 ..Flags......#.. 3c800: 075f574d 495f4449 53504154 43485f54 ._WMI_DISPATCH_T 3c810: 41424c45 00100000 3f5b0870 4e657874 ABLE....?[.pNext 3c820: 0000003f 5b022300 0870436f 6e746578 ...?[.#..pContex 3c830: 74000000 04040223 04084e75 6d626572 t......#..Number 3c840: 4f66456e 74726965 73000000 010f0223 OfEntries......# 3c850: 08087054 61626c65 0000003f 7a02230c ..pTable...?z.#. 3c860: 00030000 3efa0400 09574d49 5f444953 ....>....WMI_DIS 3c870: 50415443 485f454e 54525900 00003eaf PATCH_ENTRY...>. 3c880: 0300003f 62040003 00003efa 04000948 ...?b.....>....H 3c890: 54435f42 55465f43 4f4e5445 58540000 TC_BUF_CONTEXT.. 3c8a0: 0039e80d 574d495f 4556545f 434c4153 .9..WMI_EVT_CLAS 3c8b0: 53000400 00401219 574d495f 4556545f S....@..WMI_EVT_ 3c8c0: 434c4153 535f4e4f 4e4500ff ffffff0e CLASS_NONE...... 3c8d0: 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM 3c8e0: 445f4556 454e5400 000e574d 495f4556 D_EVENT...WMI_EV 3c8f0: 545f434c 4153535f 434d445f 5245504c T_CLASS_CMD_REPL 3c900: 5900010e 574d495f 4556545f 434c4153 Y...WMI_EVT_CLAS 3c910: 535f4d41 58000200 09574d49 5f455654 S_MAX....WMI_EVT 3c920: 5f434c41 53530000 003f9d07 5f574d49 _CLASS...?.._WMI 3c930: 5f425546 5f434f4e 54455854 000c0000 _BUF_CONTEXT.... 3c940: 40700848 74634275 66437478 0000003f @p.HtcBufCtx...? 3c950: 88022300 08457665 6e74436c 61737300 ..#..EventClass. 3c960: 00004012 02230408 466c6167 73000000 ..@..#..Flags... 3c970: 12e90223 08000977 6d695f68 616e646c ...#...wmi_handl 3c980: 655f7400 00000404 09574d49 5f535643 e_t......WMI_SVC 3c990: 5f434f4e 46494700 00003e28 03000040 _CONFIG...>(...@ 3c9a0: 82040006 00004070 01030000 409d0400 ......@p....@... 3c9b0: 09574d49 5f444953 50415443 485f5441 .WMI_DISPATCH_TA 3c9c0: 424c4500 00003efa 03000040 aa040002 BLE...>....@.... 3c9d0: 01030000 40c90400 06000026 40010300 ....@......&@... 3c9e0: 0040d204 00020103 000040df 04000600 .@........@..... 3c9f0: 00010f01 03000040 e8040002 01030000 .......@........ 3ca00: 40f50400 06000012 d5010300 0040fe04 @............@.. 3ca10: 00075f77 6d695f73 76635f61 70697300 .._wmi_svc_apis. 3ca20: 2c000042 46085f57 4d495f49 6e697400 ,..BF._WMI_Init. 3ca30: 000040a3 02230008 5f574d49 5f526567 ..@..#.._WMI_Reg 3ca40: 69737465 72446973 70617463 68546162 isterDispatchTab 3ca50: 6c650000 0040cb02 2304085f 574d495f le...@..#.._WMI_ 3ca60: 416c6c6f 63457665 6e740000 0040d802 AllocEvent...@.. 3ca70: 2308085f 574d495f 53656e64 4576656e #.._WMI_SendEven 3ca80: 74000000 40e10223 0c085f57 4d495f47 t...@..#.._WMI_G 3ca90: 65745065 6e64696e 67457665 6e747343 etPendingEventsC 3caa0: 6f756e74 00000040 ee022310 085f574d ount...@..#.._WM 3cab0: 495f5365 6e64436f 6d706c65 74654861 I_SendCompleteHa 3cac0: 6e646c65 72000000 39550223 14085f57 ndler...9U.#.._W 3cad0: 4d495f47 6574436f 6e74726f 6c457000 MI_GetControlEp. 3cae0: 000040ee 02231808 5f574d49 5f536875 ..@..#.._WMI_Shu 3caf0: 74646f77 6e000000 40f70223 1c085f57 tdown...@..#.._W 3cb00: 4d495f52 6563764d 65737361 67654861 MI_RecvMessageHa 3cb10: 6e646c65 72000000 394c0223 20085f57 ndler...9L.# ._W 3cb20: 4d495f53 65727669 6365436f 6e6e6563 MI_ServiceConnec 3cb30: 74000000 41040223 24087052 65736572 t...A..#$.pReser 3cb40: 76656400 00000404 02232800 077a7344 ved......#(..zsD 3cb50: 6d614465 73630014 000042c8 08637472 maDesc....B..ctr 3cb60: 6c000000 01730223 00087374 61747573 l....s.#..status 3cb70: 00000001 73022302 08746f74 616c4c65 ....s.#..totalLe 3cb80: 6e000000 01730223 04086461 74615369 n....s.#..dataSi 3cb90: 7a650000 00017302 2306086c 61737441 ze....s.#..lastA 3cba0: 64647200 000042c8 02230808 64617461 ddr...B..#..data 3cbb0: 41646472 00000001 9702230c 086e6578 Addr......#..nex 3cbc0: 74416464 72000000 42c80223 10000300 tAddr...B..#.... 3cbd0: 00424604 00030000 42460400 077a7344 .BF.....BF...zsD 3cbe0: 6d615175 65756500 08000043 08086865 maQueue....C..he 3cbf0: 61640000 0042cf02 23000874 65726d69 ad...B..#..termi 3cc00: 6e61746f 72000000 42cf0223 0400077a nator...B..#...z 3cc10: 73547844 6d615175 65756500 10000043 sTxDmaQueue....C 3cc20: 6c086865 61640000 0042cf02 23000874 l.head...B..#..t 3cc30: 65726d69 6e61746f 72000000 42cf0223 erminator...B..# 3cc40: 0408786d 69746564 5f627566 5f686561 ..xmited_buf_hea 3cc50: 64000000 14340223 0808786d 69746564 d....4.#..xmited 3cc60: 5f627566 5f746169 6c000000 14340223 _buf_tail....4.# 3cc70: 0c000201 03000043 6c040003 000042d6 .......Cl.....B. 3cc80: 04000201 03000043 7c040003 00004308 .......C|.....C. 3cc90: 04000201 03000043 8c040002 01030000 .......C........ 3cca0: 43950400 02010300 00439e04 00060000 C........C...... 3ccb0: 14340103 000043a7 04000201 03000043 .4....C........C 3ccc0: b4040006 00001434 01030000 43bd0400 .......4....C... 3ccd0: 02010300 0043ca04 00060000 010f0103 .....C.......... 3cce0: 000043d3 04000600 0042cf01 03000043 ..C......B.....C 3ccf0: e0040002 01030000 43ed0400 07646d61 ........C....dma 3cd00: 5f656e67 696e655f 61706900 40000045 _engine_api.@..E 3cd10: 63085f69 6e697400 0000436e 02230008 c._init...Cn.#.. 3cd20: 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. 3cd30: 00437e02 2304085f 696e6974 5f74785f .C~.#.._init_tx_ 3cd40: 71756575 65000000 438e0223 08085f63 queue...C..#.._c 3cd50: 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. 3cd60: 00439702 230c085f 786d6974 5f627566 .C..#.._xmit_buf 3cd70: 00000043 a0022310 085f666c 7573685f ...C..#.._flush_ 3cd80: 786d6974 00000043 7e022314 085f7265 xmit...C~.#.._re 3cd90: 61705f72 6563765f 62756600 000043ad ap_recv_buf...C. 3cda0: 02231808 5f726574 75726e5f 72656376 .#.._return_recv 3cdb0: 5f627566 00000043 b602231c 085f7265 _buf...C..#.._re 3cdc0: 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... 3cdd0: 43c30223 20085f73 7761705f 64617461 C..# ._swap_data 3cde0: 00000043 cc022324 085f6861 735f636f ...C..#$._has_co 3cdf0: 6d706c5f 7061636b 65747300 000043d9 mpl_packets...C. 3ce00: 02232808 5f646573 635f6475 6d700000 .#(._desc_dump.. 3ce10: 00437e02 232c085f 6765745f 7061636b .C~.#,._get_pack 3ce20: 65740000 0043e602 2330085f 7265636c et...C..#0._recl 3ce30: 61696d5f 7061636b 65740000 0043ef02 aim_packet...C.. 3ce40: 2334085f 7075745f 7061636b 65740000 #4._put_packet.. 3ce50: 0043ef02 23380870 52657365 72766564 .C..#8.pReserved 3ce60: 00000004 0402233c 00095f41 5f636d6e ......#<.._A_cmn 3ce70: 6f735f69 6e646972 65637469 6f6e5f74 os_indirection_t 3ce80: 61626c65 5f740000 0030a209 574d495f able_t...0..WMI_ 3ce90: 5356435f 41504953 00000041 0b175f41 SVC_APIS...A.._A 3cea0: 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect 3ceb0: 696f6e5f 7461626c 6500034c 00004691 ion_table..L..F. 3cec0: 08636d6e 6f730000 00456302 23000864 .cmnos...Ec.#..d 3ced0: 62670000 0003d103 23b80308 68696600 bg......#...hif. 3cee0: 0000294b 0323c003 08687463 0000003a ..)K.#...htc...: 3cef0: be0323f8 0308776d 695f7376 635f6170 ..#...wmi_svc_ap 3cf00: 69000000 45850323 ac040875 73626669 i...E..#...usbfi 3cf10: 666f5f61 70690000 00327603 23d80408 fo_api...2v.#... 3cf20: 6275665f 706f6f6c 00000037 1f0323e4 buf_pool...7..#. 3cf30: 04087662 75660000 00145e03 23800508 ..vbuf....^.#... 3cf40: 76646573 63000000 13400323 94050861 vdesc....@.#...a 3cf50: 6c6c6f63 72616d00 00000936 0323a805 llocram....6.#.. 3cf60: 08646d61 5f656e67 696e6500 000043f6 .dma_engine...C. 3cf70: 0323b405 08646d61 5f6c6962 0000002b .#...dma_lib...+ 3cf80: df0323f4 05086869 665f7063 69000000 ..#...hif_pci... 3cf90: 2e3f0323 a8060009 5f415f6d 61677069 .?.#...._A_magpi 3cfa0: 655f696e 64697265 6374696f 6e5f7461 e_indirection_ta 3cfb0: 626c655f 74000000 45971404 00004716 ble_t...E.....G. 3cfc0: 0e574454 5f414354 494f4e5f 4e4f0000 .WDT_ACTION_NO.. 3cfd0: 0e574454 5f414354 494f4e5f 494e5452 .WDT_ACTION_INTR 3cfe0: 00010e57 44545f41 4354494f 4e5f4e4d ...WDT_ACTION_NM 3cff0: 4900020e 5744545f 41435449 4f4e5f52 I...WDT_ACTION_R 3d000: 45534554 00030e57 44545f41 4354494f ESET...WDT_ACTIO 3d010: 4e5f554e 4b4e4f57 4e000400 09545f57 N_UNKNOWN....T_W 3d020: 44545f41 4354494f 4e5f5459 50450000 DT_ACTION_TYPE.. 3d030: 0046b412 0c000047 61087374 61746500 .F.....Ga.state. 3d040: 000007f4 02230008 61637469 6f6e0000 .....#..action.. 3d050: 00471602 23040874 696d656f 75740000 .G..#..timeout.. 3d060: 0001ac02 23080009 545f5744 545f4354 ....#...T_WDT_CT 3d070: 524c0000 00472d1a 7764745f 6374726c RL...G-.wdt_ctrl 3d080: 00000047 61050300 500a3801 03000001 ...Ga...P.8..... 3d090: ac040003 00000e0b 04000201 03000043 ...............C 3d0a0: 6c040003 0000436c 04000300 00436c04 l.....Cl.....Cl. 3d0b0: 00030000 436c0400 1b011763 6d6e6f73 ....Cl.....cmnos 3d0c0: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. 3d0d0: 000ded01 01039201 20029000 008e2ce4 ........ .....,. 3d0e0: 008e2d0f 1c013763 6d6e6f73 5f776474 ..-...7cmnos_wdt 3d0f0: 5f726573 65740001 03920120 02900000 _reset..... .... 3d100: 8e2d1000 8e2d2a1d 0143636d 6e6f735f .-...-*..Ccmnos_ 3d110: 7764745f 73657400 01010392 01200290 wdt_set...... .. 3d120: 00008e2d 2c008e2d 54000048 391e0143 ...-,..-T..H9..C 3d130: 7764745f 636d6400 00000d88 0152001f wdt_cmd......R.. 3d140: 015d636d 6e6f735f 7764745f 656e6162 .]cmnos_wdt_enab 3d150: 6c650001 03920130 02900000 8e2d5400 le.....0.....-T. 3d160: 8e2d7200 00487220 7764745f 636d6400 .-r..Hr wdt_cmd. 3d170: 00000d88 02915000 1f016c63 6d6e6f73 ......P...lcmnos 3d180: 5f776474 5f646973 61626c65 00010392 _wdt_disable.... 3d190: 01300290 00008e2d 74008e2d 90000048 .0.....-t..-...H 3d1a0: ac207764 745f636d 64000000 0d880291 . wdt_cmd....... 3d1b0: 50001d01 7e636d6e 6f735f77 64745f69 P...~cmnos_wdt_i 3d1c0: 6e697400 01010392 01300290 00008e2d nit......0.....- 3d1d0: 90008e2d b8000048 e4207764 745f636d ...-...H. wdt_cm 3d1e0: 64000000 0d880291 50001c01 94636d6e d.......P....cmn 3d1f0: 6f735f77 64745f74 61736b00 01039201 os_wdt_task..... 3d200: 20029000 008e2db8 008e2dd0 21019f63 .....-...-.!..c 3d210: 6d6e6f73 5f776474 5f6d6f64 756c655f mnos_wdt_module_ 3d220: 696e7374 616c6c00 01010392 01200290 install...... .. 3d230: 00008e2d d0008e2d f81e019f 74626c00 ...-...-....tbl. 3d240: 0000478d 01520000 00000049 a9000200 ..G..R.....I.... 3d250: 00160404 012f726f 6f742f57 6f726b73 ...../root/Works 3d260: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 3d270: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 3d280: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 3d290: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 3d2a0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 3d2b0: 6f6d2f63 6d6e6f73 2f756172 742f7372 om/cmnos/uart/sr 3d2c0: 632f7561 72745f61 70692e63 002f726f c/uart_api.c./ro 3d2d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 3d2e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 3d2f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 3d300: 636d6e6f 732f7561 72740078 742d7863 cmnos/uart.xt-xc 3d310: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT 3d320: 3a616c69 676e5f69 6e737472 75637469 :align_instructi 3d330: 6f6e733d 3332202d 4f32202d 6733202d ons=32 -O2 -g3 - 3d340: 4f50543a 73706163 65000100 0000d3c7 OPT:space....... 3d350: 02010300 00010704 0004696e 74000504 ..........int... 3d360: 04636861 72000701 05000001 17050000 .char........... 3d370: 01170300 00012404 00060000 01100103 ......$......... 3d380: 00000130 04000770 72696e74 665f6170 ...0...printf_ap 3d390: 69000800 00017408 5f707269 6e74665f i.....t._printf_ 3d3a0: 696e6974 00000001 09022300 085f7072 init......#.._pr 3d3b0: 696e7466 00000001 36022304 00047368 intf....6.#...sh 3d3c0: 6f727420 756e7369 676e6564 20696e74 ort unsigned int 3d3d0: 00070209 75696e74 31365f74 00000001 ....uint16_t.... 3d3e0: 74046c6f 6e672075 6e736967 6e656420 t.long unsigned 3d3f0: 696e7400 07040975 696e7433 325f7400 int....uint32_t. 3d400: 00000198 07756172 745f6669 666f0008 .....uart_fifo.. 3d410: 00000206 08737461 72745f69 6e646578 .....start_index 3d420: 00000001 8a022300 08656e64 5f696e64 ......#..end_ind 3d430: 65780000 00018a02 2302086f 76657272 ex......#..overr 3d440: 756e5f65 72720000 0001ad02 23040007 un_err......#... 3d450: 75617274 5f617069 00200000 02bf085f uart_api. ....._ 3d460: 75617274 5f696e69 74000000 03160223 uart_init......# 3d470: 00085f75 6172745f 63686172 5f707574 .._uart_char_put 3d480: 00000003 3d022304 085f7561 72745f63 ....=.#.._uart_c 3d490: 6861725f 67657400 00000351 02230808 har_get....Q.#.. 3d4a0: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... 3d4b0: 035a0223 0c085f75 6172745f 7461736b .Z.#.._uart_task 3d4c0: 00000001 09022310 085f7561 72745f73 ......#.._uart_s 3d4d0: 74617475 73000000 03160223 14085f75 tatus......#.._u 3d4e0: 6172745f 636f6e66 69670000 00036302 art_config....c. 3d4f0: 2318085f 75617274 5f687769 6e697400 #.._uart_hwinit. 3d500: 0000036c 02231c00 03000002 06040007 ...l.#.......... 3d510: 75617274 5f626c6b 00100000 03100864 uart_blk.......d 3d520: 65627567 5f6d6f64 65000000 018a0223 ebug_mode......# 3d530: 00086261 75640000 00018a02 2302085f ..baud......#.._ 3d540: 75617274 00000002 bf022304 085f7478 uart......#.._tx 3d550: 00000001 bb022308 00060000 01ad0103 ......#......... 3d560: 00000310 04000475 6e736967 6e656420 .......unsigned 3d570: 63686172 00070109 75696e74 385f7400 char....uint8_t. 3d580: 0000031d 02010300 00033b04 00030000 ..........;..... 3d590: 032e0400 06000001 8a010300 00034b04 ..............K. 3d5a0: 00020103 00000358 04000201 03000003 .......X........ 3d5b0: 61040002 01030000 036a0400 03000001 a........j...... 3d5c0: 17040006 00000110 01030000 037a0400 .............z.. 3d5d0: 0744425f 434f4d4d 414e445f 53545255 .DB_COMMAND_STRU 3d5e0: 4354000c 000003d2 08636d64 5f737472 CT.......cmd_str 3d5f0: 00000003 73022300 0868656c 705f7374 ....s.#..help_st 3d600: 72000000 03730223 0408636d 645f6675 r....s.#..cmd_fu 3d610: 6e630000 00038002 23080007 6462675f nc......#...dbg_ 3d620: 61706900 08000004 05085f64 62675f69 api......._dbg_i 3d630: 6e697400 00000109 02230008 5f646267 nit......#.._dbg 3d640: 5f746173 6b000000 01090223 04000a04 _task......#.... 3d650: 0004756e 7369676e 65642069 6e740007 ..unsigned int.. 3d660: 04060000 04050103 00000418 04000b0b ................ 3d670: 03000004 26040006 00000405 01030000 ....&........... 3d680: 042e0400 06000001 10010300 00043b04 ..............;. 3d690: 00076d65 6d5f6170 69001400 0004aa08 ..mem_api....... 3d6a0: 5f6d656d 5f696e69 74000000 01090223 _mem_init......# 3d6b0: 00085f6d 656d7365 74000000 041e0223 .._memset......# 3d6c0: 04085f6d 656d6370 79000000 04340223 .._memcpy....4.# 3d6d0: 08085f6d 656d6d6f 76650000 00043402 .._memmove....4. 3d6e0: 230c085f 6d656d63 6d700000 00044102 #.._memcmp....A. 3d6f0: 2310000c 72656769 73746572 5f64756d #...register_dum 3d700: 705f7300 00010300 0004aa04 00020103 p_s............. 3d710: 000004c4 04000201 03000004 cd040006 ................ 3d720: 00000110 01030000 04d60400 0d686f73 .............hos 3d730: 7469665f 73000400 0005320e 4849465f tif_s.....2.HIF_ 3d740: 55534200 000e4849 465f5043 49450001 USB...HIF_PCIE.. 3d750: 0e484946 5f474d41 4300020e 4849465f .HIF_GMAC...HIF_ 3d760: 50434900 030e4849 465f4e55 4d00040e PCI...HIF_NUM... 3d770: 4849465f 4e4f4e45 00050009 415f484f HIF_NONE....A_HO 3d780: 53544946 00000004 e3060000 05320103 STIF.........2.. 3d790: 00000540 04000600 00032e01 03000005 ...@............ 3d7a0: 4d040006 0000018a 01030000 055a0400 M............Z.. 3d7b0: 076d6973 635f6170 69002400 00064a08 .misc_api.$...J. 3d7c0: 5f737973 74656d5f 72657365 74000000 _system_reset... 3d7d0: 01090223 00085f6d 61635f72 65736574 ...#.._mac_reset 3d7e0: 00000001 09022304 085f6173 73666169 ......#.._assfai 3d7f0: 6c000000 04c60223 08085f6d 6973616c l......#.._misal 3d800: 69676e65 645f6c6f 61645f68 616e646c igned_load_handl 3d810: 65720000 0004c602 230c085f 7265706f er......#.._repo 3d820: 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho 3d830: 73740000 0004cf02 2310085f 74617267 st......#.._targ 3d840: 65745f69 645f6765 74000000 04dc0223 et_id_get......# 3d850: 14085f69 735f686f 73745f70 72657365 .._is_host_prese 3d860: 6e740000 00054602 2318085f 6b626869 nt....F.#.._kbhi 3d870: 74000000 05530223 1c085f72 6f6d5f76 t....S.#.._rom_v 3d880: 65727369 6f6e5f67 65740000 00056002 ersion_get....`. 3d890: 23200006 00000373 01030000 064a0400 # .....s.....J.. 3d8a0: 06000003 73010300 00065704 00060000 ....s.....W..... 3d8b0: 01100103 00000664 04000600 00011001 .......d........ 3d8c0: 03000006 71040006 00000110 01030000 ....q........... 3d8d0: 067e0400 07737472 696e675f 61706900 .~...string_api. 3d8e0: 18000007 04085f73 7472696e 675f696e ......_string_in 3d8f0: 69740000 00010902 2300085f 73747263 it......#.._strc 3d900: 70790000 00065002 2304085f 7374726e py....P.#.._strn 3d910: 63707900 0000065d 02230808 5f737472 cpy....].#.._str 3d920: 6c656e00 0000066a 02230c08 5f737472 len....j.#.._str 3d930: 636d7000 00000677 02231008 5f737472 cmp....w.#.._str 3d940: 6e636d70 00000006 84022314 000f0000 ncmp......#..... 3d950: 04081400 00071110 0400095f 415f5449 ..........._A_TI 3d960: 4d45525f 53504143 45000000 07040941 MER_SPACE......A 3d970: 5f74696d 65725f74 00000007 11030000 _timer_t........ 3d980: 07250400 02010300 00073b04 00020103 .%........;..... 3d990: 00000744 04000941 5f48414e 444c4500 ...D...A_HANDLE. 3d9a0: 00000408 02010941 5f54494d 45525f46 .......A_TIMER_F 3d9b0: 554e4300 0000075b 03000007 5d040002 UNC....[....]... 3d9c0: 01030000 07760400 0774696d 65725f61 .....v...timer_a 3d9d0: 70690014 000007f5 085f7469 6d65725f pi......._timer_ 3d9e0: 696e6974 00000001 09022300 085f7469 init......#.._ti 3d9f0: 6d65725f 61726d00 0000073d 02230408 mer_arm....=.#.. 3da00: 5f74696d 65725f64 69736172 6d000000 _timer_disarm... 3da10: 07460223 08085f74 696d6572 5f736574 .F.#.._timer_set 3da20: 666e0000 00077802 230c085f 74696d65 fn....x.#.._time 3da30: 725f7275 6e000000 01090223 10000942 r_run......#...B 3da40: 4f4f4c45 414e0000 00018a06 000007f5 OOLEAN.......... 3da50: 01030000 08020400 06000007 f5010300 ................ 3da60: 00080f04 00060000 07f50103 0000081c ................ 3da70: 04000772 6f6d705f 61706900 10000008 ...romp_api..... 3da80: 8e085f72 6f6d705f 696e6974 00000001 .._romp_init.... 3da90: 09022300 085f726f 6d705f64 6f776e6c ..#.._romp_downl 3daa0: 6f616400 00000808 02230408 5f726f6d oad......#.._rom 3dab0: 705f696e 7374616c 6c000000 08150223 p_install......# 3dac0: 08085f72 6f6d705f 6465636f 64650000 .._romp_decode.. 3dad0: 00082202 230c0007 726f6d5f 70617463 ..".#...rom_patc 3dae0: 685f7374 00100000 08ea0863 72633136 h_st.......crc16 3daf0: 00000001 8a022300 086c656e 00000001 ......#..len.... 3db00: 8a022302 086c645f 61646472 00000001 ..#..ld_addr.... 3db10: ad022304 0866756e 5f616464 72000000 ..#..fun_addr... 3db20: 01ad0223 08087066 756e0000 00034402 ...#..pfun....D. 3db30: 230c0007 6565705f 72656469 725f6164 #...eep_redir_ad 3db40: 64720004 0000091c 086f6666 73657400 dr.......offset. 3db50: 0000018a 02230008 73697a65 00000001 .....#..size.... 3db60: 8a022302 0009415f 55494e54 33320000 ..#...A_UINT32.. 3db70: 00040806 00000405 01030000 092a0400 .............*.. 3db80: 07616c6c 6f637261 6d5f6170 69000c00 .allocram_api... 3db90: 00099b08 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr 3dba0: 616d5f69 6e697400 00000930 02230008 am_init....0.#.. 3dbb0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 3dbc0: 00093002 23040863 6d6e6f73 5f616c6c ..0.#..cmnos_all 3dbd0: 6f637261 6d5f6465 62756700 00000109 ocram_debug..... 3dbe0: 02230800 02010300 00099b04 0009415f .#............A_ 3dbf0: 5441534b 4c45545f 46554e43 00000009 TASKLET_FUNC.... 3dc00: 9d075f74 61736b6c 65740010 000009fc .._tasklet...... 3dc10: 0866756e 63000000 09a40223 00086172 .func......#..ar 3dc20: 67000000 04050223 04087374 61746500 g......#..state. 3dc30: 00000110 02230808 6e657874 00000009 .....#..next.... 3dc40: fc02230c 00030000 09b80400 03000009 ..#............. 3dc50: b8040009 415f7461 736b6c65 745f7400 ....A_tasklet_t. 3dc60: 000009b8 0300000a 0a040002 01030000 ................ 3dc70: 0a220400 02010300 000a2b04 00077461 ."........+...ta 3dc80: 736b6c65 745f6170 69001400 000ac008 sklet_api....... 3dc90: 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... 3dca0: 01090223 00085f74 61736b6c 65745f69 ...#.._tasklet_i 3dcb0: 6e69745f 7461736b 0000000a 24022304 nit_task....$.#. 3dcc0: 085f7461 736b6c65 745f6469 7361626c ._tasklet_disabl 3dcd0: 65000000 0a2d0223 08085f74 61736b6c e....-.#.._taskl 3dce0: 65745f73 63686564 756c6500 00000a2d et_schedule....- 3dcf0: 02230c08 5f746173 6b6c6574 5f72756e .#.._tasklet_run 3dd00: 00000001 09022310 00020103 00000ac0 ......#......... 3dd10: 04000600 00091c01 0300000a c9040002 ................ 3dd20: 01030000 0ad60400 07636c6f 636b5f61 .........clock_a 3dd30: 70690024 00000bb8 085f636c 6f636b5f pi.$....._clock_ 3dd40: 696e6974 0000000a c2022300 085f636c init......#.._cl 3dd50: 6f636b72 6567735f 696e6974 00000001 ockregs_init.... 3dd60: 09022304 085f7561 72745f66 72657175 ..#.._uart_frequ 3dd70: 656e6379 0000000a cf022308 085f6465 ency......#.._de 3dd80: 6c61795f 75730000 000ad802 230c085f lay_us......#.._ 3dd90: 776c616e 5f62616e 645f7365 74000000 wlan_band_set... 3dda0: 0ad80223 10085f72 6566636c 6b5f7370 ...#.._refclk_sp 3ddb0: 6565645f 67657400 00000acf 02231408 eed_get......#.. 3ddc0: 5f6d696c 6c697365 636f6e64 73000000 _milliseconds... 3ddd0: 0acf0223 18085f73 7973636c 6b5f6368 ...#.._sysclk_ch 3dde0: 616e6765 00000001 0902231c 085f636c ange......#.._cl 3ddf0: 6f636b5f 7469636b 00000001 09022320 ock_tick......# 3de00: 00060000 01ad0103 00000bb8 04000941 ...............A 3de10: 5f6f6c64 5f696e74 725f7400 000001ad _old_intr_t..... 3de20: 0600000b c5010300 000bd704 00020103 ................ 3de30: 00000be4 04000201 0300000b ed040006 ................ 3de40: 000001ad 01030000 0bf60400 09415f69 .............A_i 3de50: 73725f74 0000000b fc020103 00000c10 sr_t............ 3de60: 04000600 00040801 0300000c 19040002 ................ 3de70: 01030000 0c260400 07696e74 725f6170 .....&...intr_ap 3de80: 69002c00 000d4808 5f696e74 725f696e i.,...H._intr_in 3de90: 69740000 00010902 2300085f 696e7472 it......#.._intr 3dea0: 5f696e76 6f6b655f 69737200 00000bbe _invoke_isr..... 3deb0: 02230408 5f696e74 725f6469 7361626c .#.._intr_disabl 3dec0: 65000000 0bdd0223 08085f69 6e74725f e......#.._intr_ 3ded0: 72657374 6f726500 00000be6 02230c08 restore......#.. 3dee0: 5f696e74 725f6d61 736b5f69 6e756d00 _intr_mask_inum. 3def0: 00000bef 02231008 5f696e74 725f756e .....#.._intr_un 3df00: 6d61736b 5f696e75 6d000000 0bef0223 mask_inum......# 3df10: 14085f69 6e74725f 61747461 63685f69 .._intr_attach_i 3df20: 73720000 000c1202 2318085f 6765745f sr......#.._get_ 3df30: 696e7472 656e6162 6c650000 000c1f02 intrenable...... 3df40: 231c085f 7365745f 696e7472 656e6162 #.._set_intrenab 3df50: 6c650000 000c2802 2320085f 6765745f le....(.# ._get_ 3df60: 696e7472 70656e64 696e6700 00000c1f intrpending..... 3df70: 02232408 5f756e62 6c6f636b 5f616c6c .#$._unblock_all 3df80: 5f696e74 726c766c 00000001 09022328 _intrlvl......#( 3df90: 00110400 000d6e08 74696d65 6f757400 ......n.timeout. 3dfa0: 000001ad 02230008 61637469 6f6e0000 .....#..action.. 3dfb0: 0001ad02 23000012 0800000d 8908636d ....#.........cm 3dfc0: 64000000 01ad0223 00130000 0d480223 d......#.....H.# 3dfd0: 04000954 5f574454 5f434d44 0000000d ...T_WDT_CMD.... 3dfe0: 6e020103 00000d98 04001404 00000dee n............... 3dff0: 0e454e55 4d5f5744 545f424f 4f540001 .ENUM_WDT_BOOT.. 3e000: 0e454e55 4d5f434f 4c445f42 4f4f5400 .ENUM_COLD_BOOT. 3e010: 020e454e 554d5f53 5553505f 424f4f54 ..ENUM_SUSP_BOOT 3e020: 00030e45 4e554d5f 554e4b4e 4f574e5f ...ENUM_UNKNOWN_ 3e030: 424f4f54 00040009 545f424f 4f545f54 BOOT....T_BOOT_T 3e040: 59504500 00000da1 0600000d ee010300 YPE............. 3e050: 000dff04 00077764 745f6170 69001c00 ......wdt_api... 3e060: 000ea308 5f776474 5f696e69 74000000 ...._wdt_init... 3e070: 01090223 00085f77 64745f65 6e61626c ...#.._wdt_enabl 3e080: 65000000 01090223 04085f77 64745f64 e......#.._wdt_d 3e090: 69736162 6c650000 00010902 2308085f isable......#.._ 3e0a0: 7764745f 73657400 00000d9a 02230c08 wdt_set......#.. 3e0b0: 5f776474 5f746173 6b000000 01090223 _wdt_task......# 3e0c0: 10085f77 64745f72 65736574 00000001 .._wdt_reset.... 3e0d0: 09022314 085f7764 745f6c61 73745f62 ..#.._wdt_last_b 3e0e0: 6f6f7400 00000e05 02231800 14040000 oot......#...... 3e0f0: 0f0a0e52 45545f53 55434345 53530000 ...RET_SUCCESS.. 3e100: 0e524554 5f4e4f54 5f494e49 5400010e .RET_NOT_INIT... 3e110: 5245545f 4e4f545f 45584953 5400020e RET_NOT_EXIST... 3e120: 5245545f 4545505f 434f5252 55505400 RET_EEP_CORRUPT. 3e130: 030e5245 545f4545 505f4f56 4552464c ..RET_EEP_OVERFL 3e140: 4f570004 0e524554 5f554e4b 4e4f574e OW...RET_UNKNOWN 3e150: 00050009 545f4545 505f5245 54000000 ....T_EEP_RET... 3e160: 0ea30300 00018a04 00060000 0f0a0103 ................ 3e170: 00000f20 04000600 000f0a01 0300000f ... ............ 3e180: 2d040007 6565705f 61706900 1000000f -...eep_api..... 3e190: 96085f65 65705f69 6e697400 00000109 .._eep_init..... 3e1a0: 02230008 5f656570 5f726561 64000000 .#.._eep_read... 3e1b0: 0f260223 04085f65 65705f77 72697465 .&.#.._eep_write 3e1c0: 0000000f 26022308 085f6565 705f6973 ....&.#.._eep_is 3e1d0: 5f657869 73740000 000f3302 230c0007 _exist....3.#... 3e1e0: 7573625f 61706900 70000012 43085f75 usb_api.p...C._u 3e1f0: 73625f69 6e697400 00000109 02230008 sb_init......#.. 3e200: 5f757362 5f726f6d 5f746173 6b000000 _usb_rom_task... 3e210: 01090223 04085f75 73625f66 775f7461 ...#.._usb_fw_ta 3e220: 736b0000 00010902 2308085f 7573625f sk......#.._usb_ 3e230: 696e6974 5f706879 00000001 0902230c init_phy......#. 3e240: 085f7573 625f6570 305f7365 74757000 ._usb_ep0_setup. 3e250: 00000109 02231008 5f757362 5f657030 .....#.._usb_ep0 3e260: 5f747800 00000109 02231408 5f757362 _tx......#.._usb 3e270: 5f657030 5f727800 00000109 02231808 _ep0_rx......#.. 3e280: 5f757362 5f676574 5f696e74 65726661 _usb_get_interfa 3e290: 63650000 00081502 231c085f 7573625f ce......#.._usb_ 3e2a0: 7365745f 696e7465 72666163 65000000 set_interface... 3e2b0: 08150223 20085f75 73625f67 65745f63 ...# ._usb_get_c 3e2c0: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... 3e2d0: 15022324 085f7573 625f7365 745f636f ..#$._usb_set_co 3e2e0: 6e666967 75726174 696f6e00 00000815 nfiguration..... 3e2f0: 02232808 5f757362 5f737461 6e646172 .#(._usb_standar 3e300: 645f636d 64000000 08150223 2c085f75 d_cmd......#,._u 3e310: 73625f76 656e646f 725f636d 64000000 sb_vendor_cmd... 3e320: 01090223 30085f75 73625f70 6f776572 ...#0._usb_power 3e330: 5f6f6666 00000001 09022334 085f7573 _off......#4._us 3e340: 625f7265 7365745f 6669666f 00000001 b_reset_fifo.... 3e350: 09022338 085f7573 625f6765 6e5f7764 ..#8._usb_gen_wd 3e360: 74000000 01090223 3c085f75 73625f6a t......#<._usb_j 3e370: 756d705f 626f6f74 00000001 09022340 ump_boot......#@ 3e380: 085f7573 625f636c 725f6665 61747572 ._usb_clr_featur 3e390: 65000000 08150223 44085f75 73625f73 e......#D._usb_s 3e3a0: 65745f66 65617475 72650000 00081502 et_feature...... 3e3b0: 2348085f 7573625f 7365745f 61646472 #H._usb_set_addr 3e3c0: 65737300 00000815 02234c08 5f757362 ess......#L._usb 3e3d0: 5f676574 5f646573 63726970 746f7200 _get_descriptor. 3e3e0: 00000815 02235008 5f757362 5f676574 .....#P._usb_get 3e3f0: 5f737461 74757300 00000815 02235408 _status......#T. 3e400: 5f757362 5f736574 75705f64 65736300 _usb_setup_desc. 3e410: 00000109 02235808 5f757362 5f726567 .....#X._usb_reg 3e420: 5f6f7574 00000001 0902235c 085f7573 _out......#\._us 3e430: 625f7374 61747573 5f696e00 00000109 b_status_in..... 3e440: 02236008 5f757362 5f657030 5f74785f .#`._usb_ep0_tx_ 3e450: 64617461 00000001 09022364 085f7573 data......#d._us 3e460: 625f6570 305f7278 5f646174 61000000 b_ep0_rx_data... 3e470: 01090223 68085f75 73625f63 6c6b5f69 ...#h._usb_clk_i 3e480: 6e697400 00000109 02236c00 075f5644 nit......#l.._VD 3e490: 45534300 24000012 cf086e65 78745f64 ESC.$.....next_d 3e4a0: 65736300 000012cf 02230008 6275665f esc......#..buf_ 3e4b0: 61646472 00000012 e3022304 08627566 addr......#..buf 3e4c0: 5f73697a 65000000 12ea0223 08086461 _size......#..da 3e4d0: 74615f6f 66667365 74000000 12ea0223 ta_offset......# 3e4e0: 0a086461 74615f73 697a6500 000012ea ..data_size..... 3e4f0: 02230c08 636f6e74 726f6c00 000012ea .#..control..... 3e500: 02230e08 68775f64 6573635f 62756600 .#..hw_desc_buf. 3e510: 000012f8 02231000 03000012 43040009 .....#......C... 3e520: 415f5549 4e543800 0000031d 03000012 A_UINT8......... 3e530: d6040009 415f5549 4e543136 00000001 ....A_UINT16.... 3e540: 740f0000 12d61400 00130510 13000300 t............... 3e550: 00124304 00095644 45534300 00001243 ..C...VDESC....C 3e560: 03000013 0c040006 00001317 01030000 ................ 3e570: 131e0400 06000012 e3010300 00132b04 ..............+. 3e580: 00020103 00001338 04000776 64657363 .......8...vdesc 3e590: 5f617069 00140000 13b0085f 696e6974 _api......._init 3e5a0: 0000000a d8022300 085f616c 6c6f635f ......#.._alloc_ 3e5b0: 76646573 63000000 13240223 04085f67 vdesc....$.#.._g 3e5c0: 65745f68 775f6465 73630000 00133102 et_hw_desc....1. 3e5d0: 2308085f 73776170 5f766465 73630000 #.._swap_vdesc.. 3e5e0: 00133a02 230c0870 52657365 72766564 ..:.#..pReserved 3e5f0: 00000004 05022310 00075f56 42554600 ......#..._VBUF. 3e600: 20000014 10086465 73635f6c 69737400 .....desc_list. 3e610: 00001317 02230008 6e657874 5f627566 .....#..next_buf 3e620: 00000014 10022304 08627566 5f6c656e ......#..buf_len 3e630: 67746800 000012ea 02230808 72657365 gth......#..rese 3e640: 72766564 00000014 1702230a 08637478 rved......#..ctx 3e650: 00000012 f802230c 00030000 13b00400 ......#......... 3e660: 0f000012 d6020000 14241001 00030000 .........$...... 3e670: 13b00400 09564255 46000000 13b00300 .....VBUF....... 3e680: 00142b04 00060000 14350103 0000143c ..+......5.....< 3e690: 04000600 00143501 03000014 49040002 ......5.....I... 3e6a0: 01030000 14560400 07766275 665f6170 .....V...vbuf_ap 3e6b0: 69001400 0014d408 5f696e69 74000000 i......._init... 3e6c0: 0ad80223 00085f61 6c6c6f63 5f766275 ...#.._alloc_vbu 3e6d0: 66000000 14420223 04085f61 6c6c6f63 f....B.#.._alloc 3e6e0: 5f766275 665f7769 74685f73 697a6500 _vbuf_with_size. 3e6f0: 0000144f 02230808 5f667265 655f7662 ...O.#.._free_vb 3e700: 75660000 00145802 230c0870 52657365 uf....X.#..pRese 3e710: 72766564 00000004 05022310 00075f5f rved......#...__ 3e720: 6164665f 64657669 63650004 000014f6 adf_device...... 3e730: 0864756d 6d790000 00011002 23000003 .dummy......#... 3e740: 0000091c 0400075f 5f616466 5f646d61 .......__adf_dma 3e750: 5f6d6170 000c0000 153d0862 75660000 _map.....=.buf.. 3e760: 00143502 23000864 735f6164 64720000 ..5.#..ds_addr.. 3e770: 0014f602 23040864 735f6c65 6e000000 ....#..ds_len... 3e780: 12ea0223 0800120c 00001577 085f5f76 ...#.......w.__v 3e790: 615f7374 6b000000 03730223 00085f5f a_stk....s.#..__ 3e7a0: 76615f72 65670000 00037302 2304085f va_reg....s.#.._ 3e7b0: 5f76615f 6e647800 00000110 02230800 _va_ndx......#.. 3e7c0: 095f5f61 64665f6f 735f646d 615f6164 .__adf_os_dma_ad 3e7d0: 64725f74 00000009 1c096164 665f6f73 dr_t......adf_os 3e7e0: 5f646d61 5f616464 725f7400 00001577 _dma_addr_t....w 3e7f0: 095f5f61 64665f6f 735f646d 615f7369 .__adf_os_dma_si 3e800: 7a655f74 00000009 1c096164 665f6f73 ze_t......adf_os 3e810: 5f646d61 5f73697a 655f7400 000015a7 _dma_size_t..... 3e820: 075f5f64 6d615f73 65677300 08000016 .__dma_segs..... 3e830: 03087061 64647200 00001590 02230008 ..paddr......#.. 3e840: 6c656e00 000015c0 02230400 095f5f61 len......#...__a 3e850: 5f75696e 7433325f 74000000 091c0961 _uint32_t......a 3e860: 5f75696e 7433325f 74000000 16030f00 _uint32_t....... 3e870: 0015d708 00001632 10000007 6164665f .......2....adf_ 3e880: 6f735f64 6d616d61 705f696e 666f000c os_dmamap_info.. 3e890: 0000166b 086e7365 67730000 00161502 ...k.nsegs...... 3e8a0: 23000864 6d615f73 65677300 00001625 #..dma_segs....% 3e8b0: 02230400 095f5f61 5f75696e 74385f74 .#...__a_uint8_t 3e8c0: 00000012 d609615f 75696e74 385f7400 ......a_uint8_t. 3e8d0: 0000166b 03000016 7c040007 5f5f7367 ...k....|...__sg 3e8e0: 5f736567 73000800 0016bd08 76616464 _segs.......vadd 3e8f0: 72000000 168b0223 00086c65 6e000000 r......#..len... 3e900: 16150223 04000f00 00169220 000016ca ...#....... .... 3e910: 10030007 6164665f 6f735f73 676c6973 ....adf_os_sglis 3e920: 74002400 0016fd08 6e736567 73000000 t.$.....nsegs... 3e930: 16150223 00087367 5f736567 73000000 ...#..sg_segs... 3e940: 16bd0223 04001210 00001746 0876656e ...#.......F.ven 3e950: 646f7200 00001615 02230008 64657669 dor......#..devi 3e960: 63650000 00161502 23040873 75627665 ce......#..subve 3e970: 6e646f72 00000016 15022308 08737562 ndor......#..sub 3e980: 64657669 63650000 00161502 230c0004 device......#... 3e990: 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign 3e9a0: 65642069 6e740007 0809415f 55494e54 ed int....A_UINT 3e9b0: 36340000 00174609 5f5f615f 75696e74 64....F.__a_uint 3e9c0: 36345f74 00000017 6009615f 75696e74 64_t....`.a_uint 3e9d0: 36345f74 00000017 6e140400 0017cc0e 64_t....n....... 3e9e0: 4144465f 4f535f52 45534f55 5243455f ADF_OS_RESOURCE_ 3e9f0: 54595045 5f4d454d 00000e41 44465f4f TYPE_MEM...ADF_O 3ea00: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ 3ea10: 494f0001 00096164 665f6f73 5f726573 IO....adf_os_res 3ea20: 6f757263 655f7479 70655f74 00000017 ource_type_t.... 3ea30: 90121800 00181608 73746172 74000000 ........start... 3ea40: 17800223 0008656e 64000000 17800223 ...#..end......# 3ea50: 08087479 70650000 0017cc02 23100009 ..type......#... 3ea60: 6164665f 6f735f70 63695f64 65765f69 adf_os_pci_dev_i 3ea70: 645f7400 000016fd 03000018 16040011 d_t............. 3ea80: 04000018 55087063 69000000 182f0223 ....U.pci..../.# 3ea90: 00087261 77000000 04050223 00001110 ..raw......#.... 3eaa0: 00001874 08706369 00000018 16022300 ...t.pci......#. 3eab0: 08726177 00000004 05022300 00096164 .raw......#...ad 3eac0: 665f6472 765f6861 6e646c65 5f740000 f_drv_handle_t.. 3ead0: 00040509 6164665f 6f735f72 65736f75 ....adf_os_resou 3eae0: 7263655f 74000000 17e80300 00188a04 rce_t........... 3eaf0: 00096164 665f6f73 5f617474 6163685f ..adf_os_attach_ 3eb00: 64617461 5f740000 00185503 000018a8 data_t....U..... 3eb10: 04000300 0014d404 00095f5f 6164665f ..........__adf_ 3eb20: 6f735f64 65766963 655f7400 000018c9 os_device_t..... 3eb30: 09616466 5f6f735f 64657669 63655f74 .adf_os_device_t 3eb40: 00000018 d0060000 18740103 000018fc .........t...... 3eb50: 04000201 03000019 09040009 6164665f ............adf_ 3eb60: 6f735f70 6d5f7400 00000405 02010300 os_pm_t......... 3eb70: 00192304 00140400 0019630e 4144465f ..#.......c.ADF_ 3eb80: 4f535f42 55535f54 5950455f 50434900 OS_BUS_TYPE_PCI. 3eb90: 010e4144 465f4f53 5f425553 5f545950 ..ADF_OS_BUS_TYP 3eba0: 455f4745 4e455249 43000200 09616466 E_GENERIC....adf 3ebb0: 5f6f735f 6275735f 74797065 5f740000 _os_bus_type_t.. 3ebc0: 00192c09 6164665f 6f735f62 75735f72 ..,.adf_os_bus_r 3ebd0: 65675f64 6174615f 74000000 18360300 eg_data_t....6.. 3ebe0: 00031d04 00075f61 64665f64 72765f69 ......_adf_drv_i 3ebf0: 6e666f00 2000001a 40086472 765f6174 nfo. ...@.drv_at 3ec00: 74616368 00000019 02022300 08647276 tach......#..drv 3ec10: 5f646574 61636800 0000190b 02230408 _detach......#.. 3ec20: 6472765f 73757370 656e6400 00001925 drv_suspend....% 3ec30: 02230808 6472765f 72657375 6d650000 .#..drv_resume.. 3ec40: 00190b02 230c0862 75735f74 79706500 ....#..bus_type. 3ec50: 00001963 02231008 6275735f 64617461 ...c.#..bus_data 3ec60: 00000019 7a022314 086d6f64 5f6e616d ....z.#..mod_nam 3ec70: 65000000 19950223 18086966 6e616d65 e......#..ifname 3ec80: 00000019 9502231c 00096164 665f6f73 ......#...adf_os 3ec90: 5f68616e 646c655f 74000000 04050300 _handle_t....... 3eca0: 00166b04 00020102 01095f5f 6164665f ..k.......__adf_ 3ecb0: 6f735f73 697a655f 74000000 04081404 os_size_t....... 3ecc0: 00001a8f 0e415f46 414c5345 00000e41 .....A_FALSE...A 3ecd0: 5f545255 45000100 09615f62 6f6f6c5f _TRUE....a_bool_ 3ece0: 74000000 1a750300 0014fd04 00095f5f t....u........__ 3ecf0: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t 3ed00: 0000001a 9d02010d 6164665f 6f735f63 ........adf_os_c 3ed10: 61636865 5f73796e 63000400 001b270e ache_sync.....'. 3ed20: 4144465f 53594e43 5f505245 52454144 ADF_SYNC_PREREAD 3ed30: 00000e41 44465f53 594e435f 50524557 ...ADF_SYNC_PREW 3ed40: 52495445 00020e41 44465f53 594e435f RITE...ADF_SYNC_ 3ed50: 504f5354 52454144 00010e41 44465f53 POSTREAD...ADF_S 3ed60: 594e435f 504f5354 57524954 45000300 YNC_POSTWRITE... 3ed70: 09616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy 3ed80: 6e635f74 0000001a be020109 6164665f nc_t........adf_ 3ed90: 6f735f73 697a655f 74000000 1a600600 os_size_t....`.. 3eda0: 001b4201 09616466 5f6f735f 646d615f ..B..adf_os_dma_ 3edb0: 6d61705f 74000000 1aa40300 001b5b04 map_t.........[. 3edc0: 00060000 04050103 00001aa4 04000600 ................ 3edd0: 00040501 02010600 00159001 02010473 ...............s 3ede0: 686f7274 20696e74 00050209 415f494e hort int....A_IN 3edf0: 54313600 00001b95 095f5f61 5f696e74 T16......__a_int 3ee00: 31365f74 0000001b a209615f 696e7431 16_t......a_int1 3ee10: 365f7400 00001baf 04736967 6e656420 6_t......signed 3ee20: 63686172 00050109 415f494e 54380000 char....A_INT8.. 3ee30: 001bcf09 5f5f615f 696e7438 5f740000 ....__a_int8_t.. 3ee40: 001bde09 615f696e 74385f74 0000001b ....a_int8_t.... 3ee50: ea120c00 001c6108 73757070 6f727465 ......a.supporte 3ee60: 64000000 16150223 00086164 76657274 d......#..advert 3ee70: 697a6564 00000016 15022304 08737065 ized......#..spe 3ee80: 65640000 001bc002 23080864 75706c65 ed......#..duple 3ee90: 78000000 1bfa0223 0a086175 746f6e65 x......#..autone 3eea0: 67000000 167c0223 0b000f00 00167c06 g....|.#......|. 3eeb0: 00001c6e 10050007 6164665f 6e65745f ...n....adf_net_ 3eec0: 65746861 64647200 0600001c 92086164 ethaddr.......ad 3eed0: 64720000 001c6102 23000009 5f5f615f dr....a.#...__a_ 3eee0: 75696e74 31365f74 00000012 ea09615f uint16_t......a_ 3eef0: 75696e74 31365f74 0000001c 92120e00 uint16_t........ 3ef00: 001cf608 65746865 725f6468 6f737400 ....ether_dhost. 3ef10: 00001c61 02230008 65746865 725f7368 ...a.#..ether_sh 3ef20: 6f737400 00001c61 02230608 65746865 ost....a.#..ethe 3ef30: 725f7479 70650000 001ca402 230c0012 r_type......#... 3ef40: 1400001d b7156970 5f766572 73696f6e ......ip_version 3ef50: 00000016 7c010004 02230015 69705f68 ....|....#..ip_h 3ef60: 6c000000 167c0104 04022300 0869705f l....|....#..ip_ 3ef70: 746f7300 0000167c 02230108 69705f6c tos....|.#..ip_l 3ef80: 656e0000 001ca402 23020869 705f6964 en......#..ip_id 3ef90: 0000001c a4022304 0869705f 66726167 ......#..ip_frag 3efa0: 5f6f6666 0000001c a4022306 0869705f _off......#..ip_ 3efb0: 74746c00 0000167c 02230808 69705f70 ttl....|.#..ip_p 3efc0: 726f746f 00000016 7c022309 0869705f roto....|.#..ip_ 3efd0: 63686563 6b000000 1ca40223 0a086970 check......#..ip 3efe0: 5f736164 64720000 00161502 230c0869 _saddr......#..i 3eff0: 705f6461 64647200 00001615 02231000 p_daddr......#.. 3f000: 07616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr 3f010: 00040000 1e090874 70696400 00001ca4 .......tpid..... 3f020: 02230015 7072696f 00000016 7c010003 .#..prio....|... 3f030: 02230215 63666900 0000167c 01030102 .#..cfi....|.... 3f040: 23021576 69640000 001ca402 040c0223 #..vid.........# 3f050: 02000761 64665f6e 65745f76 69640002 ...adf_net_vid.. 3f060: 00001e3a 15726573 00000016 7c010004 ...:.res....|... 3f070: 02230015 76616c00 00001ca4 02040c02 .#..val......... 3f080: 23000012 0c00001e 76087278 5f627566 #.......v.rx_buf 3f090: 73697a65 00000016 15022300 0872785f size......#..rx_ 3f0a0: 6e646573 63000000 16150223 04087478 ndesc......#..tx 3f0b0: 5f6e6465 73630000 00161502 23080012 _ndesc......#... 3f0c0: 0800001e 9c08706f 6c6c6564 0000001a ......polled.... 3f0d0: 8f022300 08706f6c 6c5f7774 00000016 ..#..poll_wt.... 3f0e0: 15022304 000f0000 167c4000 001ea910 ..#......|@..... 3f0f0: 3f001246 00001ed1 0869665f 6e616d65 ?..F.....if_name 3f100: 0000001e 9c022300 08646576 5f616464 ......#..dev_add 3f110: 72000000 1c610223 40001404 00001f08 r....a.#@....... 3f120: 0e414446 5f4f535f 444d415f 4d41534b .ADF_OS_DMA_MASK 3f130: 5f333242 49540000 0e414446 5f4f535f _32BIT...ADF_OS_ 3f140: 444d415f 4d41534b 5f363442 49540001 DMA_MASK_64BIT.. 3f150: 00096164 665f6f73 5f646d61 5f6d6173 ..adf_os_dma_mas 3f160: 6b5f7400 00001ed1 07616466 5f646d61 k_t......adf_dma 3f170: 5f696e66 6f000800 001f5508 646d615f _info.....U.dma_ 3f180: 6d61736b 0000001f 08022300 0873675f mask......#..sg_ 3f190: 6e736567 73000000 16150223 04001404 nsegs......#.... 3f1a0: 00001fab 0e414446 5f4e4554 5f434b53 .....ADF_NET_CKS 3f1b0: 554d5f4e 4f4e4500 000e4144 465f4e45 UM_NONE...ADF_NE 3f1c0: 545f434b 53554d5f 5443505f 5544505f T_CKSUM_TCP_UDP_ 3f1d0: 49507634 00010e41 44465f4e 45545f43 IPv4...ADF_NET_C 3f1e0: 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv 3f1f0: 36000200 09616466 5f6e6574 5f636b73 6....adf_net_cks 3f200: 756d5f74 7970655f 74000000 1f551208 um_type_t....U.. 3f210: 00001fee 0874785f 636b7375 6d000000 .....tx_cksum... 3f220: 1fab0223 00087278 5f636b73 756d0000 ...#..rx_cksum.. 3f230: 001fab02 23040009 6164665f 6e65745f ....#...adf_net_ 3f240: 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... 3f250: c5140400 0020470e 4144465f 4e45545f ..... G.ADF_NET_ 3f260: 54534f5f 4e4f4e45 00000e41 44465f4e TSO_NONE...ADF_N 3f270: 45545f54 534f5f49 50563400 010e4144 ET_TSO_IPV4...AD 3f280: 465f4e45 545f5453 4f5f414c 4c000200 F_NET_TSO_ALL... 3f290: 09616466 5f6e6574 5f74736f 5f747970 .adf_net_tso_typ 3f2a0: 655f7400 00002008 12100000 209b0863 e_t... ..... ..c 3f2b0: 6b73756d 5f636170 0000001f ee022300 ksum_cap......#. 3f2c0: 0874736f 00000020 47022308 08766c61 .tso... G.#..vla 3f2d0: 6e5f7375 70706f72 74656400 0000167c n_supported....| 3f2e0: 02230c00 12200000 21340874 785f7061 .#... ..!4.tx_pa 3f2f0: 636b6574 73000000 16150223 00087278 ckets......#..rx 3f300: 5f706163 6b657473 00000016 15022304 _packets......#. 3f310: 0874785f 62797465 73000000 16150223 .tx_bytes......# 3f320: 08087278 5f627974 65730000 00161502 ..rx_bytes...... 3f330: 230c0874 785f6472 6f707065 64000000 #..tx_dropped... 3f340: 16150223 10087278 5f64726f 70706564 ...#..rx_dropped 3f350: 00000016 15022314 0872785f 6572726f ......#..rx_erro 3f360: 72730000 00161502 23180874 785f6572 rs......#..tx_er 3f370: 726f7273 00000016 1502231c 00096164 rors......#...ad 3f380: 665f6e65 745f6574 68616464 725f7400 f_net_ethaddr_t. 3f390: 00001c6e 16000021 34030000 00215910 ...n...!4....!Y. 3f3a0: 7f001761 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m 3f3b0: 63616464 72000304 00002190 086e656c caddr.....!..nel 3f3c0: 656d0000 00161502 2300086d 63617374 em......#..mcast 3f3d0: 00000021 4b022304 00096164 665f6e65 ...!K.#...adf_ne 3f3e0: 745f636d 645f6c69 6e6b5f69 6e666f5f t_cmd_link_info_ 3f3f0: 74000000 1c080961 64665f6e 65745f63 t......adf_net_c 3f400: 6d645f70 6f6c6c5f 696e666f 5f740000 md_poll_info_t.. 3f410: 001e7609 6164665f 6e65745f 636d645f ..v.adf_net_cmd_ 3f420: 636b7375 6d5f696e 666f5f74 0000001f cksum_info_t.... 3f430: ee096164 665f6e65 745f636d 645f7269 ..adf_net_cmd_ri 3f440: 6e675f69 6e666f5f 74000000 1e3a0961 ng_info_t....:.a 3f450: 64665f6e 65745f63 6d645f64 6d615f69 df_net_cmd_dma_i 3f460: 6e666f5f 74000000 1f1f0961 64665f6e nfo_t......adf_n 3f470: 65745f63 6d645f76 69645f74 0000001c et_cmd_vid_t.... 3f480: a4096164 665f6e65 745f636d 645f6f66 ..adf_net_cmd_of 3f490: 666c6f61 645f6361 705f7400 0000205f fload_cap_t... _ 3f4a0: 09616466 5f6e6574 5f636d64 5f737461 .adf_net_cmd_sta 3f4b0: 74735f74 00000020 9b096164 665f6e65 ts_t... ..adf_ne 3f4c0: 745f636d 645f6d63 61646472 5f740000 t_cmd_mcaddr_t.. 3f4d0: 0021590d 6164665f 6e65745f 636d645f .!Y.adf_net_cmd_ 3f4e0: 6d636173 745f6361 70000400 0022d20e mcast_cap....".. 3f4f0: 4144465f 4e45545f 4d434153 545f5355 ADF_NET_MCAST_SU 3f500: 5000000e 4144465f 4e45545f 4d434153 P...ADF_NET_MCAS 3f510: 545f4e4f 54535550 00010009 6164665f T_NOTSUP....adf_ 3f520: 6e65745f 636d645f 6d636173 745f6361 net_cmd_mcast_ca 3f530: 705f7400 0000228a 18030400 0023a408 p_t..."......#.. 3f540: 6c696e6b 5f696e66 6f000000 21900223 link_info...!..# 3f550: 0008706f 6c6c5f69 6e666f00 000021ad ..poll_info...!. 3f560: 02230008 636b7375 6d5f696e 666f0000 .#..cksum_info.. 3f570: 0021ca02 23000872 696e675f 696e666f .!..#..ring_info 3f580: 00000021 e8022300 08646d61 5f696e66 ...!..#..dma_inf 3f590: 6f000000 22050223 00087669 64000000 o..."..#..vid... 3f5a0: 22210223 00086f66 666c6f61 645f6361 "!.#..offload_ca 3f5b0: 70000000 22380223 00087374 61747300 p..."8.#..stats. 3f5c0: 00002257 02230008 6d636173 745f696e .."W.#..mcast_in 3f5d0: 666f0000 00227002 2300086d 63617374 fo..."p.#..mcast 3f5e0: 5f636170 00000022 d2022300 00140400 _cap..."..#..... 3f5f0: 0023fb0e 4144465f 4e425546 5f52585f .#..ADF_NBUF_RX_ 3f600: 434b5355 4d5f4e4f 4e450000 0e414446 CKSUM_NONE...ADF 3f610: 5f4e4255 465f5258 5f434b53 554d5f48 _NBUF_RX_CKSUM_H 3f620: 5700010e 4144465f 4e425546 5f52585f W...ADF_NBUF_RX_ 3f630: 434b5355 4d5f554e 4e454345 53534152 CKSUM_UNNECESSAR 3f640: 59000200 09616466 5f6e6275 665f7278 Y....adf_nbuf_rx 3f650: 5f636b73 756d5f74 7970655f 74000000 _cksum_type_t... 3f660: 23a41208 0000243b 08726573 756c7400 #.....$;.result. 3f670: 000023fb 02230008 76616c00 00001615 ..#..#..val..... 3f680: 02230400 12080000 246b0874 79706500 .#......$k.type. 3f690: 00002047 02230008 6d737300 00001ca4 .. G.#..mss..... 3f6a0: 02230408 6864725f 6f666600 0000167c .#..hdr_off....| 3f6b0: 02230600 075f5f61 64665f6e 6275665f .#...__adf_nbuf_ 3f6c0: 71686561 64000c00 0024aa08 68656164 qhead....$..head 3f6d0: 00000014 35022300 08746169 6c000000 ....5.#..tail... 3f6e0: 14350223 0408716c 656e0000 00161502 .5.#..qlen...... 3f6f0: 23080009 5f5f6164 665f6e62 75665f74 #...__adf_nbuf_t 3f700: 00000014 35030000 168b0400 03000016 ....5........... 3f710: 15040002 01060000 13170106 00001615 ................ 3f720: 01060000 168b0106 0000168b 01030000 ................ 3f730: 12f80400 095f5f61 64665f6e 6275665f .....__adf_nbuf_ 3f740: 71686561 645f7400 0000246b 095f5f61 qhead_t...$k.__a 3f750: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 3f760: 000024eb 03000025 03040006 000024aa ..$....%......$. 3f770: 01060000 24aa0114 04000026 230e415f ....$......&#.A_ 3f780: 53544154 55535f4f 4b00000e 415f5354 STATUS_OK...A_ST 3f790: 41545553 5f464149 4c454400 010e415f ATUS_FAILED...A_ 3f7a0: 53544154 55535f45 4e4f454e 5400020e STATUS_ENOENT... 3f7b0: 415f5354 41545553 5f454e4f 4d454d00 A_STATUS_ENOMEM. 3f7c0: 030e415f 53544154 55535f45 494e5641 ..A_STATUS_EINVA 3f7d0: 4c00040e 415f5354 41545553 5f45494e L...A_STATUS_EIN 3f7e0: 50524f47 52455353 00050e41 5f535441 PROGRESS...A_STA 3f7f0: 5455535f 454e4f54 53555050 00060e41 TUS_ENOTSUPP...A 3f800: 5f535441 5455535f 45425553 5900070e _STATUS_EBUSY... 3f810: 415f5354 41545553 5f453242 49470008 A_STATUS_E2BIG.. 3f820: 0e415f53 54415455 535f4541 4444524e .A_STATUS_EADDRN 3f830: 4f544156 41494c00 090e415f 53544154 OTAVAIL...A_STAT 3f840: 55535f45 4e58494f 000a0e41 5f535441 US_ENXIO...A_STA 3f850: 5455535f 45464155 4c54000b 0e415f53 TUS_EFAULT...A_S 3f860: 54415455 535f4549 4f000c00 09615f73 TATUS_EIO....a_s 3f870: 74617475 735f7400 0000252e 06000026 tatus_t...%....& 3f880: 23010600 00011001 02010961 64665f6e #..........adf_n 3f890: 6275665f 74000000 24aa1404 00002688 buf_t...$.....&. 3f8a0: 0e414446 5f4f535f 444d415f 544f5f44 .ADF_OS_DMA_TO_D 3f8b0: 45564943 4500000e 4144465f 4f535f44 EVICE...ADF_OS_D 3f8c0: 4d415f46 524f4d5f 44455649 43450001 MA_FROM_DEVICE.. 3f8d0: 00096164 665f6f73 5f646d61 5f646972 ..adf_os_dma_dir 3f8e0: 5f740000 00265106 00002623 01020109 _t...&Q...&#.... 3f8f0: 6164665f 6f735f64 6d616d61 705f696e adf_os_dmamap_in 3f900: 666f5f74 00000016 32030000 26a60400 fo_t....2...&... 3f910: 02010201 06000026 41010600 0024aa01 .......&A....$.. 3f920: 02010201 06000026 41010600 0024aa01 .......&A....$.. 3f930: 06000026 41010600 0024aa01 06000026 ...&A....$.....& 3f940: 41010201 02010600 00161501 06000016 A............... 3f950: 8b010201 02010600 001b4201 0600001a ..........B..... 3f960: 8f010600 001a8f01 09616466 5f6f735f .........adf_os_ 3f970: 73676c69 73745f74 00000016 ca030000 sglist_t........ 3f980: 271f0400 02010201 02010600 00168b01 '............... 3f990: 09616466 5f6e6275 665f7175 6575655f .adf_nbuf_queue_ 3f9a0: 74000000 25030300 00274704 00020103 t...%....'G..... 3f9b0: 000024eb 04000201 02010201 06000026 ..$............& 3f9c0: 41010600 0024aa01 06000016 15010600 A....$.......... 3f9d0: 00161501 0600001a 8f010600 001a8f01 ................ 3f9e0: 0600001f ab010600 00161501 09616466 .............adf 3f9f0: 5f6e6275 665f7278 5f636b73 756d5f74 _nbuf_rx_cksum_t 3fa00: 00000024 19030000 27a30400 02010201 ...$....'....... 3fa10: 09616466 5f6e6275 665f7473 6f5f7400 .adf_nbuf_tso_t. 3fa20: 0000243b 03000027 c7040002 01020109 ..$;...'........ 3fa30: 6164665f 6e65745f 68616e64 6c655f74 adf_net_handle_t 3fa40: 00000004 05096164 665f6e65 745f766c ......adf_net_vl 3fa50: 616e6864 725f7400 00001db7 03000027 anhdr_t........' 3fa60: fc040006 00002623 01060000 26230102 ......&#....&#.. 3fa70: 01020107 5f484946 5f434f4e 46494700 ...._HIF_CONFIG. 3fa80: 04000028 4b086475 6d6d7900 00000110 ...(K.dummy..... 3fa90: 02230000 02010300 00284b04 00020103 .#.......(K..... 3faa0: 00002854 0400075f 4849465f 43414c4c ..(T..._HIF_CALL 3fab0: 4241434b 000c0000 28a90873 656e645f BACK....(..send_ 3fac0: 6275665f 646f6e65 00000028 4d022300 buf_done...(M.#. 3fad0: 08726563 765f6275 66000000 28560223 .recv_buf...(V.# 3fae0: 0408636f 6e746578 74000000 04050223 ..context......# 3faf0: 08000968 69665f68 616e646c 655f7400 ...hif_handle_t. 3fb00: 00000405 09484946 5f434f4e 46494700 .....HIF_CONFIG. 3fb10: 0000282a 03000028 bb040006 000028a9 ..(*...(......(. 3fb20: 01030000 28d20400 02010300 0028df04 ....(........(.. 3fb30: 00094849 465f4341 4c4c4241 434b0000 ..HIF_CALLBACK.. 3fb40: 00285d03 000028e8 04000201 03000029 .(]...(........) 3fb50: 01040006 00000110 01030000 290a0400 ............)... 3fb60: 02010300 00291704 00060000 01100103 .....).......... 3fb70: 00002920 04000201 03000029 2d040006 ..) .......)-... 3fb80: 00000110 01030000 29360400 02010300 ........)6...... 3fb90: 00294304 00076869 665f6170 69003800 .)C...hif_api.8. 3fba0: 002a9c08 5f696e69 74000000 28d80223 .*.._init...(..# 3fbb0: 00085f73 68757464 6f776e00 000028e1 .._shutdown...(. 3fbc0: 02230408 5f726567 69737465 725f6361 .#.._register_ca 3fbd0: 6c6c6261 636b0000 00290302 2308085f llback...)..#.._ 3fbe0: 6765745f 746f7461 6c5f6372 65646974 get_total_credit 3fbf0: 5f636f75 6e740000 00291002 230c085f _count...)..#.._ 3fc00: 73746172 74000000 28e10223 10085f63 start...(..#.._c 3fc10: 6f6e6669 675f7069 70650000 00291902 onfig_pipe...).. 3fc20: 2314085f 73656e64 5f627566 66657200 #.._send_buffer. 3fc30: 00002926 02231808 5f726574 75726e5f ..)&.#.._return_ 3fc40: 72656376 5f627566 00000029 2f02231c recv_buf...)/.#. 3fc50: 085f6973 5f706970 655f7375 70706f72 ._is_pipe_suppor 3fc60: 74656400 0000293c 02232008 5f676574 ted...)<.# ._get 3fc70: 5f6d6178 5f6d7367 5f6c656e 00000029 _max_msg_len...) 3fc80: 3c022324 085f6765 745f7265 73657276 <.#$._get_reserv 3fc90: 65645f68 65616472 6f6f6d00 00002910 ed_headroom...). 3fca0: 02232808 5f697372 5f68616e 646c6572 .#(._isr_handler 3fcb0: 00000028 e102232c 085f6765 745f6465 ...(..#,._get_de 3fcc0: 6661756c 745f7069 70650000 00294502 fault_pipe...)E. 3fcd0: 23300870 52657365 72766564 00000004 #0.pReserved.... 3fce0: 05022334 000d646d 615f656e 67696e65 ..#4..dma_engine 3fcf0: 00040000 2b250e44 4d415f45 4e47494e ....+%.DMA_ENGIN 3fd00: 455f5258 3000000e 444d415f 454e4749 E_RX0...DMA_ENGI 3fd10: 4e455f52 58310001 0e444d41 5f454e47 NE_RX1...DMA_ENG 3fd20: 494e455f 52583200 020e444d 415f454e INE_RX2...DMA_EN 3fd30: 47494e45 5f525833 00030e44 4d415f45 GINE_RX3...DMA_E 3fd40: 4e47494e 455f5458 3000040e 444d415f NGINE_TX0...DMA_ 3fd50: 454e4749 4e455f54 58310005 0e444d41 ENGINE_TX1...DMA 3fd60: 5f454e47 494e455f 4d415800 06000964 _ENGINE_MAX....d 3fd70: 6d615f65 6e67696e 655f7400 00002a9c ma_engine_t...*. 3fd80: 0d646d61 5f696674 79706500 0400002b .dma_iftype....+ 3fd90: 720e444d 415f4946 5f474d41 4300000e r.DMA_IF_GMAC... 3fda0: 444d415f 49465f50 43490001 0e444d41 DMA_IF_PCI...DMA 3fdb0: 5f49465f 50434945 00020009 646d615f _IF_PCIE....dma_ 3fdc0: 69667479 70655f74 0000002b 37060000 iftype_t...+7... 3fdd0: 12ea0103 00002b84 04000201 0300002b ......+........+ 3fde0: 91040002 01030000 2b9a0400 06000009 ........+....... 3fdf0: 1c010300 002ba304 00060000 12ea0103 .....+.......... 3fe00: 00002bb0 04000600 0012ea01 0300002b ..+............+ 3fe10: bd040006 00001435 01030000 2bca0400 .......5....+... 3fe20: 02010300 002bd704 0007646d 615f6c69 .....+....dma_li 3fe30: 625f6170 69003400 002cde08 74785f69 b_api.4..,..tx_i 3fe40: 6e697400 00002b8a 02230008 74785f73 nit...+..#..tx_s 3fe50: 74617274 0000002b 93022304 0872785f tart...+..#..rx_ 3fe60: 696e6974 0000002b 8a022308 0872785f init...+..#..rx_ 3fe70: 636f6e66 69670000 002b9c02 230c0872 config...+..#..r 3fe80: 785f7374 61727400 00002b93 02231008 x_start...+..#.. 3fe90: 696e7472 5f737461 74757300 00002ba9 intr_status...+. 3fea0: 02231408 68617264 5f786d69 74000000 .#..hard_xmit... 3feb0: 2bb60223 1808666c 7573685f 786d6974 +..#..flush_xmit 3fec0: 0000002b 9302231c 08786d69 745f646f ...+..#..xmit_do 3fed0: 6e650000 002bc302 23200872 6561705f ne...+..# .reap_ 3fee0: 786d6974 74656400 00002bd0 02232408 xmitted...+..#$. 3fef0: 72656170 5f726563 76000000 2bd00223 reap_recv...+..# 3ff00: 28087265 7475726e 5f726563 76000000 (.return_recv... 3ff10: 2bd90223 2c087265 63765f70 6b740000 +..#,.recv_pkt.. 3ff20: 002bc302 23300007 5f5f7063 695f736f .+..#0..__pci_so 3ff30: 66746300 0c00002c fc087377 00000028 ftc....,..sw...( 3ff40: e8022300 00095f5f 7063695f 736f6674 ..#...__pci_soft 3ff50: 635f7400 00002cde 0300002c fc040002 c_t...,....,.... 3ff60: 01030000 2d160400 06000012 d6010300 ....-........... 3ff70: 002d1f04 000d6869 665f7063 695f7069 .-....hif_pci_pi 3ff80: 70655f74 78000400 002d7f0e 4849465f pe_tx....-..HIF_ 3ff90: 5043495f 50495045 5f545830 00000e48 PCI_PIPE_TX0...H 3ffa0: 49465f50 43495f50 4950455f 54583100 IF_PCI_PIPE_TX1. 3ffb0: 010e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T 3ffc0: 585f4d41 58000200 09686966 5f706369 X_MAX....hif_pci 3ffd0: 5f706970 655f7478 5f740000 002d2c06 _pipe_tx_t...-,. 3ffe0: 00002b25 01030000 2d960400 0d686966 ..+%....-....hif 3fff0: 5f706369 5f706970 655f7278 00040000 _pci_pipe_rx.... 40000: 2e1c0e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 40010: 52583000 000e4849 465f5043 495f5049 RX0...HIF_PCI_PI 40020: 50455f52 58310001 0e484946 5f504349 PE_RX1...HIF_PCI 40030: 5f504950 455f5258 3200020e 4849465f _PIPE_RX2...HIF_ 40040: 5043495f 50495045 5f525833 00030e48 PCI_PIPE_RX3...H 40050: 49465f50 43495f50 4950455f 52585f4d IF_PCI_PIPE_RX_M 40060: 41580004 00096869 665f7063 695f7069 AX....hif_pci_pi 40070: 70655f72 785f7400 00002da3 0600002b pe_rx_t...-....+ 40080: 25010300 002e3304 00076869 665f7063 %.....3...hif_pc 40090: 695f6170 69002400 002f1108 7063695f i_api.$../..pci_ 400a0: 626f6f74 5f696e69 74000000 01090223 boot_init......# 400b0: 00087063 695f696e 69740000 0028d802 ..pci_init...(.. 400c0: 23040870 63695f72 65736574 00000001 #..pci_reset.... 400d0: 09022308 08706369 5f656e61 626c6500 ..#..pci_enable. 400e0: 00000109 02230c08 7063695f 72656170 .....#..pci_reap 400f0: 5f786d69 74746564 0000002d 18022310 _xmitted...-..#. 40100: 08706369 5f726561 705f7265 63760000 .pci_reap_recv.. 40110: 002d1802 23140870 63695f67 65745f70 .-..#..pci_get_p 40120: 69706500 00002d25 02231808 7063695f ipe...-%.#..pci_ 40130: 6765745f 74785f65 6e670000 002d9c02 get_tx_eng...-.. 40140: 231c0870 63695f67 65745f72 785f656e #..pci_get_rx_en 40150: 67000000 2e390223 20000767 6d61635f g....9.# ..gmac_ 40160: 61706900 0400002f 3808676d 61635f62 api..../8.gmac_b 40170: 6f6f745f 696e6974 00000001 09022300 oot_init......#. 40180: 000f0000 031d0600 002f4510 0500075f ........./E...._ 40190: 5f657468 68647200 0e00002f 7b086473 _ethhdr..../{.ds 401a0: 74000000 2f380223 00087372 63000000 t.../8.#..src... 401b0: 2f380223 06086574 79706500 000012ea /8.#..etype..... 401c0: 02230c00 075f5f61 74686864 72000400 .#...__athhdr... 401d0: 002fc915 72657300 000012d6 01000202 ./..res......... 401e0: 23001570 726f746f 00000012 d6010206 #..proto........ 401f0: 02230008 7265735f 6c6f0000 0012d602 .#..res_lo...... 40200: 23010872 65735f68 69000000 12ea0223 #..res_hi......# 40210: 0200075f 5f676d61 635f6864 72001400 ...__gmac_hdr... 40220: 00300508 65746800 00002f45 02230008 .0..eth.../E.#.. 40230: 61746800 00002f7b 02230e08 616c6967 ath.../{.#..alig 40240: 6e5f7061 64000000 12ea0223 1200095f n_pad......#..._ 40250: 5f676d61 635f6864 725f7400 00002fc9 _gmac_hdr_t.../. 40260: 075f5f67 6d61635f 736f6674 63002400 .__gmac_softc.$. 40270: 00304f08 68647200 00003005 02230008 .0O.hdr...0..#.. 40280: 6772616e 00000012 ea022314 08737700 gran......#..sw. 40290: 000028e8 02231800 075f415f 6f735f6c ..(..#..._A_os_l 402a0: 696e6b61 67655f63 6865636b 00080000 inkage_check.... 402b0: 30880876 65727369 6f6e0000 00011002 0..version...... 402c0: 23000874 61626c65 00000001 10022304 #..table......#. 402d0: 00030000 304f0400 06000001 10010300 ....0O.......... 402e0: 00308f04 00030000 04080400 175f415f .0..........._A_ 402f0: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 40300: 6e5f7461 626c6500 01b80000 31df0868 n_table.....1..h 40310: 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check 40320: 00000030 95022300 08737461 72745f62 ...0..#..start_b 40330: 73730000 00309c02 23040861 70705f73 ss...0..#..app_s 40340: 74617274 00000001 09022308 086d656d tart......#..mem 40350: 00000004 4802230c 086d6973 63000000 ....H.#..misc... 40360: 05670223 20087072 696e7466 00000001 .g.# .printf.... 40370: 3d022344 08756172 74000000 02060223 =.#D.uart......# 40380: 4c08676d 61630000 002f1102 236c0875 L.gmac.../..#l.u 40390: 73620000 000f9602 23700863 6c6f636b sb......#p.clock 403a0: 0000000a df0323e0 01087469 6d657200 ......#...timer. 403b0: 0000077f 03238402 08696e74 72000000 .....#...intr... 403c0: 0c2f0323 98020861 6c6c6f63 72616d00 ./.#...allocram. 403d0: 00000937 0323c402 08726f6d 70000000 ...7.#...romp... 403e0: 08290323 d0020877 64745f74 696d6572 .).#...wdt_timer 403f0: 0000000e 0c0323e0 02086565 70000000 ......#...eep... 40400: 0f3a0323 fc020873 7472696e 67000000 .:.#...string... 40410: 068b0323 8c030874 61736b6c 65740000 ...#...tasklet.. 40420: 000a3403 23a40300 075f5553 425f4649 ..4.#...._USB_FI 40430: 464f5f43 4f4e4649 47001000 00325208 FO_CONFIG....2R. 40440: 6765745f 636f6d6d 616e645f 62756600 get_command_buf. 40450: 00001442 02230008 72656376 5f636f6d ...B.#..recv_com 40460: 6d616e64 00000014 58022304 08676574 mand....X.#..get 40470: 5f657665 6e745f62 75660000 00144202 _event_buf....B. 40480: 23080873 656e645f 6576656e 745f646f #..send_event_do 40490: 6e650000 00145802 230c0009 5553425f ne....X.#...USB_ 404a0: 4649464f 5f434f4e 46494700 000031df FIFO_CONFIG...1. 404b0: 03000032 52040002 01030000 326e0400 ...2R.......2n.. 404c0: 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... 404d0: 32c4085f 696e6974 00000032 70022300 2.._init...2p.#. 404e0: 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i 404f0: 73720000 00010902 23040870 52657365 sr......#..pRese 40500: 72766564 00000004 05022308 000f0000 rved......#..... 40510: 167c0200 0032d110 0100075f 4854435f .|...2....._HTC_ 40520: 4652414d 455f4844 52000800 00334308 FRAME_HDR....3C. 40530: 456e6470 6f696e74 49440000 00167c02 EndpointID....|. 40540: 23000846 6c616773 00000016 7c022301 #..Flags....|.#. 40550: 08506179 6c6f6164 4c656e00 00001ca4 .PayloadLen..... 40560: 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes 40570: 00000032 c4022304 08486f73 74536571 ...2..#..HostSeq 40580: 4e756d00 00001ca4 02230600 12020000 Num......#...... 40590: 335c084d 65737361 67654944 0000001c 3\.MessageID.... 405a0: a4022300 00120800 0033bf08 4d657373 ..#......3..Mess 405b0: 61676549 44000000 1ca40223 00084372 ageID......#..Cr 405c0: 65646974 436f756e 74000000 1ca40223 editCount......# 405d0: 02084372 65646974 53697a65 0000001c ..CreditSize.... 405e0: a4022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint 405f0: 73000000 167c0223 06085f50 61643100 s....|.#.._Pad1. 40600: 0000167c 02230700 120a0000 3456084d ...|.#......4V.M 40610: 65737361 67654944 0000001c a4022300 essageID......#. 40620: 08536572 76696365 49440000 001ca402 .ServiceID...... 40630: 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla 40640: 67730000 001ca402 23040844 6f776e4c gs......#..DownL 40650: 696e6b50 69706549 44000000 167c0223 inkPipeID....|.# 40660: 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. 40670: 00167c02 23070853 65727669 63654d65 ..|.#..ServiceMe 40680: 74614c65 6e677468 00000016 7c022308 taLength....|.#. 40690: 085f5061 64310000 00167c02 23090012 ._Pad1....|.#... 406a0: 0a000034 de084d65 73736167 65494400 ...4..MessageID. 406b0: 00001ca4 02230008 53657276 69636549 .....#..ServiceI 406c0: 44000000 1ca40223 02085374 61747573 D......#..Status 406d0: 00000016 7c022304 08456e64 706f696e ....|.#..Endpoin 406e0: 74494400 0000167c 02230508 4d61784d tID....|.#..MaxM 406f0: 73675369 7a650000 001ca402 23060853 sgSize......#..S 40700: 65727669 63654d65 74614c65 6e677468 erviceMetaLength 40710: 00000016 7c022308 085f5061 64310000 ....|.#.._Pad1.. 40720: 00167c02 23090012 02000034 f7084d65 ..|.#......4..Me 40730: 73736167 65494400 00001ca4 02230000 ssageID......#.. 40740: 12040000 3533084d 65737361 67654944 ....53.MessageID 40750: 0000001c a4022300 08506970 65494400 ......#..PipeID. 40760: 0000167c 02230208 43726564 6974436f ...|.#..CreditCo 40770: 756e7400 0000167c 02230300 12040000 unt....|.#...... 40780: 356a084d 65737361 67654944 0000001c 5j.MessageID.... 40790: a4022300 08506970 65494400 0000167c ..#..PipeID....| 407a0: 02230208 53746174 75730000 00167c02 .#..Status....|. 407b0: 23030012 02000035 91085265 636f7264 #......5..Record 407c0: 49440000 00167c02 2300084c 656e6774 ID....|.#..Lengt 407d0: 68000000 167c0223 01001202 000035bb h....|.#......5. 407e0: 08456e64 706f696e 74494400 0000167c .EndpointID....| 407f0: 02230008 43726564 69747300 0000167c .#..Credits....| 40800: 02230100 12040000 35fc0845 6e64706f .#......5..Endpo 40810: 696e7449 44000000 167c0223 00084372 intID....|.#..Cr 40820: 65646974 73000000 167c0223 01085467 edits....|.#..Tg 40830: 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... 40840: a4022302 000f0000 167c0400 00360910 ..#......|...6.. 40850: 03001206 00003645 08507265 56616c69 ......6E.PreVali 40860: 64000000 167c0223 00084c6f 6f6b4168 d....|.#..LookAh 40870: 65616400 000035fc 02230108 506f7374 ead...5..#..Post 40880: 56616c69 64000000 167c0223 05000970 Valid....|.#...p 40890: 6f6f6c5f 68616e64 6c655f74 00000004 ool_handle_t.... 408a0: 05060000 36450103 00003658 04000201 ....6E....6X.... 408b0: 03000036 65040014 04000036 e30e504f ...6e......6..PO 408c0: 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO 408d0: 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ 408e0: 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... 408f0: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ 40900: 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ 40910: 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO 40920: 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF 40930: 5f504f4f 4c5f4944 00000036 6e020103 _POOL_ID...6n... 40940: 000036f4 04000600 00264101 03000036 ..6......&A....6 40950: fd040006 00002641 01030000 370a0400 ......&A....7... 40960: 02010300 00371704 00076275 665f706f .....7....buf_po 40970: 6f6c5f61 7069001c 000037b9 085f696e ol_api....7.._in 40980: 69740000 00365e02 2300085f 73687574 it...6^.#.._shut 40990: 646f776e 00000036 67022304 085f6372 down...6g.#.._cr 409a0: 65617465 5f706f6f 6c000000 36f60223 eate_pool...6..# 409b0: 08085f61 6c6c6f63 5f627566 00000037 .._alloc_buf...7 409c0: 0302230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ 409d0: 616c6967 6e000000 37100223 10085f66 align...7..#.._f 409e0: 7265655f 62756600 00003719 02231408 ree_buf...7..#.. 409f0: 70526573 65727665 64000000 04050223 pReserved......# 40a00: 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. 40a10: 1c000038 9808704e 65787400 00003898 ...8..pNext...8. 40a20: 02230008 50726f63 65737352 6563764d .#..ProcessRecvM 40a30: 73670000 00394d02 23040850 726f6365 sg...9M.#..Proce 40a40: 73735365 6e644275 66666572 436f6d70 ssSendBufferComp 40a50: 6c657465 00000039 56022308 0850726f lete...9V.#..Pro 40a60: 63657373 436f6e6e 65637400 0000396a cessConnect...9j 40a70: 02230c08 53657276 69636549 44000000 .#..ServiceID... 40a80: 12ea0223 10085365 72766963 65466c61 ...#..ServiceFla 40a90: 67730000 0012ea02 2312084d 61785376 gs......#..MaxSv 40aa0: 634d7367 53697a65 00000012 ea022314 cMsgSize......#. 40ab0: 08547261 696c6572 53706343 6865636b .TrailerSpcCheck 40ac0: 4c696d69 74000000 12ea0223 16085365 Limit......#..Se 40ad0: 72766963 65437478 00000004 05022318 rviceCtx......#. 40ae0: 00030000 37b90400 14040000 39361945 ....7.......96.E 40af0: 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. 40b00: ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... 40b10: 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP 40b20: 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT 40b30: 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... 40b40: 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP 40b50: 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT 40b60: 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... 40b70: 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... 40b80: 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. 40b90: 0000389f 02010300 00394b04 00020103 ..8......9K..... 40ba0: 00003954 04000300 00011004 00060000 ..9T............ 40bb0: 12d60103 00003964 04000300 0037b904 ......9d.....7.. 40bc0: 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... 40bd0: 0039e908 43726564 69745369 7a650000 .9..CreditSize.. 40be0: 00011002 23000843 72656469 744e756d ....#..CreditNum 40bf0: 62657200 00000110 02230408 4f534861 ber......#..OSHa 40c00: 6e646c65 0000001a 40022308 08484946 ndle....@.#..HIF 40c10: 48616e64 6c650000 0028a902 230c0850 Handle...(..#..P 40c20: 6f6f6c48 616e646c 65000000 36450223 oolHandle...6E.# 40c30: 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT 40c40: 45585400 0200003a 2508656e 645f706f EXT....:%.end_po 40c50: 696e7400 000012d6 02230008 6874635f int......#..htc_ 40c60: 666c6167 73000000 12d60223 01000968 flags......#...h 40c70: 74635f68 616e646c 655f7400 00000405 tc_handle_t..... 40c80: 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL 40c90: 4554455f 43420000 00010909 4854435f ETE_CB......HTC_ 40ca0: 434f4e46 49470000 00397803 00003a52 CONFIG...9x...:R 40cb0: 04000600 003a2501 0300003a 69040002 .....:%....:i... 40cc0: 01030000 3a760400 09485443 5f534552 ....:v...HTC_SER 40cd0: 56494345 00000037 b9030000 3a7f0400 VICE...7....:... 40ce0: 02010300 003a9704 00020103 00003aa0 .....:........:. 40cf0: 04000201 0300003a a9040006 00000110 .......:........ 40d00: 01030000 3ab20400 07687463 5f617069 ....:....htc_api 40d10: 73003400 003c2f08 5f485443 5f496e69 s.4.... 41020: 7475706c 654e756d 4c000000 1ca40223 tupleNumL......# 41030: 00087475 706c654e 756d4800 00001ca4 ..tupleNumH..... 41040: 02230208 61767400 00003dc3 02230400 .#..avt...=..#.. 41050: 12010000 3e290862 6561636f 6e50656e ....>).beaconPen 41060: 64696e67 436f756e 74000000 167c0223 dingCount....|.# 41070: 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF 41080: 49470010 00003e92 08487463 48616e64 IG....>..HtcHand 41090: 6c650000 003a2502 23000850 6f6f6c48 le...:%.#..PoolH 410a0: 616e646c 65000000 36450223 04084d61 andle...6E.#..Ma 410b0: 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... 410c0: 01100223 08084d61 78457665 6e744576 ...#..MaxEventEv 410d0: 74730000 00011002 230c0002 01030000 ts......#....... 410e0: 3e920400 09574d49 5f434d44 5f48414e >....WMI_CMD_HAN 410f0: 444c4552 0000003e 94075f57 4d495f44 DLER...>.._WMI_D 41100: 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... 41110: 003efb08 70436d64 48616e64 6c657200 .>..pCmdHandler. 41120: 00003e9b 02230008 436d6449 44000000 ..>..#..CmdID... 41130: 12ea0223 0408466c 61677300 000012ea ...#..Flags..... 41140: 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT 41150: 43485f54 41424c45 00100000 3f5c0870 CH_TABLE....?\.p 41160: 4e657874 0000003f 5c022300 0870436f Next...?\.#..pCo 41170: 6e746578 74000000 04050223 04084e75 ntext......#..Nu 41180: 6d626572 4f66456e 74726965 73000000 mberOfEntries... 41190: 01100223 08087054 61626c65 0000003f ...#..pTable...? 411a0: 7b02230c 00030000 3efb0400 09574d49 {.#.....>....WMI 411b0: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. 411c0: 00003eb0 0300003f 63040003 00003efb ..>....?c.....>. 411d0: 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE 411e0: 58540000 0039e90d 574d495f 4556545f XT...9..WMI_EVT_ 411f0: 434c4153 53000400 00401319 574d495f CLASS....@..WMI_ 41200: 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. 41210: ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS 41220: 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM 41230: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ 41240: 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ 41250: 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI 41260: 5f455654 5f434c41 53530000 003f9e07 _EVT_CLASS...?.. 41270: 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT 41280: 000c0000 40710848 74634275 66437478 ....@q.HtcBufCtx 41290: 0000003f 89022300 08457665 6e74436c ...?..#..EventCl 412a0: 61737300 00004013 02230408 466c6167 ass...@..#..Flag 412b0: 73000000 12ea0223 08000977 6d695f68 s......#...wmi_h 412c0: 616e646c 655f7400 00000405 09574d49 andle_t......WMI 412d0: 5f535643 5f434f4e 46494700 00003e29 _SVC_CONFIG...>) 412e0: 03000040 83040006 00004071 01030000 ...@......@q.... 412f0: 409e0400 09574d49 5f444953 50415443 @....WMI_DISPATC 41300: 485f5441 424c4500 00003efb 03000040 H_TABLE...>....@ 41310: ab040002 01030000 40ca0400 06000026 ........@......& 41320: 41010300 0040d304 00020103 000040e0 A....@........@. 41330: 04000600 00011001 03000040 e9040002 ...........@.... 41340: 01030000 40f60400 06000012 d6010300 ....@........... 41350: 0040ff04 00075f77 6d695f73 76635f61 .@...._wmi_svc_a 41360: 70697300 2c000042 47085f57 4d495f49 pis.,..BG._WMI_I 41370: 6e697400 000040a4 02230008 5f574d49 nit...@..#.._WMI 41380: 5f526567 69737465 72446973 70617463 _RegisterDispatc 41390: 68546162 6c650000 0040cc02 2304085f hTable...@..#.._ 413a0: 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. 413b0: 0040d902 2308085f 574d495f 53656e64 .@..#.._WMI_Send 413c0: 4576656e 74000000 40e20223 0c085f57 Event...@..#.._W 413d0: 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve 413e0: 6e747343 6f756e74 00000040 ef022310 ntsCount...@..#. 413f0: 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple 41400: 74654861 6e646c65 72000000 39560223 teHandler...9V.# 41410: 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro 41420: 6c457000 000040ef 02231808 5f574d49 lEp...@..#.._WMI 41430: 5f536875 74646f77 6e000000 40f80223 _Shutdown...@..# 41440: 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa 41450: 67654861 6e646c65 72000000 394d0223 geHandler...9M.# 41460: 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo 41470: 6e6e6563 74000000 41050223 24087052 nnect...A..#$.pR 41480: 65736572 76656400 00000405 02232800 eserved......#(. 41490: 077a7344 6d614465 73630014 000042c9 .zsDmaDesc....B. 414a0: 08637472 6c000000 01740223 00087374 .ctrl....t.#..st 414b0: 61747573 00000001 74022302 08746f74 atus....t.#..tot 414c0: 616c4c65 6e000000 01740223 04086461 alLen....t.#..da 414d0: 74615369 7a650000 00017402 2306086c taSize....t.#..l 414e0: 61737441 64647200 000042c9 02230808 astAddr...B..#.. 414f0: 64617461 41646472 00000001 9802230c dataAddr......#. 41500: 086e6578 74416464 72000000 42c90223 .nextAddr...B..# 41510: 10000300 00424704 00030000 42470400 .....BG.....BG.. 41520: 077a7344 6d615175 65756500 08000043 .zsDmaQueue....C 41530: 09086865 61640000 0042d002 23000874 ..head...B..#..t 41540: 65726d69 6e61746f 72000000 42d00223 erminator...B..# 41550: 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. 41560: 10000043 6d086865 61640000 0042d002 ...Cm.head...B.. 41570: 23000874 65726d69 6e61746f 72000000 #..terminator... 41580: 42d00223 0408786d 69746564 5f627566 B..#..xmited_buf 41590: 5f686561 64000000 14350223 0808786d _head....5.#..xm 415a0: 69746564 5f627566 5f746169 6c000000 ited_buf_tail... 415b0: 14350223 0c000201 03000043 6d040003 .5.#.......Cm... 415c0: 000042d7 04000201 03000043 7d040003 ..B........C}... 415d0: 00004309 04000201 03000043 8d040002 ..C........C.... 415e0: 01030000 43960400 02010300 00439f04 ....C........C.. 415f0: 00060000 14350103 000043a8 04000201 .....5....C..... 41600: 03000043 b5040006 00001435 01030000 ...C.......5.... 41610: 43be0400 02010300 0043cb04 00060000 C........C...... 41620: 01100103 000043d4 04000600 0042d001 ......C......B.. 41630: 03000043 e1040002 01030000 43ee0400 ...C........C... 41640: 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. 41650: 40000045 64085f69 6e697400 0000436f @..Ed._init...Co 41660: 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que 41670: 75650000 00437f02 2304085f 696e6974 ue...C..#.._init 41680: 5f74785f 71756575 65000000 438f0223 _tx_queue...C..# 41690: 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que 416a0: 75650000 00439802 230c085f 786d6974 ue...C..#.._xmit 416b0: 5f627566 00000043 a1022310 085f666c _buf...C..#.._fl 416c0: 7573685f 786d6974 00000043 7f022314 ush_xmit...C..#. 416d0: 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. 416e0: 000043ae 02231808 5f726574 75726e5f ..C..#.._return_ 416f0: 72656376 5f627566 00000043 b702231c recv_buf...C..#. 41700: 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu 41710: 66000000 43c40223 20085f73 7761705f f...C..# ._swap_ 41720: 64617461 00000043 cd022324 085f6861 data...C..#$._ha 41730: 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. 41740: 000043da 02232808 5f646573 635f6475 ..C..#(._desc_du 41750: 6d700000 00437f02 232c085f 6765745f mp...C..#,._get_ 41760: 7061636b 65740000 0043e702 2330085f packet...C..#0._ 41770: 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. 41780: 0043f002 2334085f 7075745f 7061636b .C..#4._put_pack 41790: 65740000 0043f002 23380870 52657365 et...C..#8.pRese 417a0: 72766564 00000004 0502233c 00095f41 rved......#<.._A 417b0: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 417c0: 6f6e5f74 61626c65 5f740000 0030a309 on_table_t...0.. 417d0: 574d495f 5356435f 41504953 00000041 WMI_SVC_APIS...A 417e0: 0c175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 417f0: 72656374 696f6e5f 7461626c 6500034c rection_table..L 41800: 00004692 08636d6e 6f730000 00456402 ..F..cmnos...Ed. 41810: 23000864 62670000 0003d203 23b80308 #..dbg......#... 41820: 68696600 0000294c 0323c003 08687463 hif...)L.#...htc 41830: 0000003a bf0323f8 0308776d 695f7376 ...:..#...wmi_sv 41840: 635f6170 69000000 45860323 ac040875 c_api...E..#...u 41850: 73626669 666f5f61 70690000 00327703 sbfifo_api...2w. 41860: 23d80408 6275665f 706f6f6c 00000037 #...buf_pool...7 41870: 200323e4 04087662 75660000 00145f03 .#...vbuf...._. 41880: 23800508 76646573 63000000 13410323 #...vdesc....A.# 41890: 94050861 6c6c6f63 72616d00 00000937 ...allocram....7 418a0: 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. 418b0: 000043f7 0323b405 08646d61 5f6c6962 ..C..#...dma_lib 418c0: 0000002b e00323f4 05086869 665f7063 ...+..#...hif_pc 418d0: 69000000 2e400323 a8060009 5f415f6d i....@.#...._A_m 418e0: 61677069 655f696e 64697265 6374696f agpie_indirectio 418f0: 6e5f7461 626c655f 74000000 45980300 n_table_t...E... 41900: 0001ad04 001a7561 72745f63 746c5f62 ......uart_ctl_b 41910: 6c6b0000 0002c605 0300500a 44060000 lk........P.D... 41920: 01ad0102 01030000 46d40400 1b01195f ........F......_ 41930: 75617274 5f696e69 74000000 01ad0101 uart_init....... 41940: 03920120 02900000 8e2df800 8e2e3600 ... .....-....6. 41950: 0047151c 5f6c6372 00000001 ad001d01 .G.._lcr........ 41960: 405f7561 72745f63 6861725f 7075745f @_uart_char_put_ 41970: 6e6f7468 696e6700 01010392 01200290 nothing...... .. 41980: 00008e2e 38008e2e 3d000047 511e0140 ....8...=..GQ..@ 41990: 63680000 00032e01 52001b01 4a5f7561 ch......R...J_ua 419a0: 72745f63 6861725f 6765745f 6e6f7468 rt_char_get_noth 419b0: 696e6700 0000018a 01010392 01200290 ing.......... .. 419c0: 00008e2e 40008e2e 47000047 911e014a ....@...G..G...J 419d0: 63680000 00034401 52001d01 545f7561 ch....D.R...T_ua 419e0: 72745f63 6861725f 70757400 01010392 rt_char_put..... 419f0: 01200290 00008e2e 48008e2e 74000047 . ......H...t..G 41a00: d51e0154 63680000 00032e01 521c6900 ...Tch......R.i. 41a10: 00000110 1c6c7372 00000001 ad001d01 .....lsr........ 41a20: 8e5f7561 72745f63 6861725f 7075745f ._uart_char_put_ 41a30: 6e6f7761 69740001 01039201 20029000 nowait...... ... 41a40: 008e2e74 008e2ea0 00004820 1e018e63 ...t......H ...c 41a50: 68000000 032e0152 1c690000 0001101c h......R.i...... 41a60: 6c737200 000001ad 001b01b3 5f756172 lsr........._uar 41a70: 745f6368 61725f67 65740000 00018a01 t_char_get...... 41a80: 01039201 20029000 008e2ea0 008e2ec0 .... ........... 41a90: 00004858 1e01b363 68000000 03440152 ..HX...ch....D.R 41aa0: 001f01c7 5f756172 745f7461 736b0001 ...._uart_task.. 41ab0: 01039201 20029000 008e2ec0 008e2ec5 .... ........... 41ac0: 2001e55f 75617274 5f737461 74757300 .._uart_status. 41ad0: 000001ad 01039201 20029000 008e2ec8 ........ ....... 41ae0: 008e2ed2 1d01ee5f 75617274 5f737472 ......._uart_str 41af0: 5f6f7574 00010103 92012002 9000008e _out...... ..... 41b00: 2ed4008e 2ef10000 48d61e01 ee737472 ........H....str 41b10: 00000003 4401521c 69000000 01ad0021 ....D.R.i......! 41b20: 0101005f 75617274 5f636f6e 66696700 ..._uart_config. 41b30: 01010392 01200290 00008e2e f4008e2f ..... ........./ 41b40: 1b000049 0c220101 00666c61 67000000 ...I."...flag... 41b50: 018a0152 00230101 135f7561 72745f68 ...R.#..._uart_h 41b60: 77696e69 74000103 92012002 9000008e winit..... ..... 41b70: 2f1c008e 2f5c0000 496c2201 01136672 /.../\..Il"...fr 41b80: 65710000 0001ad01 52220101 13626175 eq......R"...bau 41b90: 64000000 01ad0153 1c626175 645f6469 d......S.baud_di 41ba0: 7669736f 72000000 01ad1c5f 6c637200 visor......_lcr. 41bb0: 000001ad 00240101 28636d6e 6f735f75 .....$..(cmnos_u 41bc0: 6172745f 6d6f6475 6c655f69 6e737461 art_module_insta 41bd0: 6c6c0001 01039201 20029000 008e2f5c ll...... ...../\ 41be0: 008e2f93 22010128 61706973 00000002 ../."..(apis.... 41bf0: bf015200 00000000 4de80002 000017d9 ..R.....M....... 41c00: 04012f72 6f6f742f 576f726b 73706163 ../root/Workspac 41c10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 41c20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 41c30: 2f696d61 67652f6d 61677069 652f2e2e /image/magpie/.. 41c40: 2f2e2e2f 2e2e2f2e 2e2f2f62 75696c64 /../../..//build 41c50: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 41c60: 6869662f 7063692f 2f686966 5f706369 hif/pci//hif_pci 41c70: 2e63002f 726f6f74 2f576f72 6b737061 .c./root/Workspa 41c80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 41c90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 41ca0: 312f726f 6d2f6869 662f7063 69007874 1/rom/hif/pci.xt 41cb0: 2d786363 20666f72 20372e31 2e30202d -xcc for 7.1.0 - 41cc0: 4f50543a 616c6967 6e5f696e 73747275 OPT:align_instru 41cd0: 6374696f 6e733d33 32202d4f 32202d67 ctions=32 -O2 -g 41ce0: 33202d4f 50543a73 70616365 00010000 3 -OPT:space.... 41cf0: 00e2c702 5f564445 53430024 00000189 ...._VDESC.$.... 41d00: 036e6578 745f6465 73630000 00018902 .next_desc...... 41d10: 23000362 75665f61 64647200 000001ae #..buf_addr..... 41d20: 02230403 6275665f 73697a65 00000001 .#..buf_size.... 41d30: cb022308 03646174 615f6f66 66736574 ..#..data_offset 41d40: 00000001 cb02230a 03646174 615f7369 ......#..data_si 41d50: 7a650000 0001cb02 230c0363 6f6e7472 ze......#..contr 41d60: 6f6c0000 0001cb02 230e0368 775f6465 ol......#..hw_de 41d70: 73635f62 75660000 0001d902 23100004 sc_buf......#... 41d80: 000000fd 04000575 6e736967 6e656420 .......unsigned 41d90: 63686172 00070106 415f5549 4e543800 char....A_UINT8. 41da0: 00000190 04000001 a1040005 73686f72 ............shor 41db0: 7420756e 7369676e 65642069 6e740007 t unsigned int.. 41dc0: 0206415f 55494e54 31360000 0001b507 ..A_UINT16...... 41dd0: 000001a1 14000001 e6081300 04000000 ................ 41de0: fd040005 696e7400 05040901 04000001 ....int......... 41df0: f4040006 56444553 43000000 00fd0400 ....VDESC....... 41e00: 0001fd04 000a0000 02080104 0000020f ................ 41e10: 04000a00 0001ae01 04000002 1c040009 ................ 41e20: 01040000 02290400 0b040002 76646573 .....)......vdes 41e30: 635f6170 69001400 0002a403 5f696e69 c_api......._ini 41e40: 74000000 01f60223 00035f61 6c6c6f63 t......#.._alloc 41e50: 5f766465 73630000 00021502 2304035f _vdesc......#.._ 41e60: 6765745f 68775f64 65736300 00000222 get_hw_desc...." 41e70: 02230803 5f737761 705f7664 65736300 .#.._swap_vdesc. 41e80: 0000022b 02230c03 70526573 65727665 ...+.#..pReserve 41e90: 64000000 02320223 1000025f 56425546 d....2.#..._VBUF 41ea0: 00200000 03040364 6573635f 6c697374 . .....desc_list 41eb0: 00000002 08022300 036e6578 745f6275 ......#..next_bu 41ec0: 66000000 03040223 04036275 665f6c65 f......#..buf_le 41ed0: 6e677468 00000001 cb022308 03726573 ngth......#..res 41ee0: 65727665 64000000 030b0223 0a036374 erved......#..ct 41ef0: 78000000 01d90223 0c000400 0002a404 x......#........ 41f00: 00070000 01a10200 00031808 01000400 ................ 41f10: 0002a404 00065642 55460000 0002a404 ......VBUF...... 41f20: 0000031f 04000a00 00032901 04000003 ..........)..... 41f30: 3004000a 00000329 01040000 033d0400 0......).....=.. 41f40: 09010400 00034a04 00027662 75665f61 ......J...vbuf_a 41f50: 70690014 000003c8 035f696e 69740000 pi......._init.. 41f60: 0001f602 2300035f 616c6c6f 635f7662 ....#.._alloc_vb 41f70: 75660000 00033602 2304035f 616c6c6f uf....6.#.._allo 41f80: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size 41f90: 00000003 43022308 035f6672 65655f76 ....C.#.._free_v 41fa0: 62756600 0000034c 02230c03 70526573 buf....L.#..pRes 41fb0: 65727665 64000000 02320223 1000025f erved....2.#..._ 41fc0: 5f616466 5f646576 69636500 04000003 _adf_device..... 41fd0: ea036475 6d6d7900 000001ed 02230000 ..dummy......#.. 41fe0: 05756e73 69676e65 6420696e 74000704 .unsigned int... 41ff0: 06415f55 494e5433 32000000 03ea0400 .A_UINT32....... 42000: 0003fa04 00025f5f 6164665f 646d615f ......__adf_dma_ 42010: 6d617000 0c000004 4f036275 66000000 map.....O.buf... 42020: 03290223 00036473 5f616464 72000000 .).#..ds_addr... 42030: 04080223 04036473 5f6c656e 00000001 ...#..ds_len.... 42040: cb022308 00056368 61720007 01040000 ..#...char...... 42050: 044f0400 0c0c0000 0498035f 5f76615f .O.........__va_ 42060: 73746b00 00000457 02230003 5f5f7661 stk....W.#..__va 42070: 5f726567 00000004 57022304 035f5f76 _reg....W.#..__v 42080: 615f6e64 78000000 01ed0223 0800065f a_ndx......#..._ 42090: 5f616466 5f6f735f 646d615f 61646472 _adf_os_dma_addr 420a0: 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d 420b0: 6d615f61 6464725f 74000000 0498065f ma_addr_t......_ 420c0: 5f616466 5f6f735f 646d615f 73697a65 _adf_os_dma_size 420d0: 5f740000 0003fa06 6164665f 6f735f64 _t......adf_os_d 420e0: 6d615f73 697a655f 74000000 04c8025f ma_size_t......_ 420f0: 5f646d61 5f736567 73000800 00052403 _dma_segs.....$. 42100: 70616464 72000000 04b10223 00036c65 paddr......#..le 42110: 6e000000 04e10223 0400065f 5f615f75 n......#...__a_u 42120: 696e7433 325f7400 000003fa 06615f75 int32_t......a_u 42130: 696e7433 325f7400 00000524 07000004 int32_t....$.... 42140: f8080000 05530800 00026164 665f6f73 .....S....adf_os 42150: 5f646d61 6d61705f 696e666f 000c0000 _dmamap_info.... 42160: 058c036e 73656773 00000005 36022300 ...nsegs....6.#. 42170: 03646d61 5f736567 73000000 05460223 .dma_segs....F.# 42180: 0400065f 5f615f75 696e7438 5f740000 ...__a_uint8_t.. 42190: 0001a106 615f7569 6e74385f 74000000 ....a_uint8_t... 421a0: 058c0400 00059d04 00025f5f 73675f73 ..........__sg_s 421b0: 65677300 08000005 de037661 64647200 egs.......vaddr. 421c0: 000005ac 02230003 6c656e00 00000536 .....#..len....6 421d0: 02230400 07000005 b3200000 05eb0803 .#....... ...... 421e0: 00026164 665f6f73 5f73676c 69737400 ..adf_os_sglist. 421f0: 24000006 1e036e73 65677300 00000536 $.....nsegs....6 42200: 02230003 73675f73 65677300 000005de .#..sg_segs..... 42210: 02230400 0c100000 06670376 656e646f .#.......g.vendo 42220: 72000000 05360223 00036465 76696365 r....6.#..device 42230: 00000005 36022304 03737562 76656e64 ....6.#..subvend 42240: 6f720000 00053602 23080373 75626465 or....6.#..subde 42250: 76696365 00000005 3602230c 00056c6f vice....6.#...lo 42260: 6e67206c 6f6e6720 756e7369 676e6564 ng long unsigned 42270: 20696e74 00070806 415f5549 4e543634 int....A_UINT64 42280: 00000006 67065f5f 615f7569 6e743634 ....g.__a_uint64 42290: 5f740000 00068106 615f7569 6e743634 _t......a_uint64 422a0: 5f740000 00068f0d 04000006 ed0e4144 _t............AD 422b0: 465f4f53 5f524553 4f555243 455f5459 F_OS_RESOURCE_TY 422c0: 50455f4d 454d0000 0e414446 5f4f535f PE_MEM...ADF_OS_ 422d0: 5245534f 55524345 5f545950 455f494f RESOURCE_TYPE_IO 422e0: 00010006 6164665f 6f735f72 65736f75 ....adf_os_resou 422f0: 7263655f 74797065 5f740000 0006b10c rce_type_t...... 42300: 18000007 37037374 61727400 000006a1 ....7.start..... 42310: 02230003 656e6400 000006a1 02230803 .#..end......#.. 42320: 74797065 00000006 ed022310 00066164 type......#...ad 42330: 665f6f73 5f706369 5f646576 5f69645f f_os_pci_dev_id_ 42340: 74000000 061e0400 00073704 000f0400 t.........7..... 42350: 00077603 70636900 00000750 02230003 ..v.pci....P.#.. 42360: 72617700 00000232 02230000 0f100000 raw....2.#...... 42370: 07950370 63690000 00073702 23000372 ...pci....7.#..r 42380: 61770000 00023202 23000006 6164665f aw....2.#...adf_ 42390: 6472765f 68616e64 6c655f74 00000002 drv_handle_t.... 423a0: 32066164 665f6f73 5f726573 6f757263 2.adf_os_resourc 423b0: 655f7400 00000709 04000007 ab040006 e_t............. 423c0: 6164665f 6f735f61 74746163 685f6461 adf_os_attach_da 423d0: 74615f74 00000007 76040000 07c90400 ta_t....v....... 423e0: 04000003 c8040006 5f5f6164 665f6f73 ........__adf_os 423f0: 5f646576 6963655f 74000000 07ea0661 _device_t......a 42400: 64665f6f 735f6465 76696365 5f740000 df_os_device_t.. 42410: 0007f10a 00000795 01040000 081d0400 ................ 42420: 09010400 00082a04 00066164 665f6f73 ......*...adf_os 42430: 5f706d5f 74000000 02320901 04000008 _pm_t....2...... 42440: 4404000d 04000008 840e4144 465f4f53 D.........ADF_OS 42450: 5f425553 5f545950 455f5043 4900010e _BUS_TYPE_PCI... 42460: 4144465f 4f535f42 55535f54 5950455f ADF_OS_BUS_TYPE_ 42470: 47454e45 52494300 02000661 64665f6f GENERIC....adf_o 42480: 735f6275 735f7479 70655f74 00000008 s_bus_type_t.... 42490: 4d066164 665f6f73 5f627573 5f726567 M.adf_os_bus_reg 424a0: 5f646174 615f7400 00000757 04000001 _data_t....W.... 424b0: 90040002 5f616466 5f647276 5f696e66 ...._adf_drv_inf 424c0: 6f002000 00096103 6472765f 61747461 o. ...a.drv_atta 424d0: 63680000 00082302 23000364 72765f64 ch....#.#..drv_d 424e0: 65746163 68000000 082c0223 04036472 etach....,.#..dr 424f0: 765f7375 7370656e 64000000 08460223 v_suspend....F.# 42500: 08036472 765f7265 73756d65 00000008 ..drv_resume.... 42510: 2c02230c 03627573 5f747970 65000000 ,.#..bus_type... 42520: 08840223 10036275 735f6461 74610000 ...#..bus_data.. 42530: 00089b02 2314036d 6f645f6e 616d6500 ....#..mod_name. 42540: 000008b6 02231803 69666e61 6d650000 .....#..ifname.. 42550: 0008b602 231c0006 6164665f 6f735f68 ....#...adf_os_h 42560: 616e646c 655f7400 00000232 04000005 andle_t....2.... 42570: 8c040009 01090106 5f5f6164 665f6f73 ........__adf_os 42580: 5f73697a 655f7400 000003ea 0d040000 _size_t......... 42590: 09b00e41 5f46414c 53450000 0e415f54 ...A_FALSE...A_T 425a0: 52554500 01000661 5f626f6f 6c5f7400 RUE....a_bool_t. 425b0: 00000996 04000004 0f040006 5f5f6164 ............__ad 425c0: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 425d0: 0009be09 01106164 665f6f73 5f636163 ......adf_os_cac 425e0: 68655f73 796e6300 0400000a 480e4144 he_sync.....H.AD 425f0: 465f5359 4e435f50 52455245 41440000 F_SYNC_PREREAD.. 42600: 0e414446 5f53594e 435f5052 45575249 .ADF_SYNC_PREWRI 42610: 54450002 0e414446 5f53594e 435f504f TE...ADF_SYNC_PO 42620: 53545245 41440001 0e414446 5f53594e STREAD...ADF_SYN 42630: 435f504f 53545752 49544500 03000661 C_POSTWRITE....a 42640: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 42650: 5f740000 0009df09 01066164 665f6f73 _t........adf_os 42660: 5f73697a 655f7400 00000981 0a00000a _size_t......... 42670: 63010661 64665f6f 735f646d 615f6d61 c..adf_os_dma_ma 42680: 705f7400 000009c5 0400000a 7c04000a p_t.........|... 42690: 00000232 01040000 09c50400 0a000002 ...2............ 426a0: 32010901 0a000004 b1010901 0573686f 2............sho 426b0: 72742069 6e740005 0206415f 494e5431 rt int....A_INT1 426c0: 36000000 0ab6065f 5f615f69 6e743136 6......__a_int16 426d0: 5f740000 000ac306 615f696e 7431365f _t......a_int16_ 426e0: 74000000 0ad00573 69676e65 64206368 t......signed ch 426f0: 61720005 0106415f 494e5438 0000000a ar....A_INT8.... 42700: f0065f5f 615f696e 74385f74 0000000a ..__a_int8_t.... 42710: ff06615f 696e7438 5f740000 000b0b0c ..a_int8_t...... 42720: 0c00000b 82037375 70706f72 74656400 ......supported. 42730: 00000536 02230003 61647665 7274697a ...6.#..advertiz 42740: 65640000 00053602 23040373 70656564 ed....6.#..speed 42750: 0000000a e1022308 03647570 6c657800 ......#..duplex. 42760: 00000b1b 02230a03 6175746f 6e656700 .....#..autoneg. 42770: 0000059d 02230b00 07000005 9d060000 .....#.......... 42780: 0b8f0805 00026164 665f6e65 745f6574 ......adf_net_et 42790: 68616464 72000600 000bb303 61646472 haddr.......addr 427a0: 0000000b 82022300 00065f5f 615f7569 ......#...__a_ui 427b0: 6e743136 5f740000 0001cb06 615f7569 nt16_t......a_ui 427c0: 6e743136 5f740000 000bb30c 0e00000c nt16_t.......... 427d0: 17036574 6865725f 64686f73 74000000 ..ether_dhost... 427e0: 0b820223 00036574 6865725f 73686f73 ...#..ether_shos 427f0: 74000000 0b820223 06036574 6865725f t......#..ether_ 42800: 74797065 0000000b c502230c 000c1400 type......#..... 42810: 000cd811 69705f76 65727369 6f6e0000 ....ip_version.. 42820: 00059d01 00040223 00116970 5f686c00 .......#..ip_hl. 42830: 0000059d 01040402 23000369 705f746f ........#..ip_to 42840: 73000000 059d0223 01036970 5f6c656e s......#..ip_len 42850: 0000000b c5022302 0369705f 69640000 ......#..ip_id.. 42860: 000bc502 23040369 705f6672 61675f6f ....#..ip_frag_o 42870: 66660000 000bc502 23060369 705f7474 ff......#..ip_tt 42880: 6c000000 059d0223 08036970 5f70726f l......#..ip_pro 42890: 746f0000 00059d02 23090369 705f6368 to......#..ip_ch 428a0: 65636b00 00000bc5 02230a03 69705f73 eck......#..ip_s 428b0: 61646472 00000005 3602230c 0369705f addr....6.#..ip_ 428c0: 64616464 72000000 05360223 10000261 daddr....6.#...a 428d0: 64665f6e 65745f76 6c616e68 64720004 df_net_vlanhdr.. 428e0: 00000d2a 03747069 64000000 0bc50223 ...*.tpid......# 428f0: 00117072 696f0000 00059d01 00030223 ..prio.........# 42900: 02116366 69000000 059d0103 01022302 ..cfi.........#. 42910: 11766964 0000000b c502040c 02230200 .vid.........#.. 42920: 02616466 5f6e6574 5f766964 00020000 .adf_net_vid.... 42930: 0d5b1172 65730000 00059d01 00040223 .[.res.........# 42940: 00117661 6c000000 0bc50204 0c022300 ..val.........#. 42950: 000c0c00 000d9703 72785f62 75667369 ........rx_bufsi 42960: 7a650000 00053602 23000372 785f6e64 ze....6.#..rx_nd 42970: 65736300 00000536 02230403 74785f6e esc....6.#..tx_n 42980: 64657363 00000005 36022308 000c0800 desc....6.#..... 42990: 000dbd03 706f6c6c 65640000 0009b002 ....polled...... 429a0: 23000370 6f6c6c5f 77740000 00053602 #..poll_wt....6. 429b0: 23040007 0000059d 4000000d ca083f00 #.......@.....?. 429c0: 0c460000 0df20369 665f6e61 6d650000 .F.....if_name.. 429d0: 000dbd02 23000364 65765f61 64647200 ....#..dev_addr. 429e0: 00000b82 02234000 0d040000 0e290e41 .....#@......).A 429f0: 44465f4f 535f444d 415f4d41 534b5f33 DF_OS_DMA_MASK_3 42a00: 32424954 00000e41 44465f4f 535f444d 2BIT...ADF_OS_DM 42a10: 415f4d41 534b5f36 34424954 00010006 A_MASK_64BIT.... 42a20: 6164665f 6f735f64 6d615f6d 61736b5f adf_os_dma_mask_ 42a30: 74000000 0df20261 64665f64 6d615f69 t......adf_dma_i 42a40: 6e666f00 0800000e 7603646d 615f6d61 nfo.....v.dma_ma 42a50: 736b0000 000e2902 23000373 675f6e73 sk....).#..sg_ns 42a60: 65677300 00000536 02230400 0d040000 egs....6.#...... 42a70: 0ecc0e41 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 42a80: 5f4e4f4e 4500000e 4144465f 4e45545f _NONE...ADF_NET_ 42a90: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 42aa0: 76340001 0e414446 5f4e4554 5f434b53 v4...ADF_NET_CKS 42ab0: 554d5f54 43505f55 44505f49 50763600 UM_TCP_UDP_IPv6. 42ac0: 02000661 64665f6e 65745f63 6b73756d ...adf_net_cksum 42ad0: 5f747970 655f7400 00000e76 0c080000 _type_t....v.... 42ae0: 0f0f0374 785f636b 73756d00 00000ecc ...tx_cksum..... 42af0: 02230003 72785f63 6b73756d 0000000e .#..rx_cksum.... 42b00: cc022304 00066164 665f6e65 745f636b ..#...adf_net_ck 42b10: 73756d5f 696e666f 5f740000 000ee60d sum_info_t...... 42b20: 0400000f 680e4144 465f4e45 545f5453 ....h.ADF_NET_TS 42b30: 4f5f4e4f 4e450000 0e414446 5f4e4554 O_NONE...ADF_NET 42b40: 5f54534f 5f495056 3400010e 4144465f _TSO_IPV4...ADF_ 42b50: 4e45545f 54534f5f 414c4c00 02000661 NET_TSO_ALL....a 42b60: 64665f6e 65745f74 736f5f74 7970655f df_net_tso_type_ 42b70: 74000000 0f290c10 00000fbc 03636b73 t....).......cks 42b80: 756d5f63 61700000 000f0f02 23000374 um_cap......#..t 42b90: 736f0000 000f6802 23080376 6c616e5f so....h.#..vlan_ 42ba0: 73757070 6f727465 64000000 059d0223 supported......# 42bb0: 0c000c20 00001055 0374785f 7061636b ... ...U.tx_pack 42bc0: 65747300 00000536 02230003 72785f70 ets....6.#..rx_p 42bd0: 61636b65 74730000 00053602 23040374 ackets....6.#..t 42be0: 785f6279 74657300 00000536 02230803 x_bytes....6.#.. 42bf0: 72785f62 79746573 00000005 3602230c rx_bytes....6.#. 42c00: 0374785f 64726f70 70656400 00000536 .tx_dropped....6 42c10: 02231003 72785f64 726f7070 65640000 .#..rx_dropped.. 42c20: 00053602 23140372 785f6572 726f7273 ..6.#..rx_errors 42c30: 00000005 36022318 0374785f 6572726f ....6.#..tx_erro 42c40: 72730000 00053602 231c0006 6164665f rs....6.#...adf_ 42c50: 6e65745f 65746861 6464725f 74000000 net_ethaddr_t... 42c60: 0b8f1200 00105503 00000010 7a087f00 ......U.....z... 42c70: 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 42c80: 64647200 03040000 10b1036e 656c656d ddr........nelem 42c90: 00000005 36022300 036d6361 73740000 ....6.#..mcast.. 42ca0: 00106c02 23040006 6164665f 6e65745f ..l.#...adf_net_ 42cb0: 636d645f 6c696e6b 5f696e66 6f5f7400 cmd_link_info_t. 42cc0: 00000b29 06616466 5f6e6574 5f636d64 ...).adf_net_cmd 42cd0: 5f706f6c 6c5f696e 666f5f74 0000000d _poll_info_t.... 42ce0: 97066164 665f6e65 745f636d 645f636b ..adf_net_cmd_ck 42cf0: 73756d5f 696e666f 5f740000 000f0f06 sum_info_t...... 42d00: 6164665f 6e65745f 636d645f 72696e67 adf_net_cmd_ring 42d10: 5f696e66 6f5f7400 00000d5b 06616466 _info_t....[.adf 42d20: 5f6e6574 5f636d64 5f646d61 5f696e66 _net_cmd_dma_inf 42d30: 6f5f7400 00000e40 06616466 5f6e6574 o_t....@.adf_net 42d40: 5f636d64 5f766964 5f740000 000bc506 _cmd_vid_t...... 42d50: 6164665f 6e65745f 636d645f 6f66666c adf_net_cmd_offl 42d60: 6f61645f 6361705f 74000000 0f800661 oad_cap_t......a 42d70: 64665f6e 65745f63 6d645f73 74617473 df_net_cmd_stats 42d80: 5f740000 000fbc06 6164665f 6e65745f _t......adf_net_ 42d90: 636d645f 6d636164 64725f74 00000010 cmd_mcaddr_t.... 42da0: 7a106164 665f6e65 745f636d 645f6d63 z.adf_net_cmd_mc 42db0: 6173745f 63617000 04000011 f30e4144 ast_cap.......AD 42dc0: 465f4e45 545f4d43 4153545f 53555000 F_NET_MCAST_SUP. 42dd0: 000e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 42de0: 4e4f5453 55500001 00066164 665f6e65 NOTSUP....adf_ne 42df0: 745f636d 645f6d63 6173745f 6361705f t_cmd_mcast_cap_ 42e00: 74000000 11ab1403 04000012 c5036c69 t.............li 42e10: 6e6b5f69 6e666f00 000010b1 02230003 nk_info......#.. 42e20: 706f6c6c 5f696e66 6f000000 10ce0223 poll_info......# 42e30: 0003636b 73756d5f 696e666f 00000010 ..cksum_info.... 42e40: eb022300 0372696e 675f696e 666f0000 ..#..ring_info.. 42e50: 00110902 23000364 6d615f69 6e666f00 ....#..dma_info. 42e60: 00001126 02230003 76696400 00001142 ...&.#..vid....B 42e70: 02230003 6f66666c 6f61645f 63617000 .#..offload_cap. 42e80: 00001159 02230003 73746174 73000000 ...Y.#..stats... 42e90: 11780223 00036d63 6173745f 696e666f .x.#..mcast_info 42ea0: 00000011 91022300 036d6361 73745f63 ......#..mcast_c 42eb0: 61700000 0011f302 2300000d 04000013 ap......#....... 42ec0: 1c0e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 42ed0: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 42ee0: 4255465f 52585f43 4b53554d 5f485700 BUF_RX_CKSUM_HW. 42ef0: 010e4144 465f4e42 55465f52 585f434b ..ADF_NBUF_RX_CK 42f00: 53554d5f 554e4e45 43455353 41525900 SUM_UNNECESSARY. 42f10: 02000661 64665f6e 6275665f 72785f63 ...adf_nbuf_rx_c 42f20: 6b73756d 5f747970 655f7400 000012c5 ksum_type_t..... 42f30: 0c080000 135c0372 6573756c 74000000 .....\.result... 42f40: 131c0223 00037661 6c000000 05360223 ...#..val....6.# 42f50: 04000c08 0000138c 03747970 65000000 .........type... 42f60: 0f680223 00036d73 73000000 0bc50223 .h.#..mss......# 42f70: 04036864 725f6f66 66000000 059d0223 ..hdr_off......# 42f80: 0600025f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 42f90: 65616400 0c000013 cb036865 61640000 ead.......head.. 42fa0: 00032902 23000374 61696c00 00000329 ..).#..tail....) 42fb0: 02230403 716c656e 00000005 36022308 .#..qlen....6.#. 42fc0: 00065f5f 6164665f 6e627566 5f740000 ..__adf_nbuf_t.. 42fd0: 00032904 000005ac 04000400 00053604 ..)...........6. 42fe0: 0009010a 00000208 010a0000 0536010a .............6.. 42ff0: 000005ac 010a0000 05ac0104 000001d9 ................ 43000: 0400065f 5f616466 5f6e6275 665f7168 ...__adf_nbuf_qh 43010: 6561645f 74000000 138c065f 5f616466 ead_t......__adf 43020: 5f6e6275 665f7175 6575655f 74000000 _nbuf_queue_t... 43030: 140c0400 00142404 000a0000 13cb010a ......$......... 43040: 000013cb 010d0400 0015440e 415f5354 ..........D.A_ST 43050: 41545553 5f4f4b00 000e415f 53544154 ATUS_OK...A_STAT 43060: 55535f46 41494c45 4400010e 415f5354 US_FAILED...A_ST 43070: 41545553 5f454e4f 454e5400 020e415f ATUS_ENOENT...A_ 43080: 53544154 55535f45 4e4f4d45 4d00030e STATUS_ENOMEM... 43090: 415f5354 41545553 5f45494e 56414c00 A_STATUS_EINVAL. 430a0: 040e415f 53544154 55535f45 494e5052 ..A_STATUS_EINPR 430b0: 4f475245 53530005 0e415f53 54415455 OGRESS...A_STATU 430c0: 535f454e 4f545355 50500006 0e415f53 S_ENOTSUPP...A_S 430d0: 54415455 535f4542 55535900 070e415f TATUS_EBUSY...A_ 430e0: 53544154 55535f45 32424947 00080e41 STATUS_E2BIG...A 430f0: 5f535441 5455535f 45414444 524e4f54 _STATUS_EADDRNOT 43100: 41564149 4c00090e 415f5354 41545553 AVAIL...A_STATUS 43110: 5f454e58 494f000a 0e415f53 54415455 _ENXIO...A_STATU 43120: 535f4546 41554c54 000b0e41 5f535441 S_EFAULT...A_STA 43130: 5455535f 45494f00 0c000661 5f737461 TUS_EIO....a_sta 43140: 7475735f 74000000 144f0a00 00154401 tus_t....O....D. 43150: 0a000001 ed010901 06616466 5f6e6275 .........adf_nbu 43160: 665f7400 000013cb 0d040000 15a90e41 f_t............A 43170: 44465f4f 535f444d 415f544f 5f444556 DF_OS_DMA_TO_DEV 43180: 49434500 000e4144 465f4f53 5f444d41 ICE...ADF_OS_DMA 43190: 5f46524f 4d5f4445 56494345 00010006 _FROM_DEVICE.... 431a0: 6164665f 6f735f64 6d615f64 69725f74 adf_os_dma_dir_t 431b0: 00000015 720a0000 15440109 01066164 ....r....D....ad 431c0: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 431d0: 5f740000 00055304 000015c7 04000901 _t....S......... 431e0: 09010a00 00156201 0a000013 cb010901 ......b......... 431f0: 09010a00 00156201 0a000013 cb010a00 ......b......... 43200: 00156201 0a000013 cb010a00 00156201 ..b...........b. 43210: 09010901 0a000005 36010a00 0005ac01 ........6....... 43220: 09010901 0a00000a 63010a00 0009b001 ........c....... 43230: 0a000009 b0010661 64665f6f 735f7367 .......adf_os_sg 43240: 6c697374 5f740000 0005eb04 00001640 list_t.........@ 43250: 04000901 09010901 0a000005 ac010661 ...............a 43260: 64665f6e 6275665f 71756575 655f7400 df_nbuf_queue_t. 43270: 00001424 04000016 68040009 01040000 ...$....h....... 43280: 140c0400 09010901 09010a00 00156201 ..............b. 43290: 0a000013 cb010a00 00053601 0a000005 ..........6..... 432a0: 36010a00 0009b001 0a000009 b0010a00 6............... 432b0: 000ecc01 0a000005 36010661 64665f6e ........6..adf_n 432c0: 6275665f 72785f63 6b73756d 5f740000 buf_rx_cksum_t.. 432d0: 00133a04 000016c4 04000901 09010661 ..:............a 432e0: 64665f6e 6275665f 74736f5f 74000000 df_nbuf_tso_t... 432f0: 135c0400 0016e804 00090109 01066164 .\............ad 43300: 665f6e65 745f6861 6e646c65 5f740000 f_net_handle_t.. 43310: 00023206 6164665f 6e65745f 766c616e ..2.adf_net_vlan 43320: 6864725f 74000000 0cd80400 00171d04 hdr_t........... 43330: 000a0000 1544010a 00001544 01090109 .....D.....D.... 43340: 01025f48 49465f43 4f4e4649 47000400 .._HIF_CONFIG... 43350: 00176c03 64756d6d 79000000 01ed0223 ..l.dummy......# 43360: 00000901 04000017 6c040009 01040000 ........l....... 43370: 17750400 025f4849 465f4341 4c4c4241 .u..._HIF_CALLBA 43380: 434b000c 000017ca 0373656e 645f6275 CK.......send_bu 43390: 665f646f 6e650000 00176e02 23000372 f_done....n.#..r 433a0: 6563765f 62756600 00001777 02230403 ecv_buf....w.#.. 433b0: 636f6e74 65787400 00000232 02230800 context....2.#.. 433c0: 06686966 5f68616e 646c655f 74000000 .hif_handle_t... 433d0: 02320648 49465f43 4f4e4649 47000000 .2.HIF_CONFIG... 433e0: 174b0400 0017dc04 000a0000 17ca0104 .K.............. 433f0: 000017f3 04000901 04000018 00040006 ................ 43400: 4849465f 43414c4c 4241434b 00000017 HIF_CALLBACK.... 43410: 7e040000 18090400 09010400 00182204 ~.............". 43420: 000a0000 01ed0104 0000182b 04000901 ...........+.... 43430: 04000018 3804000a 000001ed 01040000 ....8........... 43440: 18410400 09010400 00184e04 000a0000 .A........N..... 43450: 01ed0104 00001857 04000901 04000018 .......W........ 43460: 64040002 6869665f 61706900 38000019 d...hif_api.8... 43470: bd035f69 6e697400 000017f9 02230003 .._init......#.. 43480: 5f736875 74646f77 6e000000 18020223 _shutdown......# 43490: 04035f72 65676973 7465725f 63616c6c .._register_call 434a0: 6261636b 00000018 24022308 035f6765 back....$.#.._ge 434b0: 745f746f 74616c5f 63726564 69745f63 t_total_credit_c 434c0: 6f756e74 00000018 3102230c 035f7374 ount....1.#.._st 434d0: 61727400 00001802 02231003 5f636f6e art......#.._con 434e0: 6669675f 70697065 00000018 3a022314 fig_pipe....:.#. 434f0: 035f7365 6e645f62 75666665 72000000 ._send_buffer... 43500: 18470223 18035f72 65747572 6e5f7265 .G.#.._return_re 43510: 63765f62 75660000 00185002 231c035f cv_buf....P.#.._ 43520: 69735f70 6970655f 73757070 6f727465 is_pipe_supporte 43530: 64000000 185d0223 20035f67 65745f6d d....].# ._get_m 43540: 61785f6d 73675f6c 656e0000 00185d02 ax_msg_len....]. 43550: 2324035f 6765745f 72657365 72766564 #$._get_reserved 43560: 5f686561 64726f6f 6d000000 18310223 _headroom....1.# 43570: 28035f69 73725f68 616e646c 65720000 (._isr_handler.. 43580: 00180202 232c035f 6765745f 64656661 ....#,._get_defa 43590: 756c745f 70697065 00000018 66022330 ult_pipe....f.#0 435a0: 03705265 73657276 65640000 00023202 .pReserved....2. 435b0: 23340009 01040000 19bd0400 15000004 #4.............. 435c0: 4f150000 044f0400 0019cb04 000a0000 O....O.......... 435d0: 01ed0104 000019d7 04000270 72696e74 ...........print 435e0: 665f6170 69000800 001a1b03 5f707269 f_api......._pri 435f0: 6e74665f 696e6974 00000019 bf022300 ntf_init......#. 43600: 035f7072 696e7466 00000019 dd022304 ._printf......#. 43610: 00067569 6e743136 5f740000 0001b505 ..uint16_t...... 43620: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 43630: 74000704 0675696e 7433325f 74000000 t....uint32_t... 43640: 1a290275 6172745f 6669666f 00080000 .).uart_fifo.... 43650: 1a970373 74617274 5f696e64 65780000 ...start_index.. 43660: 001a1b02 23000365 6e645f69 6e646578 ....#..end_index 43670: 0000001a 1b022302 036f7665 7272756e ......#..overrun 43680: 5f657272 0000001a 3e022304 00027561 _err....>.#...ua 43690: 72745f61 70690020 00001b50 035f7561 rt_api. ...P._ua 436a0: 72745f69 6e697400 00001ba7 02230003 rt_init......#.. 436b0: 5f756172 745f6368 61725f70 75740000 _uart_char_put.. 436c0: 001bbd02 2304035f 75617274 5f636861 ....#.._uart_cha 436d0: 725f6765 74000000 1bd10223 08035f75 r_get......#.._u 436e0: 6172745f 7374725f 6f757400 00001bda art_str_out..... 436f0: 02230c03 5f756172 745f7461 736b0000 .#.._uart_task.. 43700: 0019bf02 2310035f 75617274 5f737461 ....#.._uart_sta 43710: 74757300 00001ba7 02231403 5f756172 tus......#.._uar 43720: 745f636f 6e666967 0000001b e3022318 t_config......#. 43730: 035f7561 72745f68 77696e69 74000000 ._uart_hwinit... 43740: 1bec0223 1c000400 001a9704 00027561 ...#..........ua 43750: 72745f62 6c6b0010 00001ba1 03646562 rt_blk.......deb 43760: 75675f6d 6f646500 00001a1b 02230003 ug_mode......#.. 43770: 62617564 0000001a 1b022302 035f7561 baud......#.._ua 43780: 72740000 001b5002 2304035f 74780000 rt....P.#.._tx.. 43790: 001a4c02 2308000a 00001a3e 01040000 ..L.#......>.... 437a0: 1ba10400 0675696e 74385f74 00000001 .....uint8_t.... 437b0: 90090104 00001bbb 04000400 001bae04 ................ 437c0: 000a0000 1a1b0104 00001bcb 04000901 ................ 437d0: 0400001b d8040009 01040000 1be10400 ................ 437e0: 09010400 001bea04 000a0000 01ed0104 ................ 437f0: 00001bf3 04000244 425f434f 4d4d414e .......DB_COMMAN 43800: 445f5354 52554354 000c0000 1c4b0363 D_STRUCT.....K.c 43810: 6d645f73 74720000 00045702 23000368 md_str....W.#..h 43820: 656c705f 73747200 00000457 02230403 elp_str....W.#.. 43830: 636d645f 66756e63 0000001b f9022308 cmd_func......#. 43840: 00026462 675f6170 69000800 001c7e03 ..dbg_api.....~. 43850: 5f646267 5f696e69 74000000 19bf0223 _dbg_init......# 43860: 00035f64 62675f74 61736b00 000019bf .._dbg_task..... 43870: 02230400 0a000002 32010400 001c7e04 .#......2.....~. 43880: 00161604 00001c8c 04000a00 00023201 ..............2. 43890: 0400001c 9404000a 000001ed 01040000 ................ 438a0: 1ca10400 026d656d 5f617069 00140000 .....mem_api.... 438b0: 1d10035f 6d656d5f 696e6974 00000019 ..._mem_init.... 438c0: bf022300 035f6d65 6d736574 0000001c ..#.._memset.... 438d0: 84022304 035f6d65 6d637079 0000001c ..#.._memcpy.... 438e0: 9a022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... 438f0: 1c9a0223 0c035f6d 656d636d 70000000 ...#.._memcmp... 43900: 1ca70223 10001772 65676973 7465725f ...#...register_ 43910: 64756d70 5f730000 01040000 1d100400 dump_s.......... 43920: 09010400 001d2a04 00090104 00001d33 ......*........3 43930: 04000a00 0001ed01 0400001d 3c040010 ............<... 43940: 686f7374 69665f73 00040000 1d980e48 hostif_s.......H 43950: 49465f55 53420000 0e484946 5f504349 IF_USB...HIF_PCI 43960: 4500010e 4849465f 474d4143 00020e48 E...HIF_GMAC...H 43970: 49465f50 43490003 0e484946 5f4e554d IF_PCI...HIF_NUM 43980: 00040e48 49465f4e 4f4e4500 05000641 ...HIF_NONE....A 43990: 5f484f53 54494600 00001d49 0a00001d _HOSTIF....I.... 439a0: 98010400 001da604 000a0000 1bae0104 ................ 439b0: 00001db3 04000a00 001a1b01 0400001d ................ 439c0: c0040002 6d697363 5f617069 00240000 ....misc_api.$.. 439d0: 1eb0035f 73797374 656d5f72 65736574 ..._system_reset 439e0: 00000019 bf022300 035f6d61 635f7265 ......#.._mac_re 439f0: 73657400 000019bf 02230403 5f617373 set......#.._ass 43a00: 6661696c 0000001d 2c022308 035f6d69 fail....,.#.._mi 43a10: 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha 43a20: 6e646c65 72000000 1d2c0223 0c035f72 ndler....,.#.._r 43a30: 65706f72 745f6661 696c7572 655f746f eport_failure_to 43a40: 5f686f73 74000000 1d350223 10035f74 _host....5.#.._t 43a50: 61726765 745f6964 5f676574 0000001d arget_id_get.... 43a60: 42022314 035f6973 5f686f73 745f7072 B.#.._is_host_pr 43a70: 6573656e 74000000 1dac0223 18035f6b esent......#.._k 43a80: 62686974 0000001d b902231c 035f726f bhit......#.._ro 43a90: 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... 43aa0: 1dc60223 20000a00 00045701 0400001e ...# .....W..... 43ab0: b004000a 00000457 01040000 1ebd0400 .......W........ 43ac0: 0a000001 ed010400 001eca04 000a0000 ................ 43ad0: 01ed0104 00001ed7 04000a00 0001ed01 ................ 43ae0: 0400001e e4040002 73747269 6e675f61 ........string_a 43af0: 70690018 00001f6a 035f7374 72696e67 pi.....j._string 43b00: 5f696e69 74000000 19bf0223 00035f73 _init......#.._s 43b10: 74726370 79000000 1eb60223 04035f73 trcpy......#.._s 43b20: 74726e63 70790000 001ec302 2308035f trncpy......#.._ 43b30: 7374726c 656e0000 001ed002 230c035f strlen......#.._ 43b40: 73747263 6d700000 001edd02 2310035f strcmp......#.._ 43b50: 7374726e 636d7000 00001eea 02231400 strncmp......#.. 43b60: 07000003 ea140000 1f770804 00065f41 .........w...._A 43b70: 5f54494d 45525f53 50414345 0000001f _TIMER_SPACE.... 43b80: 6a06415f 74696d65 725f7400 00001f77 j.A_timer_t....w 43b90: 0400001f 8b040009 01040000 1fa10400 ................ 43ba0: 09010400 001faa04 0006415f 48414e44 ..........A_HAND 43bb0: 4c450000 0003ea09 0106415f 54494d45 LE........A_TIME 43bc0: 525f4655 4e430000 001fc104 00001fc3 R_FUNC.......... 43bd0: 04000901 0400001f dc040002 74696d65 ............time 43be0: 725f6170 69001400 00205b03 5f74696d r_api.... [._tim 43bf0: 65725f69 6e697400 000019bf 02230003 er_init......#.. 43c00: 5f74696d 65725f61 726d0000 001fa302 _timer_arm...... 43c10: 2304035f 74696d65 725f6469 7361726d #.._timer_disarm 43c20: 0000001f ac022308 035f7469 6d65725f ......#.._timer_ 43c30: 73657466 6e000000 1fde0223 0c035f74 setfn......#.._t 43c40: 696d6572 5f72756e 00000019 bf022310 imer_run......#. 43c50: 0006424f 4f4c4541 4e000000 1a1b0a00 ..BOOLEAN....... 43c60: 00205b01 04000020 6804000a 0000205b . [.... h..... [ 43c70: 01040000 20750400 0a000020 5b010400 .... u..... [... 43c80: 00208204 0002726f 6d705f61 70690010 . ....romp_api.. 43c90: 000020f4 035f726f 6d705f69 6e697400 .. .._romp_init. 43ca0: 000019bf 02230003 5f726f6d 705f646f .....#.._romp_do 43cb0: 776e6c6f 61640000 00206e02 2304035f wnload... n.#.._ 43cc0: 726f6d70 5f696e73 74616c6c 00000020 romp_install... 43cd0: 7b022308 035f726f 6d705f64 65636f64 {.#.._romp_decod 43ce0: 65000000 20880223 0c000272 6f6d5f70 e... ..#...rom_p 43cf0: 61746368 5f737400 10000021 50036372 atch_st....!P.cr 43d00: 63313600 00001a1b 02230003 6c656e00 c16......#..len. 43d10: 00001a1b 02230203 6c645f61 64647200 .....#..ld_addr. 43d20: 00001a3e 02230403 66756e5f 61646472 ...>.#..fun_addr 43d30: 0000001a 3e022308 03706675 6e000000 ....>.#..pfun... 43d40: 1bc40223 0c000265 65705f72 65646972 ...#...eep_redir 43d50: 5f616464 72000400 00218203 6f666673 _addr....!..offs 43d60: 65740000 001a1b02 23000373 697a6500 et......#..size. 43d70: 00001a1b 02230200 0a000002 32010400 .....#......2... 43d80: 00218204 0002616c 6c6f6372 616d5f61 .!....allocram_a 43d90: 7069000c 000021f3 03636d6e 6f735f61 pi....!..cmnos_a 43da0: 6c6c6f63 72616d5f 696e6974 00000021 llocram_init...! 43db0: 88022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc 43dc0: 72616d00 00002188 02230403 636d6e6f ram...!..#..cmno 43dd0: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug 43de0: 00000019 bf022308 00090104 000021f3 ......#.......!. 43df0: 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN 43e00: 43000000 21f5025f 7461736b 6c657400 C...!.._tasklet. 43e10: 10000022 54036675 6e630000 0021fc02 ..."T.func...!.. 43e20: 23000361 72670000 00023202 23040373 #..arg....2.#..s 43e30: 74617465 00000001 ed022308 036e6578 tate......#..nex 43e40: 74000000 22540223 0c000400 00221004 t..."T.#.....".. 43e50: 00040000 22100400 06415f74 61736b6c ...."....A_taskl 43e60: 65745f74 00000022 10040000 22620400 et_t..."...."b.. 43e70: 09010400 00227a04 00090104 00002283 ....."z.......". 43e80: 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. 43e90: 00002318 035f7461 736b6c65 745f696e ..#.._tasklet_in 43ea0: 69740000 0019bf02 2300035f 7461736b it......#.._task 43eb0: 6c65745f 696e6974 5f746173 6b000000 let_init_task... 43ec0: 227c0223 04035f74 61736b6c 65745f64 "|.#.._tasklet_d 43ed0: 69736162 6c650000 00228502 2308035f isable..."..#.._ 43ee0: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule 43ef0: 00000022 8502230c 035f7461 736b6c65 ..."..#.._taskle 43f00: 745f7275 6e000000 19bf0223 10000901 t_run......#.... 43f10: 04000023 1804000a 000003fa 01040000 ...#............ 43f20: 23210400 02636c6f 636b5f61 70690024 #!...clock_api.$ 43f30: 00002407 035f636c 6f636b5f 696e6974 ..$.._clock_init 43f40: 00000023 1a022300 035f636c 6f636b72 ...#..#.._clockr 43f50: 6567735f 696e6974 00000019 bf022304 egs_init......#. 43f60: 035f7561 72745f66 72657175 656e6379 ._uart_frequency 43f70: 00000023 27022308 035f6465 6c61795f ...#'.#.._delay_ 43f80: 75730000 0001f602 230c035f 776c616e us......#.._wlan 43f90: 5f62616e 645f7365 74000000 01f60223 _band_set......# 43fa0: 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ 43fb0: 67657400 00002327 02231403 5f6d696c get...#'.#.._mil 43fc0: 6c697365 636f6e64 73000000 23270223 liseconds...#'.# 43fd0: 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change 43fe0: 00000019 bf02231c 035f636c 6f636b5f ......#.._clock_ 43ff0: 7469636b 00000019 bf022320 000a0000 tick......# .... 44000: 1a3e0104 00002407 04000641 5f6f6c64 .>....$....A_old 44010: 5f696e74 725f7400 00001a3e 0a000024 _intr_t....>...$ 44020: 14010400 00242604 00090104 00002433 .....$&.......$3 44030: 04000901 04000024 3c04000a 00001a3e .......$<......> 44040: 01040000 24450400 06415f69 73725f74 ....$E...A_isr_t 44050: 00000024 4b090104 0000245f 04000a00 ...$K.....$_.... 44060: 0003ea01 04000024 68040009 01040000 .......$h....... 44070: 24750400 02696e74 725f6170 69002c00 $u...intr_api.,. 44080: 00259703 5f696e74 725f696e 69740000 .%.._intr_init.. 44090: 0019bf02 2300035f 696e7472 5f696e76 ....#.._intr_inv 440a0: 6f6b655f 69737200 0000240d 02230403 oke_isr...$..#.. 440b0: 5f696e74 725f6469 7361626c 65000000 _intr_disable... 440c0: 242c0223 08035f69 6e74725f 72657374 $,.#.._intr_rest 440d0: 6f726500 00002435 02230c03 5f696e74 ore...$5.#.._int 440e0: 725f6d61 736b5f69 6e756d00 0000243e r_mask_inum...$> 440f0: 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask 44100: 5f696e75 6d000000 243e0223 14035f69 _inum...$>.#.._i 44110: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. 44120: 00246102 2318035f 6765745f 696e7472 .$a.#.._get_intr 44130: 656e6162 6c650000 00246e02 231c035f enable...$n.#.._ 44140: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. 44150: 00247702 2320035f 6765745f 696e7472 .$w.# ._get_intr 44160: 70656e64 696e6700 0000246e 02232403 pending...$n.#$. 44170: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int 44180: 726c766c 00000019 bf022328 000f0400 rlvl......#(.... 44190: 0025bd03 74696d65 6f757400 00001a3e .%..timeout....> 441a0: 02230003 61637469 6f6e0000 001a3e02 .#..action....>. 441b0: 2300000c 08000025 d803636d 64000000 #......%..cmd... 441c0: 1a3e0223 00180000 25970223 04000654 .>.#....%..#...T 441d0: 5f574454 5f434d44 00000025 bd090104 _WDT_CMD...%.... 441e0: 000025e7 04000d04 0000263d 0e454e55 ..%.......&=.ENU 441f0: 4d5f5744 545f424f 4f540001 0e454e55 M_WDT_BOOT...ENU 44200: 4d5f434f 4c445f42 4f4f5400 020e454e M_COLD_BOOT...EN 44210: 554d5f53 5553505f 424f4f54 00030e45 UM_SUSP_BOOT...E 44220: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT 44230: 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. 44240: 000025f0 0a000026 3d010400 00264e04 ..%....&=....&N. 44250: 00027764 745f6170 69001c00 0026f203 ..wdt_api....&.. 44260: 5f776474 5f696e69 74000000 19bf0223 _wdt_init......# 44270: 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... 44280: 19bf0223 04035f77 64745f64 69736162 ...#.._wdt_disab 44290: 6c650000 0019bf02 2308035f 7764745f le......#.._wdt_ 442a0: 73657400 000025e9 02230c03 5f776474 set...%..#.._wdt 442b0: 5f746173 6b000000 19bf0223 10035f77 _task......#.._w 442c0: 64745f72 65736574 00000019 bf022314 dt_reset......#. 442d0: 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. 442e0: 00002654 02231800 0d040000 27590e52 ..&T.#......'Y.R 442f0: 45545f53 55434345 53530000 0e524554 ET_SUCCESS...RET 44300: 5f4e4f54 5f494e49 5400010e 5245545f _NOT_INIT...RET_ 44310: 4e4f545f 45584953 5400020e 5245545f NOT_EXIST...RET_ 44320: 4545505f 434f5252 55505400 030e5245 EEP_CORRUPT...RE 44330: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. 44340: 0e524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... 44350: 545f4545 505f5245 54000000 26f20400 T_EEP_RET...&... 44360: 001a1b04 000a0000 27590104 0000276f ........'Y....'o 44370: 04000a00 00275901 04000027 7c040002 .....'Y....'|... 44380: 6565705f 61706900 10000027 e5035f65 eep_api....'.._e 44390: 65705f69 6e697400 000019bf 02230003 ep_init......#.. 443a0: 5f656570 5f726561 64000000 27750223 _eep_read...'u.# 443b0: 04035f65 65705f77 72697465 00000027 .._eep_write...' 443c0: 75022308 035f6565 705f6973 5f657869 u.#.._eep_is_exi 443d0: 73740000 00278202 230c0002 7573625f st...'..#...usb_ 443e0: 61706900 7000002a 92035f75 73625f69 api.p..*.._usb_i 443f0: 6e697400 000019bf 02230003 5f757362 nit......#.._usb 44400: 5f726f6d 5f746173 6b000000 19bf0223 _rom_task......# 44410: 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. 44420: 0019bf02 2308035f 7573625f 696e6974 ....#.._usb_init 44430: 5f706879 00000019 bf02230c 035f7573 _phy......#.._us 44440: 625f6570 305f7365 74757000 000019bf b_ep0_setup..... 44450: 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. 44460: 000019bf 02231403 5f757362 5f657030 .....#.._usb_ep0 44470: 5f727800 000019bf 02231803 5f757362 _rx......#.._usb 44480: 5f676574 5f696e74 65726661 63650000 _get_interface.. 44490: 00207b02 231c035f 7573625f 7365745f . {.#.._usb_set_ 444a0: 696e7465 72666163 65000000 207b0223 interface... {.# 444b0: 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi 444c0: 67757261 74696f6e 00000020 7b022324 guration... {.#$ 444d0: 035f7573 625f7365 745f636f 6e666967 ._usb_set_config 444e0: 75726174 696f6e00 0000207b 02232803 uration... {.#(. 444f0: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm 44500: 64000000 207b0223 2c035f75 73625f76 d... {.#,._usb_v 44510: 656e646f 725f636d 64000000 19bf0223 endor_cmd......# 44520: 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off 44530: 00000019 bf022334 035f7573 625f7265 ......#4._usb_re 44540: 7365745f 6669666f 00000019 bf022338 set_fifo......#8 44550: 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... 44560: 19bf0223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ 44570: 626f6f74 00000019 bf022340 035f7573 boot......#@._us 44580: 625f636c 725f6665 61747572 65000000 b_clr_feature... 44590: 207b0223 44035f75 73625f73 65745f66 {.#D._usb_set_f 445a0: 65617475 72650000 00207b02 2348035f eature... {.#H._ 445b0: 7573625f 7365745f 61646472 65737300 usb_set_address. 445c0: 0000207b 02234c03 5f757362 5f676574 .. {.#L._usb_get 445d0: 5f646573 63726970 746f7200 0000207b _descriptor... { 445e0: 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta 445f0: 74757300 0000207b 02235403 5f757362 tus... {.#T._usb 44600: 5f736574 75705f64 65736300 000019bf _setup_desc..... 44610: 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out 44620: 00000019 bf02235c 035f7573 625f7374 ......#\._usb_st 44630: 61747573 5f696e00 000019bf 02236003 atus_in......#`. 44640: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data 44650: 00000019 bf022364 035f7573 625f6570 ......#d._usb_ep 44660: 305f7278 5f646174 61000000 19bf0223 0_rx_data......# 44670: 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. 44680: 000019bf 02236c00 10646d61 5f656e67 .....#l..dma_eng 44690: 696e6500 0400002b 1b0e444d 415f454e ine....+..DMA_EN 446a0: 47494e45 5f525830 00000e44 4d415f45 GINE_RX0...DMA_E 446b0: 4e47494e 455f5258 3100010e 444d415f NGINE_RX1...DMA_ 446c0: 454e4749 4e455f52 58320002 0e444d41 ENGINE_RX2...DMA 446d0: 5f454e47 494e455f 52583300 030e444d _ENGINE_RX3...DM 446e0: 415f454e 47494e45 5f545830 00040e44 A_ENGINE_TX0...D 446f0: 4d415f45 4e47494e 455f5458 3100050e MA_ENGINE_TX1... 44700: 444d415f 454e4749 4e455f4d 41580006 DMA_ENGINE_MAX.. 44710: 0006646d 615f656e 67696e65 5f740000 ..dma_engine_t.. 44720: 002a9210 646d615f 69667479 70650004 .*..dma_iftype.. 44730: 00002b68 0e444d41 5f49465f 474d4143 ..+h.DMA_IF_GMAC 44740: 00000e44 4d415f49 465f5043 4900010e ...DMA_IF_PCI... 44750: 444d415f 49465f50 43494500 02000664 DMA_IF_PCIE....d 44760: 6d615f69 66747970 655f7400 00002b2d ma_iftype_t...+- 44770: 0a000001 cb010400 002b7a04 00090104 .........+z..... 44780: 00002b87 04000901 0400002b 9004000a ..+........+.... 44790: 000003fa 01040000 2b990400 0a000001 ........+....... 447a0: cb010400 002ba604 000a0000 01cb0104 .....+.......... 447b0: 00002bb3 04000a00 00032901 0400002b ..+.......)....+ 447c0: c0040009 01040000 2bcd0400 02646d61 ........+....dma 447d0: 5f6c6962 5f617069 00340000 2cd40374 _lib_api.4..,..t 447e0: 785f696e 69740000 002b8002 23000374 x_init...+..#..t 447f0: 785f7374 61727400 00002b89 02230403 x_start...+..#.. 44800: 72785f69 6e697400 00002b80 02230803 rx_init...+..#.. 44810: 72785f63 6f6e6669 67000000 2b920223 rx_config...+..# 44820: 0c037278 5f737461 72740000 002b8902 ..rx_start...+.. 44830: 23100369 6e74725f 73746174 75730000 #..intr_status.. 44840: 002b9f02 23140368 6172645f 786d6974 .+..#..hard_xmit 44850: 0000002b ac022318 03666c75 73685f78 ...+..#..flush_x 44860: 6d697400 00002b89 02231c03 786d6974 mit...+..#..xmit 44870: 5f646f6e 65000000 2bb90223 20037265 _done...+..# .re 44880: 61705f78 6d697474 65640000 002bc602 ap_xmitted...+.. 44890: 23240372 6561705f 72656376 0000002b #$.reap_recv...+ 448a0: c6022328 03726574 75726e5f 72656376 ..#(.return_recv 448b0: 0000002b cf02232c 03726563 765f706b ...+..#,.recv_pk 448c0: 74000000 2bb90223 3000025f 5f706369 t...+..#0..__pci 448d0: 5f736f66 7463000c 00002cf2 03737700 _softc....,..sw. 448e0: 00001809 02230000 065f5f70 63695f73 .....#...__pci_s 448f0: 6f667463 5f740000 002cd404 00002cf2 oftc_t...,....,. 44900: 04000901 0400002d 0c04000a 000001a1 .......-........ 44910: 01040000 2d150400 10686966 5f706369 ....-....hif_pci 44920: 5f706970 655f7478 00040000 2d750e48 _pipe_tx....-u.H 44930: 49465f50 43495f50 4950455f 54583000 IF_PCI_PIPE_TX0. 44940: 000e4849 465f5043 495f5049 50455f54 ..HIF_PCI_PIPE_T 44950: 58310001 0e484946 5f504349 5f504950 X1...HIF_PCI_PIP 44960: 455f5458 5f4d4158 00020006 6869665f E_TX_MAX....hif_ 44970: 7063695f 70697065 5f74785f 74000000 pci_pipe_tx_t... 44980: 2d220a00 002b1b01 0400002d 8c040010 -"...+.....-.... 44990: 6869665f 7063695f 70697065 5f727800 hif_pci_pipe_rx. 449a0: 0400002e 120e4849 465f5043 495f5049 ......HIF_PCI_PI 449b0: 50455f52 58300000 0e484946 5f504349 PE_RX0...HIF_PCI 449c0: 5f504950 455f5258 3100010e 4849465f _PIPE_RX1...HIF_ 449d0: 5043495f 50495045 5f525832 00020e48 PCI_PIPE_RX2...H 449e0: 49465f50 43495f50 4950455f 52583300 IF_PCI_PIPE_RX3. 449f0: 030e4849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 44a00: 585f4d41 58000400 06686966 5f706369 X_MAX....hif_pci 44a10: 5f706970 655f7278 5f740000 002d990a _pipe_rx_t...-.. 44a20: 00002b1b 01040000 2e290400 02686966 ..+......)...hif 44a30: 5f706369 5f617069 00240000 2f070370 _pci_api.$../..p 44a40: 63695f62 6f6f745f 696e6974 00000019 ci_boot_init.... 44a50: bf022300 03706369 5f696e69 74000000 ..#..pci_init... 44a60: 17f90223 04037063 695f7265 73657400 ...#..pci_reset. 44a70: 000019bf 02230803 7063695f 656e6162 .....#..pci_enab 44a80: 6c650000 0019bf02 230c0370 63695f72 le......#..pci_r 44a90: 6561705f 786d6974 74656400 00002d0e eap_xmitted...-. 44aa0: 02231003 7063695f 72656170 5f726563 .#..pci_reap_rec 44ab0: 76000000 2d0e0223 14037063 695f6765 v...-..#..pci_ge 44ac0: 745f7069 70650000 002d1b02 23180370 t_pipe...-..#..p 44ad0: 63695f67 65745f74 785f656e 67000000 ci_get_tx_eng... 44ae0: 2d920223 1c037063 695f6765 745f7278 -..#..pci_get_rx 44af0: 5f656e67 0000002e 2f022320 0002676d _eng..../.# ..gm 44b00: 61635f61 70690004 00002f2e 03676d61 ac_api..../..gma 44b10: 635f626f 6f745f69 6e697400 000019bf c_boot_init..... 44b20: 02230000 07000001 90060000 2f3b0805 .#........../;.. 44b30: 00025f5f 65746868 6472000e 00002f71 ..__ethhdr..../q 44b40: 03647374 0000002f 2e022300 03737263 .dst.../..#..src 44b50: 0000002f 2e022306 03657479 70650000 .../..#..etype.. 44b60: 0001cb02 230c0002 5f5f6174 68686472 ....#...__athhdr 44b70: 00040000 2fbf1172 65730000 0001a101 ..../..res...... 44b80: 00020223 00117072 6f746f00 000001a1 ...#..proto..... 44b90: 01020602 23000372 65735f6c 6f000000 ....#..res_lo... 44ba0: 01a10223 01037265 735f6869 00000001 ...#..res_hi.... 44bb0: cb022302 00025f5f 676d6163 5f686472 ..#...__gmac_hdr 44bc0: 00140000 2ffb0365 74680000 002f3b02 ..../..eth.../;. 44bd0: 23000361 74680000 002f7102 230e0361 #..ath.../q.#..a 44be0: 6c69676e 5f706164 00000001 cb022312 lign_pad......#. 44bf0: 00065f5f 676d6163 5f686472 5f740000 ..__gmac_hdr_t.. 44c00: 002fbf02 5f5f676d 61635f73 6f667463 ./..__gmac_softc 44c10: 00240000 30450368 64720000 002ffb02 .$..0E.hdr.../.. 44c20: 23000367 72616e00 000001cb 02231403 #..gran......#.. 44c30: 73770000 00180902 23180017 5f415f6f sw......#..._A_o 44c40: 735f6c69 6e6b6167 655f6368 65636b00 s_linkage_check. 44c50: 00010400 00304504 000a0000 01ed0104 .....0E......... 44c60: 00003063 04000400 0003ea04 00135f41 ..0c.........._A 44c70: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 44c80: 6f6e5f74 61626c65 0001b800 0031b303 on_table.....1.. 44c90: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec 44ca0: 6b000000 30690223 00037374 6172745f k...0i.#..start_ 44cb0: 62737300 00003070 02230403 6170705f bss...0p.#..app_ 44cc0: 73746172 74000000 19bf0223 08036d65 start......#..me 44cd0: 6d000000 1cae0223 0c036d69 73630000 m......#..misc.. 44ce0: 001dcd02 23200370 72696e74 66000000 ....# .printf... 44cf0: 19e40223 44037561 72740000 001a9702 ...#D.uart...... 44d00: 234c0367 6d616300 00002f07 02236c03 #L.gmac.../..#l. 44d10: 75736200 000027e5 02237003 636c6f63 usb...'..#p.cloc 44d20: 6b000000 232e0323 e0010374 696d6572 k...#..#...timer 44d30: 0000001f e5032384 0203696e 74720000 ......#...intr.. 44d40: 00247e03 23980203 616c6c6f 6372616d .$~.#...allocram 44d50: 00000021 8f0323c4 0203726f 6d700000 ...!..#...romp.. 44d60: 00208f03 23d00203 7764745f 74696d65 . ..#...wdt_time 44d70: 72000000 265b0323 e0020365 65700000 r...&[.#...eep.. 44d80: 00278903 23fc0203 73747269 6e670000 .'..#...string.. 44d90: 001ef103 238c0303 7461736b 6c657400 ....#...tasklet. 44da0: 0000228c 0323a403 00025f55 53425f46 .."..#...._USB_F 44db0: 49464f5f 434f4e46 49470010 00003226 IFO_CONFIG....2& 44dc0: 03676574 5f636f6d 6d616e64 5f627566 .get_command_buf 44dd0: 00000003 36022300 03726563 765f636f ....6.#..recv_co 44de0: 6d6d616e 64000000 034c0223 04036765 mmand....L.#..ge 44df0: 745f6576 656e745f 62756600 00000336 t_event_buf....6 44e00: 02230803 73656e64 5f657665 6e745f64 .#..send_event_d 44e10: 6f6e6500 0000034c 02230c00 06555342 one....L.#...USB 44e20: 5f464946 4f5f434f 4e464947 00000031 _FIFO_CONFIG...1 44e30: b3040000 32260400 09010400 00324204 ....2&.......2B. 44e40: 00027573 62666966 6f5f6170 69000c00 ..usbfifo_api... 44e50: 00329803 5f696e69 74000000 32440223 .2.._init...2D.# 44e60: 00035f65 6e61626c 655f6576 656e745f .._enable_event_ 44e70: 69737200 000019bf 02230403 70526573 isr......#..pRes 44e80: 65727665 64000000 02320223 08000700 erved....2.#.... 44e90: 00059d02 000032a5 08010002 5f485443 ......2....._HTC 44ea0: 5f465241 4d455f48 44520008 00003317 _FRAME_HDR....3. 44eb0: 03456e64 706f696e 74494400 0000059d .EndpointID..... 44ec0: 02230003 466c6167 73000000 059d0223 .#..Flags......# 44ed0: 01035061 796c6f61 644c656e 0000000b ..PayloadLen.... 44ee0: c5022302 03436f6e 74726f6c 42797465 ..#..ControlByte 44ef0: 73000000 32980223 0403486f 73745365 s...2..#..HostSe 44f00: 714e756d 0000000b c5022306 000c0200 qNum......#..... 44f10: 00333003 4d657373 61676549 44000000 .30.MessageID... 44f20: 0bc50223 00000c08 00003393 034d6573 ...#......3..Mes 44f30: 73616765 49440000 000bc502 23000343 sageID......#..C 44f40: 72656469 74436f75 6e740000 000bc502 reditCount...... 44f50: 23020343 72656469 7453697a 65000000 #..CreditSize... 44f60: 0bc50223 04034d61 78456e64 706f696e ...#..MaxEndpoin 44f70: 74730000 00059d02 2306035f 50616431 ts......#.._Pad1 44f80: 00000005 9d022307 000c0a00 00342a03 ......#......4*. 44f90: 4d657373 61676549 44000000 0bc50223 MessageID......# 44fa0: 00035365 72766963 65494400 00000bc5 ..ServiceID..... 44fb0: 02230203 436f6e6e 65637469 6f6e466c .#..ConnectionFl 44fc0: 61677300 00000bc5 02230403 446f776e ags......#..Down 44fd0: 4c696e6b 50697065 49440000 00059d02 LinkPipeID...... 44fe0: 23060355 704c696e 6b506970 65494400 #..UpLinkPipeID. 44ff0: 0000059d 02230703 53657276 6963654d .....#..ServiceM 45000: 6574614c 656e6774 68000000 059d0223 etaLength......# 45010: 08035f50 61643100 0000059d 02230900 .._Pad1......#.. 45020: 0c0a0000 34b2034d 65737361 67654944 ....4..MessageID 45030: 0000000b c5022300 03536572 76696365 ......#..Service 45040: 49440000 000bc502 23020353 74617475 ID......#..Statu 45050: 73000000 059d0223 0403456e 64706f69 s......#..Endpoi 45060: 6e744944 00000005 9d022305 034d6178 ntID......#..Max 45070: 4d736753 697a6500 00000bc5 02230603 MsgSize......#.. 45080: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 45090: 68000000 059d0223 08035f50 61643100 h......#.._Pad1. 450a0: 0000059d 02230900 0c020000 34cb034d .....#......4..M 450b0: 65737361 67654944 0000000b c5022300 essageID......#. 450c0: 000c0400 00350703 4d657373 61676549 .....5..MessageI 450d0: 44000000 0bc50223 00035069 70654944 D......#..PipeID 450e0: 00000005 9d022302 03437265 64697443 ......#..CreditC 450f0: 6f756e74 00000005 9d022303 000c0400 ount......#..... 45100: 00353e03 4d657373 61676549 44000000 .5>.MessageID... 45110: 0bc50223 00035069 70654944 00000005 ...#..PipeID.... 45120: 9d022302 03537461 74757300 0000059d ..#..Status..... 45130: 02230300 0c020000 35650352 65636f72 .#......5e.Recor 45140: 64494400 0000059d 02230003 4c656e67 dID......#..Leng 45150: 74680000 00059d02 2301000c 02000035 th......#......5 45160: 8f03456e 64706f69 6e744944 00000005 ..EndpointID.... 45170: 9d022300 03437265 64697473 00000005 ..#..Credits.... 45180: 9d022301 000c0400 0035d003 456e6470 ..#......5..Endp 45190: 6f696e74 49440000 00059d02 23000343 ointID......#..C 451a0: 72656469 74730000 00059d02 23010354 redits......#..T 451b0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... 451c0: 0bc50223 02000700 00059d04 000035dd ...#..........5. 451d0: 0803000c 06000036 19035072 6556616c .......6..PreVal 451e0: 69640000 00059d02 2300034c 6f6f6b41 id......#..LookA 451f0: 68656164 00000035 d0022301 03506f73 head...5..#..Pos 45200: 7456616c 69640000 00059d02 23050006 tValid......#... 45210: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... 45220: 02320a00 00361901 04000036 2c040009 .2...6.....6,... 45230: 01040000 36390400 0d040000 36b70e50 ....69......6..P 45240: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR 45250: 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI 45260: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. 45270: 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC 45280: 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID 45290: 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P 452a0: 4f4f4c5f 49445f4d 4158000a 00064255 OOL_ID_MAX....BU 452b0: 465f504f 4f4c5f49 44000000 36420901 F_POOL_ID...6B.. 452c0: 04000036 c804000a 00001562 01040000 ...6.......b.... 452d0: 36d10400 0a000015 62010400 0036de04 6.......b....6.. 452e0: 00090104 000036eb 04000262 75665f70 ......6....buf_p 452f0: 6f6f6c5f 61706900 1c000037 8d035f69 ool_api....7.._i 45300: 6e697400 00003632 02230003 5f736875 nit...62.#.._shu 45310: 74646f77 6e000000 363b0223 04035f63 tdown...6;.#.._c 45320: 72656174 655f706f 6f6c0000 0036ca02 reate_pool...6.. 45330: 2308035f 616c6c6f 635f6275 66000000 #.._alloc_buf... 45340: 36d70223 0c035f61 6c6c6f63 5f627566 6..#.._alloc_buf 45350: 5f616c69 676e0000 0036e402 2310035f _align...6..#.._ 45360: 66726565 5f627566 00000036 ed022314 free_buf...6..#. 45370: 03705265 73657276 65640000 00023202 .pReserved....2. 45380: 23180002 5f485443 5f534552 56494345 #..._HTC_SERVICE 45390: 001c0000 386c0370 4e657874 00000038 ....8l.pNext...8 453a0: 6c022300 0350726f 63657373 52656376 l.#..ProcessRecv 453b0: 4d736700 00003921 02230403 50726f63 Msg...9!.#..Proc 453c0: 65737353 656e6442 75666665 72436f6d essSendBufferCom 453d0: 706c6574 65000000 392a0223 08035072 plete...9*.#..Pr 453e0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 453f0: 3e02230c 03536572 76696365 49440000 >.#..ServiceID.. 45400: 0001cb02 23100353 65727669 6365466c ....#..ServiceFl 45410: 61677300 000001cb 02231203 4d617853 ags......#..MaxS 45420: 76634d73 6753697a 65000000 01cb0223 vcMsgSize......# 45430: 14035472 61696c65 72537063 43686563 ..TrailerSpcChec 45440: 6b4c696d 69740000 0001cb02 23160353 kLimit......#..S 45450: 65727669 63654374 78000000 02320223 erviceCtx....2.# 45460: 18000400 00378d04 000d0400 00390a19 .....7.......9.. 45470: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. 45480: ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. 45490: 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END 454a0: 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN 454b0: 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. 454c0: 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END 454d0: 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN 454e0: 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. 454f0: 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... 45500: 06485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID 45510: 00000038 73090104 0000391f 04000901 ...8s.....9..... 45520: 04000039 28040004 000001ed 04000a00 ...9(........... 45530: 0001a101 04000039 38040004 0000378d .......98.....7. 45540: 0400025f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. 45550: 000039bd 03437265 64697453 697a6500 ..9..CreditSize. 45560: 000001ed 02230003 43726564 69744e75 .....#..CreditNu 45570: 6d626572 00000001 ed022304 034f5348 mber......#..OSH 45580: 616e646c 65000000 09610223 08034849 andle....a.#..HI 45590: 4648616e 646c6500 000017ca 02230c03 FHandle......#.. 455a0: 506f6f6c 48616e64 6c650000 00361902 PoolHandle...6.. 455b0: 23100002 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON 455c0: 54455854 00020000 39f90365 6e645f70 TEXT....9..end_p 455d0: 6f696e74 00000001 a1022300 03687463 oint......#..htc 455e0: 5f666c61 67730000 0001a102 23010006 _flags......#... 455f0: 6874635f 68616e64 6c655f74 00000002 htc_handle_t.... 45600: 32064854 435f5345 5455505f 434f4d50 2.HTC_SETUP_COMP 45610: 4c455445 5f434200 000019bf 06485443 LETE_CB......HTC 45620: 5f434f4e 46494700 0000394c 0400003a _CONFIG...9L...: 45630: 2604000a 000039f9 01040000 3a3d0400 &.....9.....:=.. 45640: 09010400 003a4a04 00064854 435f5345 .....:J...HTC_SE 45650: 52564943 45000000 378d0400 003a5304 RVICE...7....:S. 45660: 00090104 00003a6b 04000901 0400003a ......:k.......: 45670: 74040009 01040000 3a7d0400 0a000001 t.......:}...... 45680: ed010400 003a8604 00026874 635f6170 .....:....htc_ap 45690: 69730034 00003c03 035f4854 435f496e is.4..<.._HTC_In 456a0: 69740000 003a4302 2300035f 4854435f it...:C.#.._HTC_ 456b0: 53687574 646f776e 0000003a 4c022304 Shutdown...:L.#. 456c0: 035f4854 435f5265 67697374 65725365 ._HTC_RegisterSe 456d0: 72766963 65000000 3a6d0223 08035f48 rvice...:m.#.._H 456e0: 54435f52 65616479 0000003a 4c02230c TC_Ready...:L.#. 456f0: 035f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 45700: 65727300 00003a76 02231003 5f485443 ers...:v.#.._HTC 45710: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi 45720: 73740000 003a7f02 2314035f 4854435f st...:..#.._HTC_ 45730: 53656e64 4d736700 00003a76 02231803 SendMsg...:v.#.. 45740: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved 45750: 48656164 726f6f6d 0000003a 8c02231c Headroom...:..#. 45760: 035f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan 45770: 646c6572 00000017 77022320 035f4854 dler....w.# ._HT 45780: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle 45790: 72000000 176e0223 24035f48 54435f43 r....n.#$._HTC_C 457a0: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 457b0: 4d736700 00003921 02232803 5f485443 Msg...9!.#(._HTC 457c0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce 457d0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. 457e0: 00392a02 232c0370 52657365 72766564 .9*.#,.pReserved 457f0: 00000002 32022330 0002686f 73745f61 ....2.#0..host_a 45800: 70705f61 7265615f 73000400 003c3303 pp_area_s....<3. 45810: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver 45820: 00000005 36022300 000c0e00 003c6a03 ....6.#......f.HtcHan 45a10: 646c6500 000039f9 02230003 506f6f6c dle...9..#..Pool 45a20: 48616e64 6c650000 00361902 2304034d Handle...6..#..M 45a30: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. 45a40: 0001ed02 2308034d 61784576 656e7445 ....#..MaxEventE 45a50: 76747300 000001ed 02230c00 09010400 vts......#...... 45a60: 003e6604 0006574d 495f434d 445f4841 .>f...WMI_CMD_HA 45a70: 4e444c45 52000000 3e68025f 574d495f NDLER...>h._WMI_ 45a80: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. 45a90: 00003ecf 0370436d 6448616e 646c6572 ..>..pCmdHandler 45aa0: 0000003e 6f022300 03436d64 49440000 ...>o.#..CmdID.. 45ab0: 0001cb02 23040346 6c616773 00000001 ....#..Flags.... 45ac0: cb022306 00025f57 4d495f44 49535041 ..#..._WMI_DISPA 45ad0: 5443485f 5441424c 45001000 003f3003 TCH_TABLE....?0. 45ae0: 704e6578 74000000 3f300223 00037043 pNext...?0.#..pC 45af0: 6f6e7465 78740000 00023202 2304034e ontext....2.#..N 45b00: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. 45b10: 0001ed02 23080370 5461626c 65000000 ....#..pTable... 45b20: 3f4f0223 0c000400 003ecf04 0006574d ?O.#.....>....WM 45b30: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY 45b40: 0000003e 84040000 3f370400 0400003e ...>....?7.....> 45b50: cf040006 4854435f 4255465f 434f4e54 ....HTC_BUF_CONT 45b60: 45585400 000039bd 10574d49 5f455654 EXT...9..WMI_EVT 45b70: 5f434c41 53530004 00003fe7 19574d49 _CLASS....?..WMI 45b80: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. 45b90: ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA 45ba0: 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W 45bb0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 45bc0: 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT 45bd0: 5f434c41 53535f4d 41580002 0006574d _CLASS_MAX....WM 45be0: 495f4556 545f434c 41535300 00003f72 I_EVT_CLASS...?r 45bf0: 025f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX 45c00: 54000c00 00404503 48746342 75664374 T....@E.HtcBufCt 45c10: 78000000 3f5d0223 00034576 656e7443 x...?].#..EventC 45c20: 6c617373 0000003f e7022304 03466c61 lass...?..#..Fla 45c30: 67730000 0001cb02 23080006 776d695f gs......#...wmi_ 45c40: 68616e64 6c655f74 00000002 3206574d handle_t....2.WM 45c50: 495f5356 435f434f 4e464947 0000003d I_SVC_CONFIG...= 45c60: fd040000 40570400 0a000040 45010400 ....@W.....@E... 45c70: 00407204 0006574d 495f4449 53504154 .@r...WMI_DISPAT 45c80: 43485f54 41424c45 0000003e cf040000 CH_TABLE...>.... 45c90: 407f0400 09010400 00409e04 000a0000 @........@...... 45ca0: 15620104 000040a7 04000901 04000040 .b....@........@ 45cb0: b404000a 000001ed 01040000 40bd0400 ............@... 45cc0: 09010400 0040ca04 000a0000 01a10104 .....@.......... 45cd0: 000040d3 0400025f 776d695f 7376635f ..@...._wmi_svc_ 45ce0: 61706973 002c0000 421b035f 574d495f apis.,..B.._WMI_ 45cf0: 496e6974 00000040 78022300 035f574d Init...@x.#.._WM 45d00: 495f5265 67697374 65724469 73706174 I_RegisterDispat 45d10: 63685461 626c6500 000040a0 02230403 chTable...@..#.. 45d20: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. 45d30: 000040ad 02230803 5f574d49 5f53656e ..@..#.._WMI_Sen 45d40: 64457665 6e740000 0040b602 230c035f dEvent...@..#.._ 45d50: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv 45d60: 656e7473 436f756e 74000000 40c30223 entsCount...@..# 45d70: 10035f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl 45d80: 65746548 616e646c 65720000 00392a02 eteHandler...9*. 45d90: 2314035f 574d495f 47657443 6f6e7472 #.._WMI_GetContr 45da0: 6f6c4570 00000040 c3022318 035f574d olEp...@..#.._WM 45db0: 495f5368 7574646f 776e0000 0040cc02 I_Shutdown...@.. 45dc0: 231c035f 574d495f 52656376 4d657373 #.._WMI_RecvMess 45dd0: 61676548 616e646c 65720000 00392102 ageHandler...9!. 45de0: 2320035f 574d495f 53657276 69636543 # ._WMI_ServiceC 45df0: 6f6e6e65 63740000 0040d902 23240370 onnect...@..#$.p 45e00: 52657365 72766564 00000002 32022328 Reserved....2.#( 45e10: 00027a73 446d6144 65736300 14000042 ..zsDmaDesc....B 45e20: 9d036374 726c0000 0001b502 23000373 ..ctrl......#..s 45e30: 74617475 73000000 01b50223 0203746f tatus......#..to 45e40: 74616c4c 656e0000 0001b502 23040364 talLen......#..d 45e50: 61746153 697a6500 000001b5 02230603 ataSize......#.. 45e60: 6c617374 41646472 00000042 9d022308 lastAddr...B..#. 45e70: 03646174 61416464 72000000 1a290223 .dataAddr....).# 45e80: 0c036e65 78744164 64720000 00429d02 ..nextAddr...B.. 45e90: 23100004 0000421b 04000400 00421b04 #.....B......B.. 45ea0: 00027a73 446d6151 75657565 00080000 ..zsDmaQueue.... 45eb0: 42dd0368 65616400 000042a4 02230003 B..head...B..#.. 45ec0: 7465726d 696e6174 6f720000 0042a402 terminator...B.. 45ed0: 23040002 7a735478 446d6151 75657565 #...zsTxDmaQueue 45ee0: 00100000 43410368 65616400 000042a4 ....CA.head...B. 45ef0: 02230003 7465726d 696e6174 6f720000 .#..terminator.. 45f00: 0042a402 23040378 6d697465 645f6275 .B..#..xmited_bu 45f10: 665f6865 61640000 00032902 23080378 f_head....).#..x 45f20: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. 45f30: 00032902 230c0009 01040000 43410400 ..).#.......CA.. 45f40: 04000042 ab040009 01040000 43510400 ...B........CQ.. 45f50: 04000042 dd040009 01040000 43610400 ...B........Ca.. 45f60: 09010400 00436a04 00090104 00004373 .....Cj.......Cs 45f70: 04000a00 00032901 04000043 7c040009 ......)....C|... 45f80: 01040000 43890400 0a000003 29010400 ....C.......)... 45f90: 00439204 00090104 0000439f 04000a00 .C........C..... 45fa0: 0001ed01 04000043 a804000a 000042a4 .......C......B. 45fb0: 01040000 43b50400 09010400 0043c204 ....C........C.. 45fc0: 0002646d 615f656e 67696e65 5f617069 ..dma_engine_api 45fd0: 00400000 4538035f 696e6974 00000043 .@..E8._init...C 45fe0: 43022300 035f696e 69745f72 785f7175 C.#.._init_rx_qu 45ff0: 65756500 00004353 02230403 5f696e69 eue...CS.#.._ini 46000: 745f7478 5f717565 75650000 00436302 t_tx_queue...Cc. 46010: 2308035f 636f6e66 69675f72 785f7175 #.._config_rx_qu 46020: 65756500 0000436c 02230c03 5f786d69 eue...Cl.#.._xmi 46030: 745f6275 66000000 43750223 10035f66 t_buf...Cu.#.._f 46040: 6c757368 5f786d69 74000000 43530223 lush_xmit...CS.# 46050: 14035f72 6561705f 72656376 5f627566 .._reap_recv_buf 46060: 00000043 82022318 035f7265 7475726e ...C..#.._return 46070: 5f726563 765f6275 66000000 438b0223 _recv_buf...C..# 46080: 1c035f72 6561705f 786d6974 65645f62 .._reap_xmited_b 46090: 75660000 00439802 2320035f 73776170 uf...C..# ._swap 460a0: 5f646174 61000000 43a10223 24035f68 _data...C..#$._h 460b0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets 460c0: 00000043 ae022328 035f6465 73635f64 ...C..#(._desc_d 460d0: 756d7000 00004353 02232c03 5f676574 ump...CS.#,._get 460e0: 5f706163 6b657400 000043bb 02233003 _packet...C..#0. 460f0: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. 46100: 000043c4 02233403 5f707574 5f706163 ..C..#4._put_pac 46110: 6b657400 000043c4 02233803 70526573 ket...C..#8.pRes 46120: 65727665 64000000 02320223 3c00065f erved....2.#<.._ 46130: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 46140: 696f6e5f 7461626c 655f7400 00003077 ion_table_t...0w 46150: 06574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... 46160: 40e0135f 415f6d61 67706965 5f696e64 @.._A_magpie_ind 46170: 69726563 74696f6e 5f746162 6c650003 irection_table.. 46180: 4c000046 6603636d 6e6f7300 00004538 L..Ff.cmnos...E8 46190: 02230003 64626700 00001c4b 0323b803 .#..dbg....K.#.. 461a0: 03686966 00000018 6d0323c0 03036874 .hif....m.#...ht 461b0: 63000000 3a930323 f8030377 6d695f73 c...:..#...wmi_s 461c0: 76635f61 70690000 00455a03 23ac0403 vc_api...EZ.#... 461d0: 75736266 69666f5f 61706900 0000324b usbfifo_api...2K 461e0: 0323d804 03627566 5f706f6f 6c000000 .#...buf_pool... 461f0: 36f40323 e4040376 62756600 00000353 6..#...vbuf....S 46200: 03238005 03766465 73630000 00023503 .#...vdesc....5. 46210: 23940503 616c6c6f 6372616d 00000021 #...allocram...! 46220: 8f0323a8 0503646d 615f656e 67696e65 ..#...dma_engine 46230: 00000043 cb0323b4 0503646d 615f6c69 ...C..#...dma_li 46240: 62000000 2bd60323 f4050368 69665f70 b...+..#...hif_p 46250: 63690000 002e3603 23a80600 1a706369 ci....6.#....pci 46260: 5f736300 00002cf2 05030050 0708010a _sc...,....P.... 46270: 000003fa 01040000 03fa0400 0901065f ..............._ 46280: 415f6d61 67706965 5f696e64 69726563 A_magpie_indirec 46290: 74696f6e 5f746162 6c655f74 00000045 tion_table_t...E 462a0: 6c070000 044f1900 0046b808 18000400 l....O...F...... 462b0: 0046ab04 00070000 044f0b00 0046cc08 .F.......O...F.. 462c0: 0a000400 0046bf04 00070000 044f1300 .....F.......O.. 462d0: 0046e008 12000400 0046d304 00070000 .F.......F...... 462e0: 044f0f00 0046f408 0e000400 0046e704 .O...F.......F.. 462f0: 00070000 044f1000 00470808 0f000400 .....O...G...... 46300: 0046fb04 000a0000 01ed0107 0000044f .F.............O 46310: 15000047 22081400 04000047 15040009 ...G"......G.... 46320: 01040000 186d0400 09010400 00470f04 .....m.......G.. 46330: 00040000 47290400 0400002e 36040009 ....G)......6... 46340: 011b0131 5f5f7063 695f7265 675f7265 ...1__pci_reg_re 46350: 61640000 0003fa01 01039201 20029000 ad.......... ... 46360: 008e2f94 008e2f9e 00004785 1c013161 ../.../...G...1a 46370: 64647200 000003fa 0152001d 01375f5f ddr......R...7__ 46380: 7063695f 7265675f 77726974 65000101 pci_reg_write... 46390: 03920120 02900000 8e2fa000 8e2faa00 ... ...../.../.. 463a0: 0047c91c 01376164 64720000 0003fa01 .G...7addr...... 463b0: 521c0137 76616c00 000003fa 0153001b R..7val......S.. 463c0: 013d5f5f 7063695f 6765745f 70697065 .=__pci_get_pipe 463d0: 00000001 a1010103 92012002 9000008e .......... ..... 463e0: 2fac008e 2fd30000 48021c01 3d656e67 /.../...H...=eng 463f0: 0000002b 1b015200 1b01525f 5f706369 ...+..R...R__pci 46400: 5f676574 5f74785f 656e6700 00002b1b _get_tx_eng...+. 46410: 01010392 01200290 00008e2f d4008e2f ..... ...../.../ 46420: e8000048 3e1c0152 70697065 0000002d ...H>..Rpipe...- 46430: 75015200 1b01605f 5f706369 5f676574 u.R...`__pci_get 46440: 5f72785f 656e6700 00002b1b 01010392 _rx_eng...+..... 46450: 01200290 00008e2f e8008e30 0b000048 . ...../...0...H 46460: 7a1c0160 70697065 0000002e 12015200 z..`pipe......R. 46470: 1d01765f 5f706369 5f656e61 626c6500 ..v__pci_enable. 46480: 01010392 01200290 00008e30 0c008e30 ..... .....0...0 46490: 22000048 ac1e725f 64617461 00000003 "..H..r_data.... 464a0: fa001d01 875f5f70 63695f72 65736574 .....__pci_reset 464b0: 00010103 92013002 9000008e 3024008e ......0.....0$.. 464c0: 30d80000 48e01f72 5f646174 61000000 0...H..r_data... 464d0: 03fa0291 50002001 b95f5f70 63695f62 ....P. ..__pci_b 464e0: 6f6f745f 696e6974 00010103 92012002 oot_init...... . 464f0: 9000008e 30d8008e 310d1b01 cd5f5f70 ....0...1....__p 46500: 63695f69 6e697400 000017ca 01010392 ci_init......... 46510: 01200290 00008e31 10008e31 63000049 . .....1...1c..I 46520: 3d1c01cd 70436f6e 66696700 000017ec =...pConfig..... 46530: 0152001d 01ea5f5f 7063695f 6366675f .R....__pci_cfg_ 46540: 70697065 00010103 92012002 9000008e pipe...... ..... 46550: 3164008e 319e0000 49a91c01 ea68646c 1d..1...I....hdl 46560: 00000017 ca01521c 01ea7069 70650000 ......R...pipe.. 46570: 0001ed01 531c01ea 6e756d5f 64657363 ....S...num_desc 46580: 00000001 ed01541e 656e6700 00002b1b ......T.eng...+. 46590: 1e646573 635f6c65 6e000000 01cb0021 .desc_len......! 465a0: 0101005f 5f706369 5f737461 72740001 ...__pci_start.. 465b0: 01039201 20029000 008e31a0 008e31a5 .... .....1...1. 465c0: 000049dd 22010100 68646c00 000017ca ..I."...hdl..... 465d0: 01520021 01010b5f 5f706369 5f726567 .R.!...__pci_reg 465e0: 5f63616c 6c626163 6b000101 03920120 _callback...... 465f0: 02900000 8e31a800 8e31bc00 004a2d22 .....1...1...J-" 46600: 01010b68 646c0000 0017ca01 52220101 ...hdl......R".. 46610: 0b737700 0000181b 01531e73 63000000 .sw......S.sc... 46620: 2d050021 01011b5f 5f706369 5f726561 -..!...__pci_rea 46630: 705f786d 69747465 64000101 03920120 p_xmitted...... 46640: 02900000 8e31bc00 8e31e800 004a8c22 .....1...1...J." 46650: 01011b73 63000000 2d050152 2201011b ...sc...-..R"... 46660: 656e675f 6e6f0000 002b1b01 531e7662 eng_no...+..S.vb 46670: 75660000 0003291e 70697065 00000001 uf....).pipe.... 46680: a1002101 01345f5f 7063695f 72656170 ..!..4__pci_reap 46690: 5f726563 76000101 03920120 02900000 _recv...... .... 466a0: 8e31e800 8e321000 004ade22 01013473 .1...2...J."..4s 466b0: 63000000 2d050152 22010134 656e675f c...-..R"..4eng_ 466c0: 6e6f0000 002b1b01 531e7662 75660000 no...+..S.vbuf.. 466d0: 00032900 21010145 5f5f7063 695f6973 ..).!..E__pci_is 466e0: 725f6861 6e646c65 72000101 03920120 r_handler...... 466f0: 02900000 8e321000 8e32af00 004b2a22 .....2...2...K*" 46700: 01014568 646c0000 0017ca01 521e7363 ..Ehdl......R.sc 46710: 0000002d 051e6d6f 72650000 0001cb00 ...-..more...... 46720: 23010171 5f5f7063 695f786d 69745f62 #..q__pci_xmit_b 46730: 75660000 0001ed01 01039201 20029000 uf.......... ... 46740: 008e32b0 008e32da 00004b8c 22010171 ..2...2...K."..q 46750: 68646c00 000017ca 01522201 01717069 hdl......R"..qpi 46760: 70650000 0001ed01 53220101 71766275 pe......S"..qvbu 46770: 66000000 03290154 1e656e67 0000002b f....).T.eng...+ 46780: 1b002101 01865f5f 7063695f 72657475 ..!...__pci_retu 46790: 726e5f72 65637600 01010392 01200290 rn_recv...... .. 467a0: 00008e32 dc008e32 f700004b ec220101 ...2...2...K.".. 467b0: 8668646c 00000017 ca015222 01018670 .hdl......R"...p 467c0: 69706500 000001ed 01532201 01866275 ipe......S"...bu 467d0: 66000000 03290154 1e656e67 0000002b f....).T.eng...+ 467e0: 1b002301 019a5f5f 7063695f 69735f70 ..#...__pci_is_p 467f0: 6970655f 73757070 6f727465 64000000 ipe_supported... 46800: 01ed0101 03920120 02900000 8e32f800 ....... .....2.. 46810: 8e330600 004c3f22 01019a68 646c0000 .3...L?"...hdl.. 46820: 0017ca01 52220101 9a706970 65000000 ....R"...pipe... 46830: 01ed0153 00230101 aa5f5f70 63695f67 ...S.#...__pci_g 46840: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. 46850: 0001ed01 01039201 20029000 008e3308 ........ .....3. 46860: 008e3316 00004c90 220101aa 68646c00 ..3...L."...hdl. 46870: 000017ca 01522201 01aa7069 70650000 .....R"...pipe.. 46880: 0001ed01 53002301 01b95f5f 7063695f ....S.#...__pci_ 46890: 6765745f 72657365 72766564 5f686561 get_reserved_hea 468a0: 64726f6f 6d000000 01ed0101 03920120 droom.......... 468b0: 02900000 8e331800 8e331f00 004cd822 .....3...3...L." 468c0: 0101b968 646c0000 0017ca01 52002101 ...hdl......R.!. 468d0: 01c35f5f 7063695f 73687574 646f776e ..__pci_shutdown 468e0: 00010103 92012002 9000008e 3320008e ...... .....3 .. 468f0: 33250000 4d0f2201 01c36864 6c000000 3%..M."...hdl... 46900: 17ca0152 00210101 c95f5f70 63695f67 ...R.!...__pci_g 46910: 65745f64 65665f70 69706500 01010392 et_def_pipe..... 46920: 01200290 00008e33 28008e33 3500004d . .....3(..35..M 46930: 71220101 c968616e 646c6500 000017ca q"...handle..... 46940: 01522201 01c97069 70655f72 78000000 .R"...pipe_rx... 46950: 01ae0153 220101c9 70697065 5f747800 ...S"...pipe_tx. 46960: 000001ae 01540021 0101d468 69665f70 .....T.!...hif_p 46970: 63695f6d 6f64756c 655f696e 7374616c ci_module_instal 46980: 6c000101 03920120 02900000 8e333800 l...... .....38. 46990: 8e337900 004db122 0101d461 70697300 .3y..M."...apis. 469a0: 0000472b 01520024 0101e668 69665f70 ..G+.R.$...hif_p 469b0: 63695f61 70695f69 6e737461 6c6c0001 ci_api_install.. 469c0: 01039201 20029000 008e337c 008e33ae .... .....3|..3. 469d0: 220101e6 61706973 00000047 42015200 "...apis...GB.R. 469e0: 00000000 4f6f0002 000019a8 04012f72 ....Oo......../r 469f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 46a00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 46a10: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 46a20: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 46a30: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 46a40: 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ 46a50: 7573622f 7372632f 4849465f 7573622e usb/src/HIF_usb. 46a60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 46a70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 46a80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 46a90: 2f726f6d 2f686966 2f757362 0078742d /rom/hif/usb.xt- 46aa0: 78636320 666f7220 372e312e 30202d4f xcc for 7.1.0 -O 46ab0: 50543a61 6c69676e 5f696e73 74727563 PT:align_instruc 46ac0: 74696f6e 733d3332 202d4f32 202d6733 tions=32 -O2 -g3 46ad0: 202d4f50 543a7370 61636500 01000000 -OPT:space..... 46ae0: f41b0201 03000001 00040004 696e7400 ............int. 46af0: 05040463 68617200 07010500 00011005 ...char......... 46b00: 00000110 03000001 1d040006 00000109 ................ 46b10: 01030000 01290400 07707269 6e74665f .....)...printf_ 46b20: 61706900 08000001 6d085f70 72696e74 api.....m._print 46b30: 665f696e 69740000 00010202 2300085f f_init......#.._ 46b40: 7072696e 74660000 00012f02 23040004 printf..../.#... 46b50: 73686f72 7420756e 7369676e 65642069 short unsigned i 46b60: 6e740007 02097569 6e743136 5f740000 nt....uint16_t.. 46b70: 00016d04 6c6f6e67 20756e73 69676e65 ..m.long unsigne 46b80: 6420696e 74000704 0975696e 7433325f d int....uint32_ 46b90: 74000000 01910775 6172745f 6669666f t......uart_fifo 46ba0: 00080000 01ff0873 74617274 5f696e64 .......start_ind 46bb0: 65780000 00018302 23000865 6e645f69 ex......#..end_i 46bc0: 6e646578 00000001 83022302 086f7665 ndex......#..ove 46bd0: 7272756e 5f657272 00000001 a6022304 rrun_err......#. 46be0: 00077561 72745f61 70690020 000002b8 ..uart_api. .... 46bf0: 085f7561 72745f69 6e697400 0000030f ._uart_init..... 46c00: 02230008 5f756172 745f6368 61725f70 .#.._uart_char_p 46c10: 75740000 00033602 2304085f 75617274 ut....6.#.._uart 46c20: 5f636861 725f6765 74000000 034a0223 _char_get....J.# 46c30: 08085f75 6172745f 7374725f 6f757400 .._uart_str_out. 46c40: 00000353 02230c08 5f756172 745f7461 ...S.#.._uart_ta 46c50: 736b0000 00010202 2310085f 75617274 sk......#.._uart 46c60: 5f737461 74757300 0000030f 02231408 _status......#.. 46c70: 5f756172 745f636f 6e666967 00000003 _uart_config.... 46c80: 5c022318 085f7561 72745f68 77696e69 \.#.._uart_hwini 46c90: 74000000 03650223 1c000300 0001ff04 t....e.#........ 46ca0: 00077561 72745f62 6c6b0010 00000309 ..uart_blk...... 46cb0: 08646562 75675f6d 6f646500 00000183 .debug_mode..... 46cc0: 02230008 62617564 00000001 83022302 .#..baud......#. 46cd0: 085f7561 72740000 0002b802 2304085f ._uart......#.._ 46ce0: 74780000 0001b402 23080006 000001a6 tx......#....... 46cf0: 01030000 03090400 04756e73 69676e65 .........unsigne 46d00: 64206368 61720007 01097569 6e74385f d char....uint8_ 46d10: 74000000 03160201 03000003 34040003 t...........4... 46d20: 00000327 04000600 00018301 03000003 ...'............ 46d30: 44040002 01030000 03510400 02010300 D........Q...... 46d40: 00035a04 00020103 00000363 04000300 ..Z........c.... 46d50: 00011004 00060000 01090103 00000373 ...............s 46d60: 04000744 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST 46d70: 52554354 000c0000 03cb0863 6d645f73 RUCT.......cmd_s 46d80: 74720000 00036c02 23000868 656c705f tr....l.#..help_ 46d90: 73747200 0000036c 02230408 636d645f str....l.#..cmd_ 46da0: 66756e63 00000003 79022308 00076462 func....y.#...db 46db0: 675f6170 69000800 0003fe08 5f646267 g_api......._dbg 46dc0: 5f696e69 74000000 01020223 00085f64 _init......#.._d 46dd0: 62675f74 61736b00 00000102 02230400 bg_task......#.. 46de0: 0a040004 756e7369 676e6564 20696e74 ....unsigned int 46df0: 00070406 000003fe 01030000 04110400 ................ 46e00: 0b0b0300 00041f04 00060000 03fe0103 ................ 46e10: 00000427 04000600 00010901 03000004 ...'............ 46e20: 34040007 6d656d5f 61706900 14000004 4...mem_api..... 46e30: a3085f6d 656d5f69 6e697400 00000102 .._mem_init..... 46e40: 02230008 5f6d656d 73657400 00000417 .#.._memset..... 46e50: 02230408 5f6d656d 63707900 0000042d .#.._memcpy....- 46e60: 02230808 5f6d656d 6d6f7665 00000004 .#.._memmove.... 46e70: 2d02230c 085f6d65 6d636d70 00000004 -.#.._memcmp.... 46e80: 3a022310 000c7265 67697374 65725f64 :.#...register_d 46e90: 756d705f 73000001 03000004 a3040002 ump_s........... 46ea0: 01030000 04bd0400 02010300 0004c604 ................ 46eb0: 00060000 01090103 000004cf 04000d68 ...............h 46ec0: 6f737469 665f7300 04000005 2b0e4849 ostif_s.....+.HI 46ed0: 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE 46ee0: 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI 46ef0: 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. 46f00: 040e4849 465f4e4f 4e450005 0009415f ..HIF_NONE....A_ 46f10: 484f5354 49460000 0004dc06 0000052b HOSTIF.........+ 46f20: 01030000 05390400 06000003 27010300 .....9......'... 46f30: 00054604 00060000 01830103 00000553 ..F............S 46f40: 0400076d 6973635f 61706900 24000006 ...misc_api.$... 46f50: 43085f73 79737465 6d5f7265 73657400 C._system_reset. 46f60: 00000102 02230008 5f6d6163 5f726573 .....#.._mac_res 46f70: 65740000 00010202 2304085f 61737366 et......#.._assf 46f80: 61696c00 000004bf 02230808 5f6d6973 ail......#.._mis 46f90: 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han 46fa0: 646c6572 00000004 bf02230c 085f7265 dler......#.._re 46fb0: 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ 46fc0: 686f7374 00000004 c8022310 085f7461 host......#.._ta 46fd0: 72676574 5f69645f 67657400 000004d5 rget_id_get..... 46fe0: 02231408 5f69735f 686f7374 5f707265 .#.._is_host_pre 46ff0: 73656e74 00000005 3f022318 085f6b62 sent....?.#.._kb 47000: 68697400 0000054c 02231c08 5f726f6d hit....L.#.._rom 47010: 5f766572 73696f6e 5f676574 00000005 _version_get.... 47020: 59022320 00060000 036c0103 00000643 Y.# .....l.....C 47030: 04000600 00036c01 03000006 50040006 ......l.....P... 47040: 00000109 01030000 065d0400 06000001 .........]...... 47050: 09010300 00066a04 00060000 01090103 ......j......... 47060: 00000677 04000773 7472696e 675f6170 ...w...string_ap 47070: 69001800 0006fd08 5f737472 696e675f i......._string_ 47080: 696e6974 00000001 02022300 085f7374 init......#.._st 47090: 72637079 00000006 49022304 085f7374 rcpy....I.#.._st 470a0: 726e6370 79000000 06560223 08085f73 rncpy....V.#.._s 470b0: 74726c65 6e000000 06630223 0c085f73 trlen....c.#.._s 470c0: 7472636d 70000000 06700223 10085f73 trcmp....p.#.._s 470d0: 74726e63 6d700000 00067d02 2314000f trncmp....}.#... 470e0: 00000401 14000007 0a100400 095f415f ............._A_ 470f0: 54494d45 525f5350 41434500 000006fd TIMER_SPACE..... 47100: 09415f74 696d6572 5f740000 00070a03 .A_timer_t...... 47110: 0000071e 04000201 03000007 34040002 ............4... 47120: 01030000 073d0400 09415f48 414e444c .....=...A_HANDL 47130: 45000000 04010201 09415f54 494d4552 E........A_TIMER 47140: 5f46554e 43000000 07540300 00075604 _FUNC....T....V. 47150: 00020103 0000076f 04000774 696d6572 .......o...timer 47160: 5f617069 00140000 07ee085f 74696d65 _api......._time 47170: 725f696e 69740000 00010202 2300085f r_init......#.._ 47180: 74696d65 725f6172 6d000000 07360223 timer_arm....6.# 47190: 04085f74 696d6572 5f646973 61726d00 .._timer_disarm. 471a0: 0000073f 02230808 5f74696d 65725f73 ...?.#.._timer_s 471b0: 6574666e 00000007 7102230c 085f7469 etfn....q.#.._ti 471c0: 6d65725f 72756e00 00000102 02231000 mer_run......#.. 471d0: 09424f4f 4c45414e 00000001 83060000 .BOOLEAN........ 471e0: 07ee0103 000007fb 04000600 0007ee01 ................ 471f0: 03000008 08040006 000007ee 01030000 ................ 47200: 08150400 07726f6d 705f6170 69001000 .....romp_api... 47210: 00088708 5f726f6d 705f696e 69740000 ...._romp_init.. 47220: 00010202 2300085f 726f6d70 5f646f77 ....#.._romp_dow 47230: 6e6c6f61 64000000 08010223 04085f72 nload......#.._r 47240: 6f6d705f 696e7374 616c6c00 0000080e omp_install..... 47250: 02230808 5f726f6d 705f6465 636f6465 .#.._romp_decode 47260: 00000008 1b02230c 0007726f 6d5f7061 ......#...rom_pa 47270: 7463685f 73740010 000008e3 08637263 tch_st.......crc 47280: 31360000 00018302 2300086c 656e0000 16......#..len.. 47290: 00018302 2302086c 645f6164 64720000 ....#..ld_addr.. 472a0: 0001a602 23040866 756e5f61 64647200 ....#..fun_addr. 472b0: 000001a6 02230808 7066756e 00000003 .....#..pfun.... 472c0: 3d02230c 00076565 705f7265 6469725f =.#...eep_redir_ 472d0: 61646472 00040000 0915086f 66667365 addr.......offse 472e0: 74000000 01830223 00087369 7a650000 t......#..size.. 472f0: 00018302 23020009 415f5549 4e543332 ....#...A_UINT32 47300: 00000004 01060000 03fe0103 00000923 ...............# 47310: 04000761 6c6c6f63 72616d5f 61706900 ...allocram_api. 47320: 0c000009 9408636d 6e6f735f 616c6c6f ......cmnos_allo 47330: 6372616d 5f696e69 74000000 09290223 cram_init....).# 47340: 0008636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 47350: 00000009 29022304 08636d6e 6f735f61 ....).#..cmnos_a 47360: 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... 47370: 01020223 08000201 03000009 94040009 ...#............ 47380: 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. 47390: 00099607 5f746173 6b6c6574 00100000 ...._tasklet.... 473a0: 09f50866 756e6300 0000099d 02230008 ...func......#.. 473b0: 61726700 000003fe 02230408 73746174 arg......#..stat 473c0: 65000000 01090223 08086e65 78740000 e......#..next.. 473d0: 0009f502 230c0003 000009b1 04000300 ....#........... 473e0: 0009b104 0009415f 7461736b 6c65745f ......A_tasklet_ 473f0: 74000000 09b10300 000a0304 00020103 t............... 47400: 00000a1b 04000201 0300000a 24040007 ............$... 47410: 7461736b 6c65745f 61706900 1400000a tasklet_api..... 47420: b9085f74 61736b6c 65745f69 6e697400 .._tasklet_init. 47430: 00000102 02230008 5f746173 6b6c6574 .....#.._tasklet 47440: 5f696e69 745f7461 736b0000 000a1d02 _init_task...... 47450: 2304085f 7461736b 6c65745f 64697361 #.._tasklet_disa 47460: 626c6500 00000a26 02230808 5f746173 ble....&.#.._tas 47470: 6b6c6574 5f736368 6564756c 65000000 klet_schedule... 47480: 0a260223 0c085f74 61736b6c 65745f72 .&.#.._tasklet_r 47490: 756e0000 00010202 23100002 01030000 un......#....... 474a0: 0ab90400 06000009 15010300 000ac204 ................ 474b0: 00020103 00000acf 04000763 6c6f636b ...........clock 474c0: 5f617069 00240000 0bb1085f 636c6f63 _api.$....._cloc 474d0: 6b5f696e 69740000 000abb02 2300085f k_init......#.._ 474e0: 636c6f63 6b726567 735f696e 69740000 clockregs_init.. 474f0: 00010202 2304085f 75617274 5f667265 ....#.._uart_fre 47500: 7175656e 63790000 000ac802 2308085f quency......#.._ 47510: 64656c61 795f7573 0000000a d102230c delay_us......#. 47520: 085f776c 616e5f62 616e645f 73657400 ._wlan_band_set. 47530: 00000ad1 02231008 5f726566 636c6b5f .....#.._refclk_ 47540: 73706565 645f6765 74000000 0ac80223 speed_get......# 47550: 14085f6d 696c6c69 7365636f 6e647300 .._milliseconds. 47560: 00000ac8 02231808 5f737973 636c6b5f .....#.._sysclk_ 47570: 6368616e 67650000 00010202 231c085f change......#.._ 47580: 636c6f63 6b5f7469 636b0000 00010202 clock_tick...... 47590: 23200006 000001a6 01030000 0bb10400 # .............. 475a0: 09415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... 475b0: 01a60600 000bbe01 0300000b d0040002 ................ 475c0: 01030000 0bdd0400 02010300 000be604 ................ 475d0: 00060000 01a60103 00000bef 04000941 ...............A 475e0: 5f697372 5f740000 000bf502 01030000 _isr_t.......... 475f0: 0c090400 06000004 01010300 000c1204 ................ 47600: 00020103 00000c1f 04000769 6e74725f ...........intr_ 47610: 61706900 2c00000d 41085f69 6e74725f api.,...A._intr_ 47620: 696e6974 00000001 02022300 085f696e init......#.._in 47630: 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... 47640: 0bb70223 04085f69 6e74725f 64697361 ...#.._intr_disa 47650: 626c6500 00000bd6 02230808 5f696e74 ble......#.._int 47660: 725f7265 73746f72 65000000 0bdf0223 r_restore......# 47670: 0c085f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu 47680: 6d000000 0be80223 10085f69 6e74725f m......#.._intr_ 47690: 756e6d61 736b5f69 6e756d00 00000be8 unmask_inum..... 476a0: 02231408 5f696e74 725f6174 74616368 .#.._intr_attach 476b0: 5f697372 0000000c 0b022318 085f6765 _isr......#.._ge 476c0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... 476d0: 1802231c 085f7365 745f696e 7472656e ..#.._set_intren 476e0: 61626c65 0000000c 21022320 085f6765 able....!.# ._ge 476f0: 745f696e 74727065 6e64696e 67000000 t_intrpending... 47700: 0c180223 24085f75 6e626c6f 636b5f61 ...#$._unblock_a 47710: 6c6c5f69 6e74726c 766c0000 00010202 ll_intrlvl...... 47720: 23280011 0400000d 67087469 6d656f75 #(......g.timeou 47730: 74000000 01a60223 00086163 74696f6e t......#..action 47740: 00000001 a6022300 00120800 000d8208 ......#......... 47750: 636d6400 000001a6 02230013 00000d41 cmd......#.....A 47760: 02230400 09545f57 44545f43 4d440000 .#...T_WDT_CMD.. 47770: 000d6702 01030000 0d910400 14040000 ..g............. 47780: 0de70e45 4e554d5f 5744545f 424f4f54 ...ENUM_WDT_BOOT 47790: 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO 477a0: 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO 477b0: 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW 477c0: 4e5f424f 4f540004 0009545f 424f4f54 N_BOOT....T_BOOT 477d0: 5f545950 45000000 0d9a0600 000de701 _TYPE........... 477e0: 0300000d f8040007 7764745f 61706900 ........wdt_api. 477f0: 1c00000e 9c085f77 64745f69 6e697400 ......_wdt_init. 47800: 00000102 02230008 5f776474 5f656e61 .....#.._wdt_ena 47810: 626c6500 00000102 02230408 5f776474 ble......#.._wdt 47820: 5f646973 61626c65 00000001 02022308 _disable......#. 47830: 085f7764 745f7365 74000000 0d930223 ._wdt_set......# 47840: 0c085f77 64745f74 61736b00 00000102 .._wdt_task..... 47850: 02231008 5f776474 5f726573 65740000 .#.._wdt_reset.. 47860: 00010202 2314085f 7764745f 6c617374 ....#.._wdt_last 47870: 5f626f6f 74000000 0dfe0223 18001404 _boot......#.... 47880: 00000f03 0e524554 5f535543 43455353 .....RET_SUCCESS 47890: 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. 478a0: 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. 478b0: 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP 478c0: 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER 478d0: 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO 478e0: 574e0005 0009545f 4545505f 52455400 WN....T_EEP_RET. 478f0: 00000e9c 03000001 83040006 00000f03 ................ 47900: 01030000 0f190400 0600000f 03010300 ................ 47910: 000f2604 00076565 705f6170 69001000 ..&...eep_api... 47920: 000f8f08 5f656570 5f696e69 74000000 ...._eep_init... 47930: 01020223 00085f65 65705f72 65616400 ...#.._eep_read. 47940: 00000f1f 02230408 5f656570 5f777269 .....#.._eep_wri 47950: 74650000 000f1f02 2308085f 6565705f te......#.._eep_ 47960: 69735f65 78697374 0000000f 2c02230c is_exist....,.#. 47970: 00077573 625f6170 69007000 00123c08 ..usb_api.p...<. 47980: 5f757362 5f696e69 74000000 01020223 _usb_init......# 47990: 00085f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. 479a0: 00000102 02230408 5f757362 5f66775f .....#.._usb_fw_ 479b0: 7461736b 00000001 02022308 085f7573 task......#.._us 479c0: 625f696e 69745f70 68790000 00010202 b_init_phy...... 479d0: 230c085f 7573625f 6570305f 73657475 #.._usb_ep0_setu 479e0: 70000000 01020223 10085f75 73625f65 p......#.._usb_e 479f0: 70305f74 78000000 01020223 14085f75 p0_tx......#.._u 47a00: 73625f65 70305f72 78000000 01020223 sb_ep0_rx......# 47a10: 18085f75 73625f67 65745f69 6e746572 .._usb_get_inter 47a20: 66616365 00000008 0e02231c 085f7573 face......#.._us 47a30: 625f7365 745f696e 74657266 61636500 b_set_interface. 47a40: 0000080e 02232008 5f757362 5f676574 .....# ._usb_get 47a50: 5f636f6e 66696775 72617469 6f6e0000 _configuration.. 47a60: 00080e02 2324085f 7573625f 7365745f ....#$._usb_set_ 47a70: 636f6e66 69677572 6174696f 6e000000 configuration... 47a80: 080e0223 28085f75 73625f73 74616e64 ...#(._usb_stand 47a90: 6172645f 636d6400 0000080e 02232c08 ard_cmd......#,. 47aa0: 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. 47ab0: 00000102 02233008 5f757362 5f706f77 .....#0._usb_pow 47ac0: 65725f6f 66660000 00010202 2334085f er_off......#4._ 47ad0: 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. 47ae0: 00010202 2338085f 7573625f 67656e5f ....#8._usb_gen_ 47af0: 77647400 00000102 02233c08 5f757362 wdt......#<._usb 47b00: 5f6a756d 705f626f 6f740000 00010202 _jump_boot...... 47b10: 2340085f 7573625f 636c725f 66656174 #@._usb_clr_feat 47b20: 75726500 0000080e 02234408 5f757362 ure......#D._usb 47b30: 5f736574 5f666561 74757265 00000008 _set_feature.... 47b40: 0e022348 085f7573 625f7365 745f6164 ..#H._usb_set_ad 47b50: 64726573 73000000 080e0223 4c085f75 dress......#L._u 47b60: 73625f67 65745f64 65736372 6970746f sb_get_descripto 47b70: 72000000 080e0223 50085f75 73625f67 r......#P._usb_g 47b80: 65745f73 74617475 73000000 080e0223 et_status......# 47b90: 54085f75 73625f73 65747570 5f646573 T._usb_setup_des 47ba0: 63000000 01020223 58085f75 73625f72 c......#X._usb_r 47bb0: 65675f6f 75740000 00010202 235c085f eg_out......#\._ 47bc0: 7573625f 73746174 75735f69 6e000000 usb_status_in... 47bd0: 01020223 60085f75 73625f65 70305f74 ...#`._usb_ep0_t 47be0: 785f6461 74610000 00010202 2364085f x_data......#d._ 47bf0: 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. 47c00: 00000102 02236808 5f757362 5f636c6b .....#h._usb_clk 47c10: 5f696e69 74000000 01020223 6c00075f _init......#l.._ 47c20: 56444553 43002400 0012c808 6e657874 VDESC.$.....next 47c30: 5f646573 63000000 12c80223 00086275 _desc......#..bu 47c40: 665f6164 64720000 0012dc02 23040862 f_addr......#..b 47c50: 75665f73 697a6500 000012e3 02230808 uf_size......#.. 47c60: 64617461 5f6f6666 73657400 000012e3 data_offset..... 47c70: 02230a08 64617461 5f73697a 65000000 .#..data_size... 47c80: 12e30223 0c08636f 6e74726f 6c000000 ...#..control... 47c90: 12e30223 0e086877 5f646573 635f6275 ...#..hw_desc_bu 47ca0: 66000000 12f10223 10000300 00123c04 f......#......<. 47cb0: 0009415f 55494e54 38000000 03160300 ..A_UINT8....... 47cc0: 0012cf04 0009415f 55494e54 31360000 ......A_UINT16.. 47cd0: 00016d0f 000012cf 14000012 fe101300 ..m............. 47ce0: 03000012 3c040009 56444553 43000000 ....<...VDESC... 47cf0: 123c0300 00130504 00060000 13100103 .<.............. 47d00: 00001317 04000600 0012dc01 03000013 ................ 47d10: 24040002 01030000 13310400 07766465 $........1...vde 47d20: 73635f61 70690014 000013a9 085f696e sc_api......._in 47d30: 69740000 000ad102 2300085f 616c6c6f it......#.._allo 47d40: 635f7664 65736300 0000131d 02230408 c_vdesc......#.. 47d50: 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... 47d60: 2a022308 085f7377 61705f76 64657363 *.#.._swap_vdesc 47d70: 00000013 3302230c 08705265 73657276 ....3.#..pReserv 47d80: 65640000 0003fe02 23100007 5f564255 ed......#..._VBU 47d90: 46002000 00140908 64657363 5f6c6973 F. .....desc_lis 47da0: 74000000 13100223 00086e65 78745f62 t......#..next_b 47db0: 75660000 00140902 23040862 75665f6c uf......#..buf_l 47dc0: 656e6774 68000000 12e30223 08087265 ength......#..re 47dd0: 73657276 65640000 00141002 230a0863 served......#..c 47de0: 74780000 0012f102 230c0003 000013a9 tx......#....... 47df0: 04000f00 0012cf02 0000141d 10010003 ................ 47e00: 000013a9 04000956 42554600 000013a9 .......VBUF..... 47e10: 03000014 24040006 0000142e 01030000 ....$........... 47e20: 14350400 06000014 2e010300 00144204 .5............B. 47e30: 00020103 0000144f 04000776 6275665f .......O...vbuf_ 47e40: 61706900 14000014 cd085f69 6e697400 api......._init. 47e50: 00000ad1 02230008 5f616c6c 6f635f76 .....#.._alloc_v 47e60: 62756600 0000143b 02230408 5f616c6c buf....;.#.._all 47e70: 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz 47e80: 65000000 14480223 08085f66 7265655f e....H.#.._free_ 47e90: 76627566 00000014 5102230c 08705265 vbuf....Q.#..pRe 47ea0: 73657276 65640000 0003fe02 23100007 served......#... 47eb0: 5f5f6164 665f6465 76696365 00040000 __adf_device.... 47ec0: 14ef0864 756d6d79 00000001 09022300 ...dummy......#. 47ed0: 00030000 09150400 075f5f61 64665f64 .........__adf_d 47ee0: 6d615f6d 6170000c 00001536 08627566 ma_map.....6.buf 47ef0: 00000014 2e022300 0864735f 61646472 ......#..ds_addr 47f00: 00000014 ef022304 0864735f 6c656e00 ......#..ds_len. 47f10: 000012e3 02230800 120c0000 1570085f .....#.......p._ 47f20: 5f76615f 73746b00 0000036c 02230008 _va_stk....l.#.. 47f30: 5f5f7661 5f726567 00000003 6c022304 __va_reg....l.#. 47f40: 085f5f76 615f6e64 78000000 01090223 .__va_ndx......# 47f50: 0800095f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 47f60: 61646472 5f740000 00091509 6164665f addr_t......adf_ 47f70: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 47f80: 1570095f 5f616466 5f6f735f 646d615f .p.__adf_os_dma_ 47f90: 73697a65 5f740000 00091509 6164665f size_t......adf_ 47fa0: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 47fb0: 15a0075f 5f646d61 5f736567 73000800 ...__dma_segs... 47fc0: 0015fc08 70616464 72000000 15890223 ....paddr......# 47fd0: 00086c65 6e000000 15b90223 0400095f ..len......#..._ 47fe0: 5f615f75 696e7433 325f7400 00000915 _a_uint32_t..... 47ff0: 09615f75 696e7433 325f7400 000015fc .a_uint32_t..... 48000: 0f000015 d0080000 162b1000 00076164 .........+....ad 48010: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 48020: 000c0000 1664086e 73656773 00000016 .....d.nsegs.... 48030: 0e022300 08646d61 5f736567 73000000 ..#..dma_segs... 48040: 161e0223 0400095f 5f615f75 696e7438 ...#...__a_uint8 48050: 5f740000 0012cf09 615f7569 6e74385f _t......a_uint8_ 48060: 74000000 16640300 00167504 00075f5f t....d....u...__ 48070: 73675f73 65677300 08000016 b6087661 sg_segs.......va 48080: 64647200 00001684 02230008 6c656e00 ddr......#..len. 48090: 0000160e 02230400 0f000016 8b200000 .....#....... .. 480a0: 16c31003 00076164 665f6f73 5f73676c ......adf_os_sgl 480b0: 69737400 24000016 f6086e73 65677300 ist.$.....nsegs. 480c0: 0000160e 02230008 73675f73 65677300 .....#..sg_segs. 480d0: 000016b6 02230400 12100000 173f0876 .....#.......?.v 480e0: 656e646f 72000000 160e0223 00086465 endor......#..de 480f0: 76696365 00000016 0e022304 08737562 vice......#..sub 48100: 76656e64 6f720000 00160e02 23080873 vendor......#..s 48110: 75626465 76696365 00000016 0e02230c ubdevice......#. 48120: 00046c6f 6e67206c 6f6e6720 756e7369 ..long long unsi 48130: 676e6564 20696e74 00070809 415f5549 gned int....A_UI 48140: 4e543634 00000017 3f095f5f 615f7569 NT64....?.__a_ui 48150: 6e743634 5f740000 00175909 615f7569 nt64_t....Y.a_ui 48160: 6e743634 5f740000 00176714 04000017 nt64_t....g..... 48170: c50e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC 48180: 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF 48190: 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP 481a0: 455f494f 00010009 6164665f 6f735f72 E_IO....adf_os_r 481b0: 65736f75 7263655f 74797065 5f740000 esource_type_t.. 481c0: 00178912 18000018 0f087374 61727400 ..........start. 481d0: 00001779 02230008 656e6400 00001779 ...y.#..end....y 481e0: 02230808 74797065 00000017 c5022310 .#..type......#. 481f0: 00096164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev 48200: 5f69645f 74000000 16f60300 00180f04 _id_t........... 48210: 00110400 00184e08 70636900 00001828 ......N.pci....( 48220: 02230008 72617700 000003fe 02230000 .#..raw......#.. 48230: 11100000 186d0870 63690000 00180f02 .....m.pci...... 48240: 23000872 61770000 0003fe02 23000009 #..raw......#... 48250: 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t 48260: 00000003 fe096164 665f6f73 5f726573 ......adf_os_res 48270: 6f757263 655f7400 000017e1 03000018 ource_t......... 48280: 83040009 6164665f 6f735f61 74746163 ....adf_os_attac 48290: 685f6461 74615f74 00000018 4e030000 h_data_t....N... 482a0: 18a10400 03000014 cd040009 5f5f6164 ............__ad 482b0: 665f6f73 5f646576 6963655f 74000000 f_os_device_t... 482c0: 18c20961 64665f6f 735f6465 76696365 ...adf_os_device 482d0: 5f740000 0018c906 0000186d 01030000 _t.........m.... 482e0: 18f50400 02010300 00190204 00096164 ..............ad 482f0: 665f6f73 5f706d5f 74000000 03fe0201 f_os_pm_t....... 48300: 03000019 1c040014 04000019 5c0e4144 ............\.AD 48310: 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC 48320: 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T 48330: 5950455f 47454e45 52494300 02000961 YPE_GENERIC....a 48340: 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t 48350: 00000019 25096164 665f6f73 5f627573 ....%.adf_os_bus 48360: 5f726567 5f646174 615f7400 0000182f _reg_data_t..../ 48370: 03000003 16040007 5f616466 5f647276 ........_adf_drv 48380: 5f696e66 6f002000 001a3908 6472765f _info. ...9.drv_ 48390: 61747461 63680000 0018fb02 23000864 attach......#..d 483a0: 72765f64 65746163 68000000 19040223 rv_detach......# 483b0: 04086472 765f7375 7370656e 64000000 ..drv_suspend... 483c0: 191e0223 08086472 765f7265 73756d65 ...#..drv_resume 483d0: 00000019 0402230c 08627573 5f747970 ......#..bus_typ 483e0: 65000000 195c0223 10086275 735f6461 e....\.#..bus_da 483f0: 74610000 00197302 2314086d 6f645f6e ta....s.#..mod_n 48400: 616d6500 0000198e 02231808 69666e61 ame......#..ifna 48410: 6d650000 00198e02 231c0009 6164665f me......#...adf_ 48420: 6f735f68 616e646c 655f7400 000003fe os_handle_t..... 48430: 03000016 64040002 01020109 5f5f6164 ....d.......__ad 48440: 665f6f73 5f73697a 655f7400 00000401 f_os_size_t..... 48450: 14040000 1a880e41 5f46414c 53450000 .......A_FALSE.. 48460: 0e415f54 52554500 01000961 5f626f6f .A_TRUE....a_boo 48470: 6c5f7400 00001a6e 03000014 f6040009 l_t....n........ 48480: 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map 48490: 5f740000 001a9602 010d6164 665f6f73 _t........adf_os 484a0: 5f636163 68655f73 796e6300 0400001b _cache_sync..... 484b0: 200e4144 465f5359 4e435f50 52455245 .ADF_SYNC_PRERE 484c0: 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR 484d0: 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN 484e0: 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF 484f0: 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. 48500: 03000961 64665f6f 735f6361 6368655f ...adf_os_cache_ 48510: 73796e63 5f740000 001ab702 01096164 sync_t........ad 48520: 665f6f73 5f73697a 655f7400 00001a59 f_os_size_t....Y 48530: 0600001b 3b010961 64665f6f 735f646d ....;..adf_os_dm 48540: 615f6d61 705f7400 00001a9d 0300001b a_map_t......... 48550: 54040006 000003fe 01030000 1a9d0400 T............... 48560: 06000003 fe010201 06000015 89010201 ................ 48570: 0473686f 72742069 6e740005 0209415f .short int....A_ 48580: 494e5431 36000000 1b8e095f 5f615f69 INT16......__a_i 48590: 6e743136 5f740000 001b9b09 615f696e nt16_t......a_in 485a0: 7431365f 74000000 1ba80473 69676e65 t16_t......signe 485b0: 64206368 61720005 0109415f 494e5438 d char....A_INT8 485c0: 0000001b c8095f5f 615f696e 74385f74 ......__a_int8_t 485d0: 0000001b d709615f 696e7438 5f740000 ......a_int8_t.. 485e0: 001be312 0c00001c 5a087375 70706f72 ........Z.suppor 485f0: 74656400 0000160e 02230008 61647665 ted......#..adve 48600: 7274697a 65640000 00160e02 23040873 rtized......#..s 48610: 70656564 0000001b b9022308 08647570 peed......#..dup 48620: 6c657800 00001bf3 02230a08 6175746f lex......#..auto 48630: 6e656700 00001675 02230b00 0f000016 neg....u.#...... 48640: 75060000 1c671005 00076164 665f6e65 u....g....adf_ne 48650: 745f6574 68616464 72000600 001c8b08 t_ethaddr....... 48660: 61646472 0000001c 5a022300 00095f5f addr....Z.#...__ 48670: 615f7569 6e743136 5f740000 0012e309 a_uint16_t...... 48680: 615f7569 6e743136 5f740000 001c8b12 a_uint16_t...... 48690: 0e00001c ef086574 6865725f 64686f73 ......ether_dhos 486a0: 74000000 1c5a0223 00086574 6865725f t....Z.#..ether_ 486b0: 73686f73 74000000 1c5a0223 06086574 shost....Z.#..et 486c0: 6865725f 74797065 0000001c 9d02230c her_type......#. 486d0: 00121400 001db015 69705f76 65727369 ........ip_versi 486e0: 6f6e0000 00167501 00040223 00156970 on....u....#..ip 486f0: 5f686c00 00001675 01040402 23000869 _hl....u....#..i 48700: 705f746f 73000000 16750223 01086970 p_tos....u.#..ip 48710: 5f6c656e 0000001c 9d022302 0869705f _len......#..ip_ 48720: 69640000 001c9d02 23040869 705f6672 id......#..ip_fr 48730: 61675f6f 66660000 001c9d02 23060869 ag_off......#..i 48740: 705f7474 6c000000 16750223 08086970 p_ttl....u.#..ip 48750: 5f70726f 746f0000 00167502 23090869 _proto....u.#..i 48760: 705f6368 65636b00 00001c9d 02230a08 p_check......#.. 48770: 69705f73 61646472 00000016 0e02230c ip_saddr......#. 48780: 0869705f 64616464 72000000 160e0223 .ip_daddr......# 48790: 10000761 64665f6e 65745f76 6c616e68 ...adf_net_vlanh 487a0: 64720004 00001e02 08747069 64000000 dr.......tpid... 487b0: 1c9d0223 00157072 696f0000 00167501 ...#..prio....u. 487c0: 00030223 02156366 69000000 16750103 ...#..cfi....u.. 487d0: 01022302 15766964 0000001c 9d02040c ..#..vid........ 487e0: 02230200 07616466 5f6e6574 5f766964 .#...adf_net_vid 487f0: 00020000 1e331572 65730000 00167501 .....3.res....u. 48800: 00040223 00157661 6c000000 1c9d0204 ...#..val....... 48810: 0c022300 00120c00 001e6f08 72785f62 ..#.......o.rx_b 48820: 75667369 7a650000 00160e02 23000872 ufsize......#..r 48830: 785f6e64 65736300 0000160e 02230408 x_ndesc......#.. 48840: 74785f6e 64657363 00000016 0e022308 tx_ndesc......#. 48850: 00120800 001e9508 706f6c6c 65640000 ........polled.. 48860: 001a8802 23000870 6f6c6c5f 77740000 ....#..poll_wt.. 48870: 00160e02 2304000f 00001675 4000001e ....#......u@... 48880: a2103f00 12460000 1eca0869 665f6e61 ..?..F.....if_na 48890: 6d650000 001e9502 23000864 65765f61 me......#..dev_a 488a0: 64647200 00001c5a 02234000 14040000 ddr....Z.#@..... 488b0: 1f010e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 488c0: 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O 488d0: 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT 488e0: 00010009 6164665f 6f735f64 6d615f6d ....adf_os_dma_m 488f0: 61736b5f 74000000 1eca0761 64665f64 ask_t......adf_d 48900: 6d615f69 6e666f00 0800001f 4e08646d ma_info.....N.dm 48910: 615f6d61 736b0000 001f0102 23000873 a_mask......#..s 48920: 675f6e73 65677300 0000160e 02230400 g_nsegs......#.. 48930: 14040000 1fa40e41 44465f4e 45545f43 .......ADF_NET_C 48940: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 48950: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD 48960: 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET 48970: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 48980: 50763600 02000961 64665f6e 65745f63 Pv6....adf_net_c 48990: 6b73756d 5f747970 655f7400 00001f4e ksum_type_t....N 489a0: 12080000 1fe70874 785f636b 73756d00 .......tx_cksum. 489b0: 00001fa4 02230008 72785f63 6b73756d .....#..rx_cksum 489c0: 0000001f a4022304 00096164 665f6e65 ......#...adf_ne 489d0: 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. 489e0: 001fbe14 04000020 400e4144 465f4e45 ....... @.ADF_NE 489f0: 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF 48a00: 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... 48a10: 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. 48a20: 02000961 64665f6e 65745f74 736f5f74 ...adf_net_tso_t 48a30: 7970655f 74000000 20011210 00002094 ype_t... ..... . 48a40: 08636b73 756d5f63 61700000 001fe702 .cksum_cap...... 48a50: 23000874 736f0000 00204002 23080876 #..tso... @.#..v 48a60: 6c616e5f 73757070 6f727465 64000000 lan_supported... 48a70: 16750223 0c001220 0000212d 0874785f .u.#... ..!-.tx_ 48a80: 7061636b 65747300 0000160e 02230008 packets......#.. 48a90: 72785f70 61636b65 74730000 00160e02 rx_packets...... 48aa0: 23040874 785f6279 74657300 0000160e #..tx_bytes..... 48ab0: 02230808 72785f62 79746573 00000016 .#..rx_bytes.... 48ac0: 0e02230c 0874785f 64726f70 70656400 ..#..tx_dropped. 48ad0: 0000160e 02231008 72785f64 726f7070 .....#..rx_dropp 48ae0: 65640000 00160e02 23140872 785f6572 ed......#..rx_er 48af0: 726f7273 00000016 0e022318 0874785f rors......#..tx_ 48b00: 6572726f 72730000 00160e02 231c0009 errors......#... 48b10: 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ 48b20: 74000000 1c671600 00212d03 00000021 t....g...!-....! 48b30: 52107f00 17616466 5f6e6574 5f636d64 R....adf_net_cmd 48b40: 5f6d6361 64647200 03040000 2189086e _mcaddr.....!..n 48b50: 656c656d 00000016 0e022300 086d6361 elem......#..mca 48b60: 73740000 00214402 23040009 6164665f st...!D.#...adf_ 48b70: 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf 48b80: 6f5f7400 00001c01 09616466 5f6e6574 o_t......adf_net 48b90: 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t 48ba0: 0000001e 6f096164 665f6e65 745f636d ....o.adf_net_cm 48bb0: 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. 48bc0: 001fe709 6164665f 6e65745f 636d645f ....adf_net_cmd_ 48bd0: 72696e67 5f696e66 6f5f7400 00001e33 ring_info_t....3 48be0: 09616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma 48bf0: 5f696e66 6f5f7400 00001f18 09616466 _info_t......adf 48c00: 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. 48c10: 001c9d09 6164665f 6e65745f 636d645f ....adf_net_cmd_ 48c20: 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... 48c30: 20580961 64665f6e 65745f63 6d645f73 X.adf_net_cmd_s 48c40: 74617473 5f740000 00209409 6164665f tats_t... ..adf_ 48c50: 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t 48c60: 00000021 520d6164 665f6e65 745f636d ...!R.adf_net_cm 48c70: 645f6d63 6173745f 63617000 04000022 d_mcast_cap...." 48c80: cb0e4144 465f4e45 545f4d43 4153545f ..ADF_NET_MCAST_ 48c90: 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC 48ca0: 4153545f 4e4f5453 55500001 00096164 AST_NOTSUP....ad 48cb0: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 48cc0: 6361705f 74000000 22831803 04000023 cap_t..."......# 48cd0: 9d086c69 6e6b5f69 6e666f00 00002189 ..link_info...!. 48ce0: 02230008 706f6c6c 5f696e66 6f000000 .#..poll_info... 48cf0: 21a60223 0008636b 73756d5f 696e666f !..#..cksum_info 48d00: 00000021 c3022300 0872696e 675f696e ...!..#..ring_in 48d10: 666f0000 0021e102 23000864 6d615f69 fo...!..#..dma_i 48d20: 6e666f00 000021fe 02230008 76696400 nfo...!..#..vid. 48d30: 0000221a 02230008 6f66666c 6f61645f .."..#..offload_ 48d40: 63617000 00002231 02230008 73746174 cap..."1.#..stat 48d50: 73000000 22500223 00086d63 6173745f s..."P.#..mcast_ 48d60: 696e666f 00000022 69022300 086d6361 info..."i.#..mca 48d70: 73745f63 61700000 0022cb02 23000014 st_cap..."..#... 48d80: 04000023 f40e4144 465f4e42 55465f52 ...#..ADF_NBUF_R 48d90: 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A 48da0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 48db0: 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R 48dc0: 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS 48dd0: 41525900 02000961 64665f6e 6275665f ARY....adf_nbuf_ 48de0: 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. 48df0: 0000239d 12080000 24340872 6573756c ..#.....$4.resul 48e00: 74000000 23f40223 00087661 6c000000 t...#..#..val... 48e10: 160e0223 04001208 00002464 08747970 ...#......$d.typ 48e20: 65000000 20400223 00086d73 73000000 e... @.#..mss... 48e30: 1c9d0223 04086864 725f6f66 66000000 ...#..hdr_off... 48e40: 16750223 0600075f 5f616466 5f6e6275 .u.#...__adf_nbu 48e50: 665f7168 65616400 0c000024 a3086865 f_qhead....$..he 48e60: 61640000 00142e02 23000874 61696c00 ad......#..tail. 48e70: 0000142e 02230408 716c656e 00000016 .....#..qlen.... 48e80: 0e022308 00095f5f 6164665f 6e627566 ..#...__adf_nbuf 48e90: 5f740000 00142e03 00001684 04000300 _t.............. 48ea0: 00160e04 00020106 00001310 01060000 ................ 48eb0: 160e0106 00001684 01060000 16840103 ................ 48ec0: 000012f1 0400095f 5f616466 5f6e6275 .......__adf_nbu 48ed0: 665f7168 6561645f 74000000 2464095f f_qhead_t...$d._ 48ee0: 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ 48ef0: 74000000 24e40300 0024fc04 00060000 t...$....$...... 48f00: 24a30106 000024a3 01140400 00261c0e $.....$......&.. 48f10: 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ 48f20: 53544154 55535f46 41494c45 4400010e STATUS_FAILED... 48f30: 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. 48f40: 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME 48f50: 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN 48f60: 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E 48f70: 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S 48f80: 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. 48f90: 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. 48fa0: 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG 48fb0: 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD 48fc0: 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST 48fd0: 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S 48fe0: 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A 48ff0: 5f535441 5455535f 45494f00 0c000961 _STATUS_EIO....a 49000: 5f737461 7475735f 74000000 25270600 _status_t...%'.. 49010: 00261c01 06000001 09010201 09616466 .&...........adf 49020: 5f6e6275 665f7400 000024a3 14040000 _nbuf_t...$..... 49030: 26810e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO 49040: 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS 49050: 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE 49060: 00010009 6164665f 6f735f64 6d615f64 ....adf_os_dma_d 49070: 69725f74 00000026 4a060000 261c0102 ir_t...&J...&... 49080: 01096164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ 49090: 696e666f 5f740000 00162b03 0000269f info_t....+...&. 490a0: 04000201 02010600 00263a01 06000024 .........&:....$ 490b0: a3010201 02010600 00263a01 06000024 .........&:....$ 490c0: a3010600 00263a01 06000024 a3010600 .....&:....$.... 490d0: 00263a01 02010201 06000016 0e010600 .&:............. 490e0: 00168401 02010201 0600001b 3b010600 ............;... 490f0: 001a8801 0600001a 88010961 64665f6f ...........adf_o 49100: 735f7367 6c697374 5f740000 0016c303 s_sglist_t...... 49110: 00002718 04000201 02010201 06000016 ..'............. 49120: 84010961 64665f6e 6275665f 71756575 ...adf_nbuf_queu 49130: 655f7400 000024fc 03000027 40040002 e_t...$....'@... 49140: 01030000 24e40400 02010201 02010600 ....$........... 49150: 00263a01 06000024 a3010600 00160e01 .&:....$........ 49160: 06000016 0e010600 001a8801 0600001a ................ 49170: 88010600 001fa401 06000016 0e010961 ...............a 49180: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 49190: 5f740000 00241203 0000279c 04000201 _t...$....'..... 491a0: 02010961 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ 491b0: 74000000 24340300 0027c004 00020102 t...$4...'...... 491c0: 01096164 665f6e65 745f6861 6e646c65 ..adf_net_handle 491d0: 5f740000 0003fe09 6164665f 6e65745f _t......adf_net_ 491e0: 766c616e 6864725f 74000000 1db00300 vlanhdr_t....... 491f0: 0027f504 00060000 261c0106 0000261c .'......&.....&. 49200: 01020102 01075f48 49465f43 4f4e4649 ......_HIF_CONFI 49210: 47000400 00284408 64756d6d 79000000 G....(D.dummy... 49220: 01090223 00000201 03000028 44040002 ...#.......(D... 49230: 01030000 284d0400 075f4849 465f4341 ....(M..._HIF_CA 49240: 4c4c4241 434b000c 000028a2 0873656e LLBACK....(..sen 49250: 645f6275 665f646f 6e650000 00284602 d_buf_done...(F. 49260: 23000872 6563765f 62756600 0000284f #..recv_buf...(O 49270: 02230408 636f6e74 65787400 000003fe .#..context..... 49280: 02230800 09686966 5f68616e 646c655f .#...hif_handle_ 49290: 74000000 03fe0948 49465f43 4f4e4649 t......HIF_CONFI 492a0: 47000000 28230300 0028b404 00060000 G...(#...(...... 492b0: 28a20103 000028cb 04000201 03000028 (.....(........( 492c0: d8040009 4849465f 43414c4c 4241434b ....HIF_CALLBACK 492d0: 00000028 56030000 28e10400 02010300 ...(V...(....... 492e0: 0028fa04 00060000 01090103 00002903 .(............). 492f0: 04000201 03000029 10040006 00000109 .......)........ 49300: 01030000 29190400 02010300 00292604 ....)........)&. 49310: 00060000 01090103 0000292f 04000201 ..........)/.... 49320: 03000029 3c040007 6869665f 61706900 ...)<...hif_api. 49330: 3800002a 95085f69 6e697400 000028d1 8..*.._init...(. 49340: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... 49350: 28da0223 04085f72 65676973 7465725f (..#.._register_ 49360: 63616c6c 6261636b 00000028 fc022308 callback...(..#. 49370: 085f6765 745f746f 74616c5f 63726564 ._get_total_cred 49380: 69745f63 6f756e74 00000029 0902230c it_count...)..#. 49390: 085f7374 61727400 000028da 02231008 ._start...(..#.. 493a0: 5f636f6e 6669675f 70697065 00000029 _config_pipe...) 493b0: 12022314 085f7365 6e645f62 75666665 ..#.._send_buffe 493c0: 72000000 291f0223 18085f72 65747572 r...)..#.._retur 493d0: 6e5f7265 63765f62 75660000 00292802 n_recv_buf...)(. 493e0: 231c085f 69735f70 6970655f 73757070 #.._is_pipe_supp 493f0: 6f727465 64000000 29350223 20085f67 orted...)5.# ._g 49400: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. 49410: 00293502 2324085f 6765745f 72657365 .)5.#$._get_rese 49420: 72766564 5f686561 64726f6f 6d000000 rved_headroom... 49430: 29090223 28085f69 73725f68 616e646c )..#(._isr_handl 49440: 65720000 0028da02 232c085f 6765745f er...(..#,._get_ 49450: 64656661 756c745f 70697065 00000029 default_pipe...) 49460: 3e022330 08705265 73657276 65640000 >.#0.pReserved.. 49470: 0003fe02 2334000d 646d615f 656e6769 ....#4..dma_engi 49480: 6e650004 00002b1e 0e444d41 5f454e47 ne....+..DMA_ENG 49490: 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN 494a0: 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E 494b0: 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ 494c0: 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA 494d0: 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM 494e0: 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D 494f0: 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... 49500: 09646d61 5f656e67 696e655f 74000000 .dma_engine_t... 49510: 2a950d64 6d615f69 66747970 65000400 *..dma_iftype... 49520: 002b6b0e 444d415f 49465f47 4d414300 .+k.DMA_IF_GMAC. 49530: 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D 49540: 4d415f49 465f5043 49450002 0009646d MA_IF_PCIE....dm 49550: 615f6966 74797065 5f740000 002b3006 a_iftype_t...+0. 49560: 000012e3 01030000 2b7d0400 02010300 ........+}...... 49570: 002b8a04 00020103 00002b93 04000600 .+........+..... 49580: 00091501 0300002b 9c040006 000012e3 .......+........ 49590: 01030000 2ba90400 06000012 e3010300 ....+........... 495a0: 002bb604 00060000 142e0103 00002bc3 .+............+. 495b0: 04000201 0300002b d0040007 646d615f .......+....dma_ 495c0: 6c69625f 61706900 3400002c d7087478 lib_api.4..,..tx 495d0: 5f696e69 74000000 2b830223 00087478 _init...+..#..tx 495e0: 5f737461 72740000 002b8c02 23040872 _start...+..#..r 495f0: 785f696e 69740000 002b8302 23080872 x_init...+..#..r 49600: 785f636f 6e666967 0000002b 9502230c x_config...+..#. 49610: 0872785f 73746172 74000000 2b8c0223 .rx_start...+..# 49620: 1008696e 74725f73 74617475 73000000 ..intr_status... 49630: 2ba20223 14086861 72645f78 6d697400 +..#..hard_xmit. 49640: 00002baf 02231808 666c7573 685f786d ..+..#..flush_xm 49650: 69740000 002b8c02 231c0878 6d69745f it...+..#..xmit_ 49660: 646f6e65 0000002b bc022320 08726561 done...+..# .rea 49670: 705f786d 69747465 64000000 2bc90223 p_xmitted...+..# 49680: 24087265 61705f72 65637600 00002bc9 $.reap_recv...+. 49690: 02232808 72657475 726e5f72 65637600 .#(.return_recv. 496a0: 00002bd2 02232c08 72656376 5f706b74 ..+..#,.recv_pkt 496b0: 0000002b bc022330 00075f5f 7063695f ...+..#0..__pci_ 496c0: 736f6674 63000c00 002cf508 73770000 softc....,..sw.. 496d0: 0028e102 23000009 5f5f7063 695f736f .(..#...__pci_so 496e0: 6674635f 74000000 2cd70300 002cf504 ftc_t...,....,.. 496f0: 00020103 00002d0f 04000600 0012cf01 ......-......... 49700: 0300002d 1804000d 6869665f 7063695f ...-....hif_pci_ 49710: 70697065 5f747800 0400002d 780e4849 pipe_tx....-x.HI 49720: 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. 49730: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 49740: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 49750: 5f54585f 4d415800 02000968 69665f70 _TX_MAX....hif_p 49760: 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- 49770: 25060000 2b1e0103 00002d8f 04000d68 %...+.....-....h 49780: 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. 49790: 00002e15 0e484946 5f504349 5f504950 .....HIF_PCI_PIP 497a0: 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ 497b0: 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P 497c0: 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI 497d0: 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. 497e0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 497f0: 5f4d4158 00040009 6869665f 7063695f _MAX....hif_pci_ 49800: 70697065 5f72785f 74000000 2d9c0600 pipe_rx_t...-... 49810: 002b1e01 0300002e 2c040007 6869665f .+......,...hif_ 49820: 7063695f 61706900 2400002f 0a087063 pci_api.$../..pc 49830: 695f626f 6f745f69 6e697400 00000102 i_boot_init..... 49840: 02230008 7063695f 696e6974 00000028 .#..pci_init...( 49850: d1022304 08706369 5f726573 65740000 ..#..pci_reset.. 49860: 00010202 23080870 63695f65 6e61626c ....#..pci_enabl 49870: 65000000 01020223 0c087063 695f7265 e......#..pci_re 49880: 61705f78 6d697474 65640000 002d1102 ap_xmitted...-.. 49890: 23100870 63695f72 6561705f 72656376 #..pci_reap_recv 498a0: 0000002d 11022314 08706369 5f676574 ...-..#..pci_get 498b0: 5f706970 65000000 2d1e0223 18087063 _pipe...-..#..pc 498c0: 695f6765 745f7478 5f656e67 0000002d i_get_tx_eng...- 498d0: 9502231c 08706369 5f676574 5f72785f ..#..pci_get_rx_ 498e0: 656e6700 00002e32 02232000 07676d61 eng....2.# ..gma 498f0: 635f6170 69000400 002f3108 676d6163 c_api..../1.gmac 49900: 5f626f6f 745f696e 69740000 00010202 _boot_init...... 49910: 2300000f 00000316 0600002f 3e100500 #........../>... 49920: 075f5f65 74686864 72000e00 002f7408 .__ethhdr..../t. 49930: 64737400 00002f31 02230008 73726300 dst.../1.#..src. 49940: 00002f31 02230608 65747970 65000000 ../1.#..etype... 49950: 12e30223 0c00075f 5f617468 68647200 ...#...__athhdr. 49960: 0400002f c2157265 73000000 12cf0100 .../..res....... 49970: 02022300 1570726f 746f0000 0012cf01 ..#..proto...... 49980: 02060223 00087265 735f6c6f 00000012 ...#..res_lo.... 49990: cf022301 08726573 5f686900 000012e3 ..#..res_hi..... 499a0: 02230200 075f5f67 6d61635f 68647200 .#...__gmac_hdr. 499b0: 1400002f fe086574 68000000 2f3e0223 .../..eth.../>.# 499c0: 00086174 68000000 2f740223 0e08616c ..ath.../t.#..al 499d0: 69676e5f 70616400 000012e3 02231200 ign_pad......#.. 499e0: 095f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... 499f0: 2fc2075f 5f676d61 635f736f 66746300 /..__gmac_softc. 49a00: 24000030 48086864 72000000 2ffe0223 $..0H.hdr.../..# 49a10: 00086772 616e0000 0012e302 23140873 ..gran......#..s 49a20: 77000000 28e10223 18000c5f 415f6f73 w...(..#..._A_os 49a30: 5f6c696e 6b616765 5f636865 636b0000 _linkage_check.. 49a40: 01030000 30480400 06000001 09010300 ....0H.......... 49a50: 00306604 00030000 04010400 175f415f .0f.........._A_ 49a60: 636d6e6f 735f696e 64697265 6374696f cmnos_indirectio 49a70: 6e5f7461 626c6500 01b80000 31b60868 n_table.....1..h 49a80: 616c5f6c 696e6b61 67655f63 6865636b al_linkage_check 49a90: 00000030 6c022300 08737461 72745f62 ...0l.#..start_b 49aa0: 73730000 00307302 23040861 70705f73 ss...0s.#..app_s 49ab0: 74617274 00000001 02022308 086d656d tart......#..mem 49ac0: 00000004 4102230c 086d6973 63000000 ....A.#..misc... 49ad0: 05600223 20087072 696e7466 00000001 .`.# .printf.... 49ae0: 36022344 08756172 74000000 01ff0223 6.#D.uart......# 49af0: 4c08676d 61630000 002f0a02 236c0875 L.gmac.../..#l.u 49b00: 73620000 000f8f02 23700863 6c6f636b sb......#p.clock 49b10: 0000000a d80323e0 01087469 6d657200 ......#...timer. 49b20: 00000778 03238402 08696e74 72000000 ...x.#...intr... 49b30: 0c280323 98020861 6c6c6f63 72616d00 .(.#...allocram. 49b40: 00000930 0323c402 08726f6d 70000000 ...0.#...romp... 49b50: 08220323 d0020877 64745f74 696d6572 .".#...wdt_timer 49b60: 0000000e 050323e0 02086565 70000000 ......#...eep... 49b70: 0f330323 fc020873 7472696e 67000000 .3.#...string... 49b80: 06840323 8c030874 61736b6c 65740000 ...#...tasklet.. 49b90: 000a2d03 23a40300 075f5553 425f4649 ..-.#...._USB_FI 49ba0: 464f5f43 4f4e4649 47001000 00322908 FO_CONFIG....2). 49bb0: 6765745f 636f6d6d 616e645f 62756600 get_command_buf. 49bc0: 0000143b 02230008 72656376 5f636f6d ...;.#..recv_com 49bd0: 6d616e64 00000014 51022304 08676574 mand....Q.#..get 49be0: 5f657665 6e745f62 75660000 00143b02 _event_buf....;. 49bf0: 23080873 656e645f 6576656e 745f646f #..send_event_do 49c00: 6e650000 00145102 230c0009 5553425f ne....Q.#...USB_ 49c10: 4649464f 5f434f4e 46494700 000031b6 FIFO_CONFIG...1. 49c20: 03000032 29040002 01030000 32450400 ...2).......2E.. 49c30: 07757362 6669666f 5f617069 000c0000 .usbfifo_api.... 49c40: 329b085f 696e6974 00000032 47022300 2.._init...2G.#. 49c50: 085f656e 61626c65 5f657665 6e745f69 ._enable_event_i 49c60: 73720000 00010202 23040870 52657365 sr......#..pRese 49c70: 72766564 00000003 fe022308 000f0000 rved......#..... 49c80: 16750200 0032a810 0100075f 4854435f .u...2....._HTC_ 49c90: 4652414d 455f4844 52000800 00331a08 FRAME_HDR....3.. 49ca0: 456e6470 6f696e74 49440000 00167502 EndpointID....u. 49cb0: 23000846 6c616773 00000016 75022301 #..Flags....u.#. 49cc0: 08506179 6c6f6164 4c656e00 00001c9d .PayloadLen..... 49cd0: 02230208 436f6e74 726f6c42 79746573 .#..ControlBytes 49ce0: 00000032 9b022304 08486f73 74536571 ...2..#..HostSeq 49cf0: 4e756d00 00001c9d 02230600 12020000 Num......#...... 49d00: 3333084d 65737361 67654944 0000001c 33.MessageID.... 49d10: 9d022300 00120800 00339608 4d657373 ..#......3..Mess 49d20: 61676549 44000000 1c9d0223 00084372 ageID......#..Cr 49d30: 65646974 436f756e 74000000 1c9d0223 editCount......# 49d40: 02084372 65646974 53697a65 0000001c ..CreditSize.... 49d50: 9d022304 084d6178 456e6470 6f696e74 ..#..MaxEndpoint 49d60: 73000000 16750223 06085f50 61643100 s....u.#.._Pad1. 49d70: 00001675 02230700 120a0000 342d084d ...u.#......4-.M 49d80: 65737361 67654944 0000001c 9d022300 essageID......#. 49d90: 08536572 76696365 49440000 001c9d02 .ServiceID...... 49da0: 23020843 6f6e6e65 6374696f 6e466c61 #..ConnectionFla 49db0: 67730000 001c9d02 23040844 6f776e4c gs......#..DownL 49dc0: 696e6b50 69706549 44000000 16750223 inkPipeID....u.# 49dd0: 06085570 4c696e6b 50697065 49440000 ..UpLinkPipeID.. 49de0: 00167502 23070853 65727669 63654d65 ..u.#..ServiceMe 49df0: 74614c65 6e677468 00000016 75022308 taLength....u.#. 49e00: 085f5061 64310000 00167502 23090012 ._Pad1....u.#... 49e10: 0a000034 b5084d65 73736167 65494400 ...4..MessageID. 49e20: 00001c9d 02230008 53657276 69636549 .....#..ServiceI 49e30: 44000000 1c9d0223 02085374 61747573 D......#..Status 49e40: 00000016 75022304 08456e64 706f696e ....u.#..Endpoin 49e50: 74494400 00001675 02230508 4d61784d tID....u.#..MaxM 49e60: 73675369 7a650000 001c9d02 23060853 sgSize......#..S 49e70: 65727669 63654d65 74614c65 6e677468 erviceMetaLength 49e80: 00000016 75022308 085f5061 64310000 ....u.#.._Pad1.. 49e90: 00167502 23090012 02000034 ce084d65 ..u.#......4..Me 49ea0: 73736167 65494400 00001c9d 02230000 ssageID......#.. 49eb0: 12040000 350a084d 65737361 67654944 ....5..MessageID 49ec0: 0000001c 9d022300 08506970 65494400 ......#..PipeID. 49ed0: 00001675 02230208 43726564 6974436f ...u.#..CreditCo 49ee0: 756e7400 00001675 02230300 12040000 unt....u.#...... 49ef0: 3541084d 65737361 67654944 0000001c 5A.MessageID.... 49f00: 9d022300 08506970 65494400 00001675 ..#..PipeID....u 49f10: 02230208 53746174 75730000 00167502 .#..Status....u. 49f20: 23030012 02000035 68085265 636f7264 #......5h.Record 49f30: 49440000 00167502 2300084c 656e6774 ID....u.#..Lengt 49f40: 68000000 16750223 01001202 00003592 h....u.#......5. 49f50: 08456e64 706f696e 74494400 00001675 .EndpointID....u 49f60: 02230008 43726564 69747300 00001675 .#..Credits....u 49f70: 02230100 12040000 35d30845 6e64706f .#......5..Endpo 49f80: 696e7449 44000000 16750223 00084372 intID....u.#..Cr 49f90: 65646974 73000000 16750223 01085467 edits....u.#..Tg 49fa0: 74437265 64697453 65714e6f 0000001c tCreditSeqNo.... 49fb0: 9d022302 000f0000 16750400 0035e010 ..#......u...5.. 49fc0: 03001206 0000361c 08507265 56616c69 ......6..PreVali 49fd0: 64000000 16750223 00084c6f 6f6b4168 d....u.#..LookAh 49fe0: 65616400 000035d3 02230108 506f7374 ead...5..#..Post 49ff0: 56616c69 64000000 16750223 05000970 Valid....u.#...p 4a000: 6f6f6c5f 68616e64 6c655f74 00000003 ool_handle_t.... 4a010: fe060000 361c0103 0000362f 04000201 ....6.....6/.... 4a020: 03000036 3c040014 04000036 ba0e504f ...6<......6..PO 4a030: 4f4c5f49 445f4854 435f434f 4e54524f OL_ID_HTC_CONTRO 4a040: 4c00000e 504f4f4c 5f49445f 574d495f L...POOL_ID_WMI_ 4a050: 5356435f 434d445f 5245504c 5900010e SVC_CMD_REPLY... 4a060: 504f4f4c 5f49445f 574d495f 5356435f POOL_ID_WMI_SVC_ 4a070: 4556454e 5400020e 504f4f4c 5f49445f EVENT...POOL_ID_ 4a080: 574c414e 5f52585f 42554600 030e504f WLAN_RX_BUF...PO 4a090: 4f4c5f49 445f4d41 58000a00 09425546 OL_ID_MAX....BUF 4a0a0: 5f504f4f 4c5f4944 00000036 45020103 _POOL_ID...6E... 4a0b0: 000036cb 04000600 00263a01 03000036 ..6......&:....6 4a0c0: d4040006 0000263a 01030000 36e10400 ......&:....6... 4a0d0: 02010300 0036ee04 00076275 665f706f .....6....buf_po 4a0e0: 6f6c5f61 7069001c 00003790 085f696e ol_api....7.._in 4a0f0: 69740000 00363502 2300085f 73687574 it...65.#.._shut 4a100: 646f776e 00000036 3e022304 085f6372 down...6>.#.._cr 4a110: 65617465 5f706f6f 6c000000 36cd0223 eate_pool...6..# 4a120: 08085f61 6c6c6f63 5f627566 00000036 .._alloc_buf...6 4a130: da02230c 085f616c 6c6f635f 6275665f ..#.._alloc_buf_ 4a140: 616c6967 6e000000 36e70223 10085f66 align...6..#.._f 4a150: 7265655f 62756600 000036f0 02231408 ree_buf...6..#.. 4a160: 70526573 65727665 64000000 03fe0223 pReserved......# 4a170: 1800075f 4854435f 53455256 49434500 ..._HTC_SERVICE. 4a180: 1c000038 6f08704e 65787400 0000386f ...8o.pNext...8o 4a190: 02230008 50726f63 65737352 6563764d .#..ProcessRecvM 4a1a0: 73670000 00392402 23040850 726f6365 sg...9$.#..Proce 4a1b0: 73735365 6e644275 66666572 436f6d70 ssSendBufferComp 4a1c0: 6c657465 00000039 2d022308 0850726f lete...9-.#..Pro 4a1d0: 63657373 436f6e6e 65637400 00003941 cessConnect...9A 4a1e0: 02230c08 53657276 69636549 44000000 .#..ServiceID... 4a1f0: 12e30223 10085365 72766963 65466c61 ...#..ServiceFla 4a200: 67730000 0012e302 2312084d 61785376 gs......#..MaxSv 4a210: 634d7367 53697a65 00000012 e3022314 cMsgSize......#. 4a220: 08547261 696c6572 53706343 6865636b .TrailerSpcCheck 4a230: 4c696d69 74000000 12e30223 16085365 Limit......#..Se 4a240: 72766963 65437478 00000003 fe022318 rviceCtx......#. 4a250: 00030000 37900400 14040000 390d1945 ....7.......9..E 4a260: 4e44504f 494e545f 554e5553 454400ff NDPOINT_UNUSED.. 4a270: ffffff0e 454e4450 4f494e54 3000000e ....ENDPOINT0... 4a280: 454e4450 4f494e54 3100010e 454e4450 ENDPOINT1...ENDP 4a290: 4f494e54 3200020e 454e4450 4f494e54 OINT2...ENDPOINT 4a2a0: 3300030e 454e4450 4f494e54 3400040e 3...ENDPOINT4... 4a2b0: 454e4450 4f494e54 3500050e 454e4450 ENDPOINT5...ENDP 4a2c0: 4f494e54 3600060e 454e4450 4f494e54 OINT6...ENDPOINT 4a2d0: 3700070e 454e4450 4f494e54 3800080e 7...ENDPOINT8... 4a2e0: 454e4450 4f494e54 5f4d4158 00160009 ENDPOINT_MAX.... 4a2f0: 4854435f 454e4450 4f494e54 5f494400 HTC_ENDPOINT_ID. 4a300: 00003876 02010300 00392204 00020103 ..8v.....9"..... 4a310: 0000392b 04000300 00010904 00060000 ..9+............ 4a320: 12cf0103 0000393b 04000300 00379004 ......9;.....7.. 4a330: 00075f48 54435f43 4f4e4649 47001400 .._HTC_CONFIG... 4a340: 0039c008 43726564 69745369 7a650000 .9..CreditSize.. 4a350: 00010902 23000843 72656469 744e756d ....#..CreditNum 4a360: 62657200 00000109 02230408 4f534861 ber......#..OSHa 4a370: 6e646c65 0000001a 39022308 08484946 ndle....9.#..HIF 4a380: 48616e64 6c650000 0028a202 230c0850 Handle...(..#..P 4a390: 6f6f6c48 616e646c 65000000 361c0223 oolHandle...6..# 4a3a0: 1000075f 4854435f 4255465f 434f4e54 ..._HTC_BUF_CONT 4a3b0: 45585400 02000039 fc08656e 645f706f EXT....9..end_po 4a3c0: 696e7400 000012cf 02230008 6874635f int......#..htc_ 4a3d0: 666c6167 73000000 12cf0223 01000968 flags......#...h 4a3e0: 74635f68 616e646c 655f7400 000003fe tc_handle_t..... 4a3f0: 09485443 5f534554 55505f43 4f4d504c .HTC_SETUP_COMPL 4a400: 4554455f 43420000 00010209 4854435f ETE_CB......HTC_ 4a410: 434f4e46 49470000 00394f03 00003a29 CONFIG...9O...:) 4a420: 04000600 0039fc01 0300003a 40040002 .....9.....:@... 4a430: 01030000 3a4d0400 09485443 5f534552 ....:M...HTC_SER 4a440: 56494345 00000037 90030000 3a560400 VICE...7....:V.. 4a450: 02010300 003a6e04 00020103 00003a77 .....:n.......:w 4a460: 04000201 0300003a 80040006 00000109 .......:........ 4a470: 01030000 3a890400 07687463 5f617069 ....:....htc_api 4a480: 73003400 003c0608 5f485443 5f496e69 s.4..<.._HTC_Ini 4a490: 74000000 3a460223 00085f48 54435f53 t...:F.#.._HTC_S 4a4a0: 68757464 6f776e00 00003a4f 02230408 hutdown...:O.#.. 4a4b0: 5f485443 5f526567 69737465 72536572 _HTC_RegisterSer 4a4c0: 76696365 0000003a 70022308 085f4854 vice...:p.#.._HT 4a4d0: 435f5265 61647900 00003a4f 02230c08 C_Ready...:O.#.. 4a4e0: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe 4a4f0: 72730000 003a7902 2310085f 4854435f rs...:y.#.._HTC_ 4a500: 52657475 726e4275 66666572 734c6973 ReturnBuffersLis 4a510: 74000000 3a820223 14085f48 54435f53 t...:..#.._HTC_S 4a520: 656e644d 73670000 003a7902 2318085f endMsg...:y.#.._ 4a530: 4854435f 47657452 65736572 76656448 HTC_GetReservedH 4a540: 65616472 6f6f6d00 00003a8f 02231c08 eadroom...:..#.. 4a550: 5f485443 5f4d7367 52656376 48616e64 _HTC_MsgRecvHand 4a560: 6c657200 0000284f 02232008 5f485443 ler...(O.# ._HTC 4a570: 5f53656e 64446f6e 6548616e 646c6572 _SendDoneHandler 4a580: 00000028 46022324 085f4854 435f436f ...(F.#$._HTC_Co 4a590: 6e74726f 6c537663 50726f63 6573734d ntrolSvcProcessM 4a5a0: 73670000 00392402 2328085f 4854435f sg...9$.#(._HTC_ 4a5b0: 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces 4a5c0: 7353656e 64436f6d 706c6574 65000000 sSendComplete... 4a5d0: 392d0223 2c087052 65736572 76656400 9-.#,.pReserved. 4a5e0: 000003fe 02233000 07686f73 745f6170 .....#0..host_ap 4a5f0: 705f6172 65615f73 00040000 3c360877 p_area_s....<6.w 4a600: 6d695f70 726f746f 636f6c5f 76657200 mi_protocol_ver. 4a610: 0000160e 02230000 120e0000 3c6d0864 .....#........beaconPen 4a7d0: 64696e67 436f756e 74000000 16750223 dingCount....u.# 4a7e0: 0000075f 574d495f 5356435f 434f4e46 ..._WMI_SVC_CONF 4a7f0: 49470010 00003e69 08487463 48616e64 IG....>i.HtcHand 4a800: 6c650000 0039fc02 23000850 6f6f6c48 le...9..#..PoolH 4a810: 616e646c 65000000 361c0223 04084d61 andle...6..#..Ma 4a820: 78436d64 5265706c 79457674 73000000 xCmdReplyEvts... 4a830: 01090223 08084d61 78457665 6e744576 ...#..MaxEventEv 4a840: 74730000 00010902 230c0002 01030000 ts......#....... 4a850: 3e690400 09574d49 5f434d44 5f48414e >i...WMI_CMD_HAN 4a860: 444c4552 0000003e 6b075f57 4d495f44 DLER...>k._WMI_D 4a870: 49535041 5443485f 454e5452 59000800 ISPATCH_ENTRY... 4a880: 003ed208 70436d64 48616e64 6c657200 .>..pCmdHandler. 4a890: 00003e72 02230008 436d6449 44000000 ..>r.#..CmdID... 4a8a0: 12e30223 0408466c 61677300 000012e3 ...#..Flags..... 4a8b0: 02230600 075f574d 495f4449 53504154 .#..._WMI_DISPAT 4a8c0: 43485f54 41424c45 00100000 3f330870 CH_TABLE....?3.p 4a8d0: 4e657874 0000003f 33022300 0870436f Next...?3.#..pCo 4a8e0: 6e746578 74000000 03fe0223 04084e75 ntext......#..Nu 4a8f0: 6d626572 4f66456e 74726965 73000000 mberOfEntries... 4a900: 01090223 08087054 61626c65 0000003f ...#..pTable...? 4a910: 5202230c 00030000 3ed20400 09574d49 R.#.....>....WMI 4a920: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. 4a930: 00003e87 0300003f 3a040003 00003ed2 ..>....?:.....>. 4a940: 04000948 54435f42 55465f43 4f4e5445 ...HTC_BUF_CONTE 4a950: 58540000 0039c00d 574d495f 4556545f XT...9..WMI_EVT_ 4a960: 434c4153 53000400 003fea19 574d495f CLASS....?..WMI_ 4a970: 4556545f 434c4153 535f4e4f 4e4500ff EVT_CLASS_NONE.. 4a980: ffffff0e 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS 4a990: 535f434d 445f4556 454e5400 000e574d S_CMD_EVENT...WM 4a9a0: 495f4556 545f434c 4153535f 434d445f I_EVT_CLASS_CMD_ 4a9b0: 5245504c 5900010e 574d495f 4556545f REPLY...WMI_EVT_ 4a9c0: 434c4153 535f4d41 58000200 09574d49 CLASS_MAX....WMI 4a9d0: 5f455654 5f434c41 53530000 003f7507 _EVT_CLASS...?u. 4a9e0: 5f574d49 5f425546 5f434f4e 54455854 _WMI_BUF_CONTEXT 4a9f0: 000c0000 40480848 74634275 66437478 ....@H.HtcBufCtx 4aa00: 0000003f 60022300 08457665 6e74436c ...?`.#..EventCl 4aa10: 61737300 00003fea 02230408 466c6167 ass...?..#..Flag 4aa20: 73000000 12e30223 08000977 6d695f68 s......#...wmi_h 4aa30: 616e646c 655f7400 000003fe 09574d49 andle_t......WMI 4aa40: 5f535643 5f434f4e 46494700 00003e00 _SVC_CONFIG...>. 4aa50: 03000040 5a040006 00004048 01030000 ...@Z.....@H.... 4aa60: 40750400 09574d49 5f444953 50415443 @u...WMI_DISPATC 4aa70: 485f5441 424c4500 00003ed2 03000040 H_TABLE...>....@ 4aa80: 82040002 01030000 40a10400 06000026 ........@......& 4aa90: 3a010300 0040aa04 00020103 000040b7 :....@........@. 4aaa0: 04000600 00010901 03000040 c0040002 ...........@.... 4aab0: 01030000 40cd0400 06000012 cf010300 ....@........... 4aac0: 0040d604 00075f77 6d695f73 76635f61 .@...._wmi_svc_a 4aad0: 70697300 2c000042 1e085f57 4d495f49 pis.,..B.._WMI_I 4aae0: 6e697400 0000407b 02230008 5f574d49 nit...@{.#.._WMI 4aaf0: 5f526567 69737465 72446973 70617463 _RegisterDispatc 4ab00: 68546162 6c650000 0040a302 2304085f hTable...@..#.._ 4ab10: 574d495f 416c6c6f 63457665 6e740000 WMI_AllocEvent.. 4ab20: 0040b002 2308085f 574d495f 53656e64 .@..#.._WMI_Send 4ab30: 4576656e 74000000 40b90223 0c085f57 Event...@..#.._W 4ab40: 4d495f47 65745065 6e64696e 67457665 MI_GetPendingEve 4ab50: 6e747343 6f756e74 00000040 c6022310 ntsCount...@..#. 4ab60: 085f574d 495f5365 6e64436f 6d706c65 ._WMI_SendComple 4ab70: 74654861 6e646c65 72000000 392d0223 teHandler...9-.# 4ab80: 14085f57 4d495f47 6574436f 6e74726f .._WMI_GetContro 4ab90: 6c457000 000040c6 02231808 5f574d49 lEp...@..#.._WMI 4aba0: 5f536875 74646f77 6e000000 40cf0223 _Shutdown...@..# 4abb0: 1c085f57 4d495f52 6563764d 65737361 .._WMI_RecvMessa 4abc0: 67654861 6e646c65 72000000 39240223 geHandler...9$.# 4abd0: 20085f57 4d495f53 65727669 6365436f ._WMI_ServiceCo 4abe0: 6e6e6563 74000000 40dc0223 24087052 nnect...@..#$.pR 4abf0: 65736572 76656400 000003fe 02232800 eserved......#(. 4ac00: 077a7344 6d614465 73630014 000042a0 .zsDmaDesc....B. 4ac10: 08637472 6c000000 016d0223 00087374 .ctrl....m.#..st 4ac20: 61747573 00000001 6d022302 08746f74 atus....m.#..tot 4ac30: 616c4c65 6e000000 016d0223 04086461 alLen....m.#..da 4ac40: 74615369 7a650000 00016d02 2306086c taSize....m.#..l 4ac50: 61737441 64647200 000042a0 02230808 astAddr...B..#.. 4ac60: 64617461 41646472 00000001 9102230c dataAddr......#. 4ac70: 086e6578 74416464 72000000 42a00223 .nextAddr...B..# 4ac80: 10000300 00421e04 00030000 421e0400 .....B......B... 4ac90: 077a7344 6d615175 65756500 08000042 .zsDmaQueue....B 4aca0: e0086865 61640000 0042a702 23000874 ..head...B..#..t 4acb0: 65726d69 6e61746f 72000000 42a70223 erminator...B..# 4acc0: 0400077a 73547844 6d615175 65756500 ...zsTxDmaQueue. 4acd0: 10000043 44086865 61640000 0042a702 ...CD.head...B.. 4ace0: 23000874 65726d69 6e61746f 72000000 #..terminator... 4acf0: 42a70223 0408786d 69746564 5f627566 B..#..xmited_buf 4ad00: 5f686561 64000000 142e0223 0808786d _head......#..xm 4ad10: 69746564 5f627566 5f746169 6c000000 ited_buf_tail... 4ad20: 142e0223 0c000201 03000043 44040003 ...#.......CD... 4ad30: 000042ae 04000201 03000043 54040003 ..B........CT... 4ad40: 000042e0 04000201 03000043 64040002 ..B........Cd... 4ad50: 01030000 436d0400 02010300 00437604 ....Cm.......Cv. 4ad60: 00060000 142e0103 0000437f 04000201 ..........C..... 4ad70: 03000043 8c040006 0000142e 01030000 ...C............ 4ad80: 43950400 02010300 0043a204 00060000 C........C...... 4ad90: 01090103 000043ab 04000600 0042a701 ......C......B.. 4ada0: 03000043 b8040002 01030000 43c50400 ...C........C... 4adb0: 07646d61 5f656e67 696e655f 61706900 .dma_engine_api. 4adc0: 40000045 3b085f69 6e697400 00004346 @..E;._init...CF 4add0: 02230008 5f696e69 745f7278 5f717565 .#.._init_rx_que 4ade0: 75650000 00435602 2304085f 696e6974 ue...CV.#.._init 4adf0: 5f74785f 71756575 65000000 43660223 _tx_queue...Cf.# 4ae00: 08085f63 6f6e6669 675f7278 5f717565 .._config_rx_que 4ae10: 75650000 00436f02 230c085f 786d6974 ue...Co.#.._xmit 4ae20: 5f627566 00000043 78022310 085f666c _buf...Cx.#.._fl 4ae30: 7573685f 786d6974 00000043 56022314 ush_xmit...CV.#. 4ae40: 085f7265 61705f72 6563765f 62756600 ._reap_recv_buf. 4ae50: 00004385 02231808 5f726574 75726e5f ..C..#.._return_ 4ae60: 72656376 5f627566 00000043 8e02231c recv_buf...C..#. 4ae70: 085f7265 61705f78 6d697465 645f6275 ._reap_xmited_bu 4ae80: 66000000 439b0223 20085f73 7761705f f...C..# ._swap_ 4ae90: 64617461 00000043 a4022324 085f6861 data...C..#$._ha 4aea0: 735f636f 6d706c5f 7061636b 65747300 s_compl_packets. 4aeb0: 000043b1 02232808 5f646573 635f6475 ..C..#(._desc_du 4aec0: 6d700000 00435602 232c085f 6765745f mp...CV.#,._get_ 4aed0: 7061636b 65740000 0043be02 2330085f packet...C..#0._ 4aee0: 7265636c 61696d5f 7061636b 65740000 reclaim_packet.. 4aef0: 0043c702 2334085f 7075745f 7061636b .C..#4._put_pack 4af00: 65740000 0043c702 23380870 52657365 et...C..#8.pRese 4af10: 72766564 00000003 fe02233c 00095f41 rved......#<.._A 4af20: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 4af30: 6f6e5f74 61626c65 5f740000 00307a09 on_table_t...0z. 4af40: 574d495f 5356435f 41504953 00000040 WMI_SVC_APIS...@ 4af50: e3175f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 4af60: 72656374 696f6e5f 7461626c 6500034c rection_table..L 4af70: 00004669 08636d6e 6f730000 00453b02 ..Fi.cmnos...E;. 4af80: 23000864 62670000 0003cb03 23b80308 #..dbg......#... 4af90: 68696600 00002945 0323c003 08687463 hif...)E.#...htc 4afa0: 0000003a 960323f8 0308776d 695f7376 ...:..#...wmi_sv 4afb0: 635f6170 69000000 455d0323 ac040875 c_api...E].#...u 4afc0: 73626669 666f5f61 70690000 00324e03 sbfifo_api...2N. 4afd0: 23d80408 6275665f 706f6f6c 00000036 #...buf_pool...6 4afe0: f70323e4 04087662 75660000 00145803 ..#...vbuf....X. 4aff0: 23800508 76646573 63000000 133a0323 #...vdesc....:.# 4b000: 94050861 6c6c6f63 72616d00 00000930 ...allocram....0 4b010: 0323a805 08646d61 5f656e67 696e6500 .#...dma_engine. 4b020: 000043ce 0323b405 08646d61 5f6c6962 ..C..#...dma_lib 4b030: 0000002b d90323f4 05086869 665f7063 ...+..#...hif_pc 4b040: 69000000 2e390323 a8060006 000003fe i....9.#........ 4b050: 01095f41 5f6d6167 7069655f 696e6469 .._A_magpie_indi 4b060: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 4b070: 0000456f 02010201 02010600 00010901 ..Eo............ 4b080: 07564255 465f5155 45554500 08000046 .VBUF_QUEUE....F 4b090: ca086865 61640000 00142e02 23000874 ..head......#..t 4b0a0: 61696c00 0000142e 02230400 075f4849 ail......#..._HI 4b0b0: 465f5553 425f434f 4e544558 54004400 F_USB_CONTEXT.D. 4b0c0: 00475908 68696643 62000000 28e10223 .GY.hifCb...(..# 4b0d0: 0008646e 51000000 42ae0223 0c087570 ..dnQ...B..#..up 4b0e0: 51000000 42e00223 14086870 646e5100 Q...B..#..hpdnQ. 4b0f0: 000042ae 02232408 6d70646e 51000000 ..B..#$.mpdnQ... 4b100: 42ae0223 2c08636d 64517565 75650000 B..#,.cmdQueue.. 4b110: 00142e02 23340865 76656e74 42756651 ....#4.eventBufQ 4b120: 00000046 9e022338 08705265 73657276 ...F..#8.pReserv 4b130: 65640000 0003fe02 23400009 4849465f ed......#@..HIF_ 4b140: 5553425f 434f4e54 45585400 000046ca USB_CONTEXT...F. 4b150: 1a675f68 69665553 42437478 00000047 .g_hifUSBCtx...G 4b160: 59050300 500a5401 06000014 2e010600 Y...P.T......... 4b170: 00142e01 03000047 86040003 0000478c .......G......G. 4b180: 04000300 00475904 000f0000 01101500 .....GY......... 4b190: 0047b410 14000300 0047a704 000f0000 .G.......G...... 4b1a0: 01101300 0047c810 12000300 0047bb04 .....G.......G.. 4b1b0: 001b0000 01911b00 00019103 000047d4 ..............G. 4b1c0: 04000f00 00011016 000047ed 10150003 ..........G..... 4b1d0: 000047e0 04000201 06000043 4d010600 ..G........CM... 4b1e0: 00010901 02010201 03000029 45040002 ...........)E... 4b1f0: 01030000 47fc0400 03000048 0204000f ....G......H.... 4b200: 00000110 14000048 2a101300 03000048 .......H*......H 4b210: 1d04000f 00000110 18000048 3e101700 ...........H>... 4b220: 03000048 31040003 000001a6 04000f00 ...H1........... 4b230: 00011011 00004859 10100003 0000484c ......HY......HL 4b240: 04000f00 00011012 0000486d 10110003 ..........Hm.... 4b250: 00004860 04001c01 27757362 6669666f ..H`....'usbfifo 4b260: 5f676574 5f636f6d 6d616e64 5f627566 _get_command_buf 4b270: 00000014 2e039201 20029000 008e33b0 ........ .....3. 4b280: 008e33c2 000048b0 1d627566 00000014 ..3...H..buf.... 4b290: 2e001e01 31757362 6669666f 5f726563 ....1usbfifo_rec 4b2a0: 765f636f 6d6d616e 64000103 92012002 v_command..... . 4b2b0: 9000008e 33c4008e 33fa0000 49061f01 ....3...3...I... 4b2c0: 31627566 00000014 2e01521d 63757272 1buf......R.curr 4b2d0: 56646573 63000000 13101d75 73624465 Vdesc......usbDe 4b2e0: 73630000 0042a700 1c014875 73626669 sc...B....Husbfi 4b2f0: 666f5f67 65745f65 76656e74 5f627566 fo_get_event_buf 4b300: 00000014 2e039201 20029000 008e33fc ........ .....3. 4b310: 008e341a 00004940 1d627566 00000014 ..4...I@.buf.... 4b320: 2e001e01 58757362 6669666f 5f73656e ....Xusbfifo_sen 4b330: 645f6576 656e745f 646f6e65 00010392 d_event_done.... 4b340: 01200290 00008e34 1c008e34 2d000049 . .....4...4-..I 4b350: 7d1f0158 62756600 0000142e 01520020 }..Xbuf......R. 4b360: 01645f48 49467573 625f696e 69740000 .d_HIFusb_init.. 4b370: 0028a201 01039201 30029000 008e3430 .(......0.....40 4b380: 008e3483 000049c8 1f016470 436f6e66 ..4...I...dpConf 4b390: 69670000 0028c401 52217573 62666966 ig...(..R!usbfif 4b3a0: 6f000000 32290291 50002201 835f4849 o...2)..P.".._HI 4b3b0: 46757362 5f736875 74646f77 6e000101 Fusb_shutdown... 4b3c0: 03920120 02900000 8e348400 8e348900 ... .....4...4.. 4b3d0: 004a021f 01836861 6e646c65 00000028 .J....handle...( 4b3e0: a2015200 2201885f 48494675 73625f72 ..R.".._HIFusb_r 4b3f0: 65676973 7465725f 63616c6c 6261636b egister_callback 4b400: 00010103 92012002 9000008e 348c008e ...... .....4... 4b410: 34a00000 4a561f01 8868616e 646c6500 4...JV...handle. 4b420: 000028a2 01521f01 8870436f 6e666967 ..(..R...pConfig 4b430: 00000028 f3015300 2201945f 48494675 ...(..S.".._HIFu 4b440: 73625f73 74617274 00010103 92012002 sb_start...... . 4b450: 9000008e 34a0008e 351a0000 4a8d1f01 ....4...5...J... 4b460: 9468616e 646c6500 000028a2 01520023 .handle...(..R.# 4b470: 01b95f48 49467573 625f6765 745f7265 .._HIFusb_get_re 4b480: 73657276 65645f68 65616472 6f6f6d00 served_headroom. 4b490: 00000109 01039201 20029000 008e351c ........ .....5. 4b4a0: 008e3523 00004ad7 1f01b968 616e646c ..5#..J....handl 4b4b0: 65000000 28a20152 001e01d4 656e6162 e...(..R....enab 4b4c0: 6c655f72 78000103 92012002 9000008e le_rx..... ..... 4b4d0: 3524008e 354f0000 4b071f01 d4706970 5$..5O..K....pip 4b4e0: 65000000 01090152 002301e9 6765745f e......R.#..get_ 4b4f0: 71756575 655f6672 6f6d5f70 69706500 queue_from_pipe. 4b500: 0000434d 01039201 20029000 008e3550 ..CM.... .....5P 4b510: 008e356e 00004b4c 1f01e970 69706500 ..5n..KL...pipe. 4b520: 00000109 01521d71 00000043 4d002401 .....R.q...CM.$. 4b530: 01075f48 49467573 625f636f 6e666967 .._HIFusb_config 4b540: 5f706970 65000101 01039201 30029000 _pipe.......0... 4b550: 008e3570 008e361b 00004bd3 25010107 ..5p..6...K.%... 4b560: 68616e64 6c650000 0028a201 52250101 handle...(..R%.. 4b570: 07706970 65000000 01090153 25010107 .pipe......S%... 4b580: 63726564 6974436f 756e7400 00000109 creditCount..... 4b590: 01541d68 65616400 00001310 1d690000 .T.head......i.. 4b5a0: 0001091d 64657363 00000013 101d7100 ....desc......q. 4b5b0: 0000434d 00260101 4f5f4849 46757362 ..CM.&..O_HIFusb 4b5c0: 5f73656e 645f6275 66666572 00000001 _send_buffer.... 4b5d0: 09010101 03920120 02900000 8e361c00 ....... .....6.. 4b5e0: 8e367a00 004c3425 01014f68 616e646c .6z..L4%..Ohandl 4b5f0: 65000000 28a20152 2501014f 70697065 e...(..R%..Opipe 4b600: 00000001 09015325 01014f62 75660000 ......S%..Obuf.. 4b610: 00142e01 54002701 01605f48 49467573 ....T.'..`_HIFus 4b620: 625f7265 7475726e 5f726563 765f6275 b_return_recv_bu 4b630: 66000101 03920120 02900000 8e367c00 f...... .....6|. 4b640: 8e36a600 004c9b25 01016068 616e646c .6...L.%..`handl 4b650: 65000000 28a20152 25010160 70697065 e...(..R%..`pipe 4b660: 00000001 09015325 01016062 75660000 ......S%..`buf.. 4b670: 00142e01 541d7100 0000434d 00270101 ....T.q...CM.'.. 4b680: 725f4849 46757362 5f736574 5f726563 r_HIFusb_set_rec 4b690: 765f6275 66737a00 01010392 01200290 v_bufsz...... .. 4b6a0: 00008e36 a8008e36 ad00004c fc250101 ...6...6...L.%.. 4b6b0: 7268616e 646c6500 000028a2 01522501 rhandle...(..R%. 4b6c0: 01727069 70650000 00010901 53250101 .rpipe......S%.. 4b6d0: 72627566 737a0000 00010901 54002701 rbufsz......T.'. 4b6e0: 01785f48 49467573 625f7061 7573655f .x_HIFusb_pause_ 4b6f0: 72656376 00010103 92012002 9000008e recv...... ..... 4b700: 36b0008e 36b50000 4d492501 01786861 6...6...MI%..xha 4b710: 6e646c65 00000028 a2015225 01017870 ndle...(..R%..xp 4b720: 69706500 00000109 01530027 01017d5f ipe......S.'..}_ 4b730: 48494675 73625f72 6573756d 655f7265 HIFusb_resume_re 4b740: 63760001 01039201 20029000 008e36b8 cv...... .....6. 4b750: 008e36bd 00004d97 2501017d 68616e64 ..6...M.%..}hand 4b760: 6c650000 0028a201 52250101 7d706970 le...(..R%..}pip 4b770: 65000000 01090153 00280101 825f4849 e......S.(..._HI 4b780: 46757362 5f69735f 70697065 5f737570 Fusb_is_pipe_sup 4b790: 706f7274 65640000 00010901 01039201 ported.......... 4b7a0: 20029000 008e36c0 008e36d0 00004def .....6...6...M. 4b7b0: 25010182 68616e64 6c650000 0028a201 %...handle...(.. 4b7c0: 52250101 82706970 65000000 01090153 R%...pipe......S 4b7d0: 00280101 8b5f4849 46757362 5f676574 .(..._HIFusb_get 4b7e0: 5f6d6178 5f6d7367 5f6c656e 00000001 _max_msg_len.... 4b7f0: 09010103 92012002 9000008e 36d0008e ...... .....6... 4b800: 36e20000 4e452501 018b6861 6e646c65 6...NE%...handle 4b810: 00000028 a2015225 01018b70 69706500 ...(..R%...pipe. 4b820: 00000109 01530024 0102065f 48494675 .....S.$..._HIFu 4b830: 73625f69 73725f68 616e646c 65720001 sb_isr_handler.. 4b840: 01010392 01200290 00008e36 e4008e37 ..... .....6...7 4b850: 9100004e 95250102 06680000 0028a201 ...N.%...h...(.. 4b860: 521d696e 74720000 0001911d 63686563 R.intr......chec 4b870: 6b000000 01090027 0102515f 48494675 k......'..Q_HIFu 4b880: 73625f67 65745f64 65666175 6c745f70 sb_get_default_p 4b890: 69706500 01010392 01200290 00008e37 ipe...... .....7 4b8a0: 94008e37 a300004f 07250102 5168616e ...7...O.%..Qhan 4b8b0: 646c6500 000028a2 01522501 02517069 dle...(..R%..Qpi 4b8c0: 70655f75 706c696e 6b000000 12dc0153 pe_uplink......S 4b8d0: 25010251 70697065 5f646f77 6e6c696e %..Qpipe_downlin 4b8e0: 6b000000 12dc0154 00270102 59686966 k......T.'..Yhif 4b8f0: 5f757362 5f6d6f64 756c655f 696e7374 _usb_module_inst 4b900: 616c6c00 01010392 01200290 00008e37 all...... .....7 4b910: a4008e37 e500004f 47250102 59617069 ...7...OG%..Yapi 4b920: 73000000 48060152 00290102 6d484946 s...H..R.)..mHIF 4b930: 7573625f 44657363 54726163 6544756d usb_DescTraceDum 4b940: 70000101 03920120 02900000 8e37e800 p...... .....7.. 4b950: 8e388400 00000053 0f000200 001be804 .8.....S........ 4b960: 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace 4b970: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 4b980: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 4b990: 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ 4b9a0: 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ 4b9b0: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 4b9c0: 69662f75 73622f73 72632f75 73625f61 if/usb/src/usb_a 4b9d0: 70692e63 002f726f 6f742f57 6f726b73 pi.c./root/Works 4b9e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 4b9f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 4ba00: 315f312f 726f6d2f 6869662f 75736200 1_1/rom/hif/usb. 4ba10: 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 4ba20: 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst 4ba30: 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 4ba40: 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. 4ba50: 00000106 bc02756e 7369676e 65642063 ......unsigned c 4ba60: 68617200 07010375 696e7438 5f740000 har....uint8_t.. 4ba70: 00010002 73686f72 7420756e 7369676e ....short unsign 4ba80: 65642069 6e740007 02037569 6e743136 ed int....uint16 4ba90: 5f740000 00011e04 53657475 705f5061 _t......Setup_Pa 4baa0: 636b6574 000c0000 01bf0544 69726563 cket.......Direc 4bab0: 74696f6e 00000001 11022300 05547970 tion......#..Typ 4bac0: 65000000 01110223 01054f62 6a656374 e......#..Object 4bad0: 00000001 11022302 05526571 75657374 ......#..Request 4bae0: 00000001 34022304 0556616c 75650000 ....4.#..Value.. 4baf0: 00013402 23060549 6e646578 00000001 ..4.#..Index.... 4bb00: 34022308 054c656e 67746800 00000134 4.#..Length....4 4bb10: 02230a00 06010700 0001bf04 0002696e .#............in 4bb20: 74000504 02636861 72000701 08000001 t....char....... 4bb30: cf080000 01cf0700 0001dc04 00090000 ................ 4bb40: 01c80107 000001e8 04000470 72696e74 ...........print 4bb50: 665f6170 69000800 00022c05 5f707269 f_api.....,._pri 4bb60: 6e74665f 696e6974 00000001 c1022300 ntf_init......#. 4bb70: 055f7072 696e7466 00000001 ee022304 ._printf......#. 4bb80: 00026c6f 6e672075 6e736967 6e656420 ..long unsigned 4bb90: 696e7400 07040375 696e7433 325f7400 int....uint32_t. 4bba0: 0000022c 04756172 745f6669 666f0008 ...,.uart_fifo.. 4bbb0: 0000029a 05737461 72745f69 6e646578 .....start_index 4bbc0: 00000001 34022300 05656e64 5f696e64 ....4.#..end_ind 4bbd0: 65780000 00013402 2302056f 76657272 ex....4.#..overr 4bbe0: 756e5f65 72720000 00024102 23040004 un_err....A.#... 4bbf0: 75617274 5f617069 00200000 0353055f uart_api. ...S._ 4bc00: 75617274 5f696e69 74000000 03aa0223 uart_init......# 4bc10: 00055f75 6172745f 63686172 5f707574 .._uart_char_put 4bc20: 00000003 b3022304 055f7561 72745f63 ......#.._uart_c 4bc30: 6861725f 67657400 000003c7 02230805 har_get......#.. 4bc40: 5f756172 745f7374 725f6f75 74000000 _uart_str_out... 4bc50: 03d00223 0c055f75 6172745f 7461736b ...#.._uart_task 4bc60: 00000001 c1022310 055f7561 72745f73 ......#.._uart_s 4bc70: 74617475 73000000 03aa0223 14055f75 tatus......#.._u 4bc80: 6172745f 636f6e66 69670000 0003d902 art_config...... 4bc90: 2318055f 75617274 5f687769 6e697400 #.._uart_hwinit. 4bca0: 000003e2 02231c00 07000002 9a040004 .....#.......... 4bcb0: 75617274 5f626c6b 00100000 03a40564 uart_blk.......d 4bcc0: 65627567 5f6d6f64 65000000 01340223 ebug_mode....4.# 4bcd0: 00056261 75640000 00013402 2302055f ..baud....4.#.._ 4bce0: 75617274 00000003 53022304 055f7478 uart....S.#.._tx 4bcf0: 00000002 4f022308 00090000 02410107 ....O.#......A.. 4bd00: 000003a4 04000601 07000003 b1040007 ................ 4bd10: 00000111 04000900 00013401 07000003 ..........4..... 4bd20: c1040006 01070000 03ce0400 06010700 ................ 4bd30: 0003d704 00060107 000003e0 04000700 ................ 4bd40: 0001cf04 00090000 01c80107 000003f0 ................ 4bd50: 04000444 425f434f 4d4d414e 445f5354 ...DB_COMMAND_ST 4bd60: 52554354 000c0000 04480563 6d645f73 RUCT.....H.cmd_s 4bd70: 74720000 0003e902 23000568 656c705f tr......#..help_ 4bd80: 73747200 000003e9 02230405 636d645f str......#..cmd_ 4bd90: 66756e63 00000003 f6022308 00046462 func......#...db 4bda0: 675f6170 69000800 00047b05 5f646267 g_api.....{._dbg 4bdb0: 5f696e69 74000000 01c10223 00055f64 _init......#.._d 4bdc0: 62675f74 61736b00 000001c1 02230400 bg_task......#.. 4bdd0: 0a040002 756e7369 676e6564 20696e74 ....unsigned int 4bde0: 00070409 0000047b 01070000 048e0400 .......{........ 4bdf0: 0b0b0700 00049c04 00090000 047b0107 .............{.. 4be00: 000004a4 04000900 0001c801 07000004 ................ 4be10: b1040004 6d656d5f 61706900 14000005 ....mem_api..... 4be20: 20055f6d 656d5f69 6e697400 000001c1 ._mem_init..... 4be30: 02230005 5f6d656d 73657400 00000494 .#.._memset..... 4be40: 02230405 5f6d656d 63707900 000004aa .#.._memcpy..... 4be50: 02230805 5f6d656d 6d6f7665 00000004 .#.._memmove.... 4be60: aa02230c 055f6d65 6d636d70 00000004 ..#.._memcmp.... 4be70: b7022310 000c7265 67697374 65725f64 ..#...register_d 4be80: 756d705f 73000001 07000005 20040006 ump_s....... ... 4be90: 01070000 053a0400 06010700 00054304 .....:........C. 4bea0: 00090000 01c80107 0000054c 04000d68 ...........L...h 4beb0: 6f737469 665f7300 04000005 a80e4849 ostif_s.......HI 4bec0: 465f5553 4200000e 4849465f 50434945 F_USB...HIF_PCIE 4bed0: 00010e48 49465f47 4d414300 020e4849 ...HIF_GMAC...HI 4bee0: 465f5043 4900030e 4849465f 4e554d00 F_PCI...HIF_NUM. 4bef0: 040e4849 465f4e4f 4e450005 0003415f ..HIF_NONE....A_ 4bf00: 484f5354 49460000 00055909 000005a8 HOSTIF....Y..... 4bf10: 01070000 05b60400 09000001 11010700 ................ 4bf20: 0005c304 00090000 01340107 000005d0 .........4...... 4bf30: 0400046d 6973635f 61706900 24000006 ...misc_api.$... 4bf40: c0055f73 79737465 6d5f7265 73657400 .._system_reset. 4bf50: 000001c1 02230005 5f6d6163 5f726573 .....#.._mac_res 4bf60: 65740000 0001c102 2304055f 61737366 et......#.._assf 4bf70: 61696c00 0000053c 02230805 5f6d6973 ail....<.#.._mis 4bf80: 616c6967 6e65645f 6c6f6164 5f68616e aligned_load_han 4bf90: 646c6572 00000005 3c02230c 055f7265 dler....<.#.._re 4bfa0: 706f7274 5f666169 6c757265 5f746f5f port_failure_to_ 4bfb0: 686f7374 00000005 45022310 055f7461 host....E.#.._ta 4bfc0: 72676574 5f69645f 67657400 00000552 rget_id_get....R 4bfd0: 02231405 5f69735f 686f7374 5f707265 .#.._is_host_pre 4bfe0: 73656e74 00000005 bc022318 055f6b62 sent......#.._kb 4bff0: 68697400 000005c9 02231c05 5f726f6d hit......#.._rom 4c000: 5f766572 73696f6e 5f676574 00000005 _version_get.... 4c010: d6022320 00090000 03e90107 000006c0 ..# ............ 4c020: 04000900 0003e901 07000006 cd040009 ................ 4c030: 000001c8 01070000 06da0400 09000001 ................ 4c040: c8010700 0006e704 00090000 01c80107 ................ 4c050: 000006f4 04000473 7472696e 675f6170 .......string_ap 4c060: 69001800 00077a05 5f737472 696e675f i.....z._string_ 4c070: 696e6974 00000001 c1022300 055f7374 init......#.._st 4c080: 72637079 00000006 c6022304 055f7374 rcpy......#.._st 4c090: 726e6370 79000000 06d30223 08055f73 rncpy......#.._s 4c0a0: 74726c65 6e000000 06e00223 0c055f73 trlen......#.._s 4c0b0: 7472636d 70000000 06ed0223 10055f73 trcmp......#.._s 4c0c0: 74726e63 6d700000 0006fa02 2314000f trncmp......#... 4c0d0: 0000047e 14000007 87100400 035f415f ...~........._A_ 4c0e0: 54494d45 525f5350 41434500 0000077a TIMER_SPACE....z 4c0f0: 03415f74 696d6572 5f740000 00078707 .A_timer_t...... 4c100: 0000079b 04000601 07000007 b1040006 ................ 4c110: 01070000 07ba0400 03415f48 414e444c .........A_HANDL 4c120: 45000000 047e0601 03415f54 494d4552 E....~...A_TIMER 4c130: 5f46554e 43000000 07d10700 0007d304 _FUNC........... 4c140: 00060107 000007ec 04000474 696d6572 ...........timer 4c150: 5f617069 00140000 086b055f 74696d65 _api.....k._time 4c160: 725f696e 69740000 0001c102 2300055f r_init......#.._ 4c170: 74696d65 725f6172 6d000000 07b30223 timer_arm......# 4c180: 04055f74 696d6572 5f646973 61726d00 .._timer_disarm. 4c190: 000007bc 02230805 5f74696d 65725f73 .....#.._timer_s 4c1a0: 6574666e 00000007 ee02230c 055f7469 etfn......#.._ti 4c1b0: 6d65725f 72756e00 000001c1 02231000 mer_run......#.. 4c1c0: 03424f4f 4c45414e 00000001 34090000 .BOOLEAN....4... 4c1d0: 086b0107 00000878 04000900 00086b01 .k.....x......k. 4c1e0: 07000008 85040009 0000086b 01070000 ...........k.... 4c1f0: 08920400 04726f6d 705f6170 69001000 .....romp_api... 4c200: 00090405 5f726f6d 705f696e 69740000 ...._romp_init.. 4c210: 0001c102 2300055f 726f6d70 5f646f77 ....#.._romp_dow 4c220: 6e6c6f61 64000000 087e0223 04055f72 nload....~.#.._r 4c230: 6f6d705f 696e7374 616c6c00 0000088b omp_install..... 4c240: 02230805 5f726f6d 705f6465 636f6465 .#.._romp_decode 4c250: 00000008 9802230c 0004726f 6d5f7061 ......#...rom_pa 4c260: 7463685f 73740010 00000960 05637263 tch_st.....`.crc 4c270: 31360000 00013402 2300056c 656e0000 16....4.#..len.. 4c280: 00013402 2302056c 645f6164 64720000 ..4.#..ld_addr.. 4c290: 00024102 23040566 756e5f61 64647200 ..A.#..fun_addr. 4c2a0: 00000241 02230805 7066756e 00000003 ...A.#..pfun.... 4c2b0: ba02230c 00046565 705f7265 6469725f ..#...eep_redir_ 4c2c0: 61646472 00040000 0992056f 66667365 addr.......offse 4c2d0: 74000000 01340223 00057369 7a650000 t....4.#..size.. 4c2e0: 00013402 23020003 415f5549 4e543332 ..4.#...A_UINT32 4c2f0: 00000004 7e090000 047b0107 000009a0 ....~....{...... 4c300: 04000461 6c6c6f63 72616d5f 61706900 ...allocram_api. 4c310: 0c00000a 1105636d 6e6f735f 616c6c6f ......cmnos_allo 4c320: 6372616d 5f696e69 74000000 09a60223 cram_init......# 4c330: 0005636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 4c340: 00000009 a6022304 05636d6e 6f735f61 ......#..cmnos_a 4c350: 6c6c6f63 72616d5f 64656275 67000000 llocram_debug... 4c360: 01c10223 08000601 0700000a 11040003 ...#............ 4c370: 415f5441 534b4c45 545f4655 4e430000 A_TASKLET_FUNC.. 4c380: 000a1304 5f746173 6b6c6574 00100000 ...._tasklet.... 4c390: 0a720566 756e6300 00000a1a 02230005 .r.func......#.. 4c3a0: 61726700 0000047b 02230405 73746174 arg....{.#..stat 4c3b0: 65000000 01c80223 08056e65 78740000 e......#..next.. 4c3c0: 000a7202 230c0007 00000a2e 04000700 ..r.#........... 4c3d0: 000a2e04 0003415f 7461736b 6c65745f ......A_tasklet_ 4c3e0: 74000000 0a2e0700 000a8004 00060107 t............... 4c3f0: 00000a98 04000601 0700000a a1040004 ................ 4c400: 7461736b 6c65745f 61706900 1400000b tasklet_api..... 4c410: 36055f74 61736b6c 65745f69 6e697400 6._tasklet_init. 4c420: 000001c1 02230005 5f746173 6b6c6574 .....#.._tasklet 4c430: 5f696e69 745f7461 736b0000 000a9a02 _init_task...... 4c440: 2304055f 7461736b 6c65745f 64697361 #.._tasklet_disa 4c450: 626c6500 00000aa3 02230805 5f746173 ble......#.._tas 4c460: 6b6c6574 5f736368 6564756c 65000000 klet_schedule... 4c470: 0aa30223 0c055f74 61736b6c 65745f72 ...#.._tasklet_r 4c480: 756e0000 0001c102 23100006 01070000 un......#....... 4c490: 0b360400 09000009 92010700 000b3f04 .6............?. 4c4a0: 00060107 00000b4c 04000463 6c6f636b .......L...clock 4c4b0: 5f617069 00240000 0c2e055f 636c6f63 _api.$....._cloc 4c4c0: 6b5f696e 69740000 000b3802 2300055f k_init....8.#.._ 4c4d0: 636c6f63 6b726567 735f696e 69740000 clockregs_init.. 4c4e0: 0001c102 2304055f 75617274 5f667265 ....#.._uart_fre 4c4f0: 7175656e 63790000 000b4502 2308055f quency....E.#.._ 4c500: 64656c61 795f7573 0000000b 4e02230c delay_us....N.#. 4c510: 055f776c 616e5f62 616e645f 73657400 ._wlan_band_set. 4c520: 00000b4e 02231005 5f726566 636c6b5f ...N.#.._refclk_ 4c530: 73706565 645f6765 74000000 0b450223 speed_get....E.# 4c540: 14055f6d 696c6c69 7365636f 6e647300 .._milliseconds. 4c550: 00000b45 02231805 5f737973 636c6b5f ...E.#.._sysclk_ 4c560: 6368616e 67650000 0001c102 231c055f change......#.._ 4c570: 636c6f63 6b5f7469 636b0000 0001c102 clock_tick...... 4c580: 23200009 00000241 01070000 0c2e0400 # .....A........ 4c590: 03415f6f 6c645f69 6e74725f 74000000 .A_old_intr_t... 4c5a0: 02410900 000c3b01 0700000c 4d040006 .A....;.....M... 4c5b0: 01070000 0c5a0400 06010700 000c6304 .....Z........c. 4c5c0: 00090000 02410107 00000c6c 04000341 .....A.....l...A 4c5d0: 5f697372 5f740000 000c7206 01070000 _isr_t....r..... 4c5e0: 0c860400 09000004 7e010700 000c8f04 ........~....... 4c5f0: 00060107 00000c9c 04000469 6e74725f ...........intr_ 4c600: 61706900 2c00000d be055f69 6e74725f api.,....._intr_ 4c610: 696e6974 00000001 c1022300 055f696e init......#.._in 4c620: 74725f69 6e766f6b 655f6973 72000000 tr_invoke_isr... 4c630: 0c340223 04055f69 6e74725f 64697361 .4.#.._intr_disa 4c640: 626c6500 00000c53 02230805 5f696e74 ble....S.#.._int 4c650: 725f7265 73746f72 65000000 0c5c0223 r_restore....\.# 4c660: 0c055f69 6e74725f 6d61736b 5f696e75 .._intr_mask_inu 4c670: 6d000000 0c650223 10055f69 6e74725f m....e.#.._intr_ 4c680: 756e6d61 736b5f69 6e756d00 00000c65 unmask_inum....e 4c690: 02231405 5f696e74 725f6174 74616368 .#.._intr_attach 4c6a0: 5f697372 0000000c 88022318 055f6765 _isr......#.._ge 4c6b0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... 4c6c0: 9502231c 055f7365 745f696e 7472656e ..#.._set_intren 4c6d0: 61626c65 0000000c 9e022320 055f6765 able......# ._ge 4c6e0: 745f696e 74727065 6e64696e 67000000 t_intrpending... 4c6f0: 0c950223 24055f75 6e626c6f 636b5f61 ...#$._unblock_a 4c700: 6c6c5f69 6e74726c 766c0000 0001c102 ll_intrlvl...... 4c710: 23280011 0400000d e4057469 6d656f75 #(........timeou 4c720: 74000000 02410223 00056163 74696f6e t....A.#..action 4c730: 00000002 41022300 00120800 000dff05 ....A.#......... 4c740: 636d6400 00000241 02230013 00000dbe cmd....A.#...... 4c750: 02230400 03545f57 44545f43 4d440000 .#...T_WDT_CMD.. 4c760: 000de406 01070000 0e0e0400 14040000 ................ 4c770: 0e640e45 4e554d5f 5744545f 424f4f54 .d.ENUM_WDT_BOOT 4c780: 00010e45 4e554d5f 434f4c44 5f424f4f ...ENUM_COLD_BOO 4c790: 5400020e 454e554d 5f535553 505f424f T...ENUM_SUSP_BO 4c7a0: 4f540003 0e454e55 4d5f554e 4b4e4f57 OT...ENUM_UNKNOW 4c7b0: 4e5f424f 4f540004 0003545f 424f4f54 N_BOOT....T_BOOT 4c7c0: 5f545950 45000000 0e170900 000e6401 _TYPE.........d. 4c7d0: 0700000e 75040004 7764745f 61706900 ....u...wdt_api. 4c7e0: 1c00000f 19055f77 64745f69 6e697400 ......_wdt_init. 4c7f0: 000001c1 02230005 5f776474 5f656e61 .....#.._wdt_ena 4c800: 626c6500 000001c1 02230405 5f776474 ble......#.._wdt 4c810: 5f646973 61626c65 00000001 c1022308 _disable......#. 4c820: 055f7764 745f7365 74000000 0e100223 ._wdt_set......# 4c830: 0c055f77 64745f74 61736b00 000001c1 .._wdt_task..... 4c840: 02231005 5f776474 5f726573 65740000 .#.._wdt_reset.. 4c850: 0001c102 2314055f 7764745f 6c617374 ....#.._wdt_last 4c860: 5f626f6f 74000000 0e7b0223 18001404 _boot....{.#.... 4c870: 00000f80 0e524554 5f535543 43455353 .....RET_SUCCESS 4c880: 00000e52 45545f4e 4f545f49 4e495400 ...RET_NOT_INIT. 4c890: 010e5245 545f4e4f 545f4558 49535400 ..RET_NOT_EXIST. 4c8a0: 020e5245 545f4545 505f434f 52525550 ..RET_EEP_CORRUP 4c8b0: 5400030e 5245545f 4545505f 4f564552 T...RET_EEP_OVER 4c8c0: 464c4f57 00040e52 45545f55 4e4b4e4f FLOW...RET_UNKNO 4c8d0: 574e0005 0003545f 4545505f 52455400 WN....T_EEP_RET. 4c8e0: 00000f19 07000001 34040009 00000f80 ........4....... 4c8f0: 01070000 0f960400 0900000f 80010700 ................ 4c900: 000fa304 00046565 705f6170 69001000 ......eep_api... 4c910: 00100c05 5f656570 5f696e69 74000000 ...._eep_init... 4c920: 01c10223 00055f65 65705f72 65616400 ...#.._eep_read. 4c930: 00000f9c 02230405 5f656570 5f777269 .....#.._eep_wri 4c940: 74650000 000f9c02 2308055f 6565705f te......#.._eep_ 4c950: 69735f65 78697374 0000000f a902230c is_exist......#. 4c960: 00047573 625f6170 69007000 0012b905 ..usb_api.p..... 4c970: 5f757362 5f696e69 74000000 01c10223 _usb_init......# 4c980: 00055f75 73625f72 6f6d5f74 61736b00 .._usb_rom_task. 4c990: 000001c1 02230405 5f757362 5f66775f .....#.._usb_fw_ 4c9a0: 7461736b 00000001 c1022308 055f7573 task......#.._us 4c9b0: 625f696e 69745f70 68790000 0001c102 b_init_phy...... 4c9c0: 230c055f 7573625f 6570305f 73657475 #.._usb_ep0_setu 4c9d0: 70000000 01c10223 10055f75 73625f65 p......#.._usb_e 4c9e0: 70305f74 78000000 01c10223 14055f75 p0_tx......#.._u 4c9f0: 73625f65 70305f72 78000000 01c10223 sb_ep0_rx......# 4ca00: 18055f75 73625f67 65745f69 6e746572 .._usb_get_inter 4ca10: 66616365 00000008 8b02231c 055f7573 face......#.._us 4ca20: 625f7365 745f696e 74657266 61636500 b_set_interface. 4ca30: 0000088b 02232005 5f757362 5f676574 .....# ._usb_get 4ca40: 5f636f6e 66696775 72617469 6f6e0000 _configuration.. 4ca50: 00088b02 2324055f 7573625f 7365745f ....#$._usb_set_ 4ca60: 636f6e66 69677572 6174696f 6e000000 configuration... 4ca70: 088b0223 28055f75 73625f73 74616e64 ...#(._usb_stand 4ca80: 6172645f 636d6400 0000088b 02232c05 ard_cmd......#,. 4ca90: 5f757362 5f76656e 646f725f 636d6400 _usb_vendor_cmd. 4caa0: 000001c1 02233005 5f757362 5f706f77 .....#0._usb_pow 4cab0: 65725f6f 66660000 0001c102 2334055f er_off......#4._ 4cac0: 7573625f 72657365 745f6669 666f0000 usb_reset_fifo.. 4cad0: 0001c102 2338055f 7573625f 67656e5f ....#8._usb_gen_ 4cae0: 77647400 000001c1 02233c05 5f757362 wdt......#<._usb 4caf0: 5f6a756d 705f626f 6f740000 0001c102 _jump_boot...... 4cb00: 2340055f 7573625f 636c725f 66656174 #@._usb_clr_feat 4cb10: 75726500 0000088b 02234405 5f757362 ure......#D._usb 4cb20: 5f736574 5f666561 74757265 00000008 _set_feature.... 4cb30: 8b022348 055f7573 625f7365 745f6164 ..#H._usb_set_ad 4cb40: 64726573 73000000 088b0223 4c055f75 dress......#L._u 4cb50: 73625f67 65745f64 65736372 6970746f sb_get_descripto 4cb60: 72000000 088b0223 50055f75 73625f67 r......#P._usb_g 4cb70: 65745f73 74617475 73000000 088b0223 et_status......# 4cb80: 54055f75 73625f73 65747570 5f646573 T._usb_setup_des 4cb90: 63000000 01c10223 58055f75 73625f72 c......#X._usb_r 4cba0: 65675f6f 75740000 0001c102 235c055f eg_out......#\._ 4cbb0: 7573625f 73746174 75735f69 6e000000 usb_status_in... 4cbc0: 01c10223 60055f75 73625f65 70305f74 ...#`._usb_ep0_t 4cbd0: 785f6461 74610000 0001c102 2364055f x_data......#d._ 4cbe0: 7573625f 6570305f 72785f64 61746100 usb_ep0_rx_data. 4cbf0: 000001c1 02236805 5f757362 5f636c6b .....#h._usb_clk 4cc00: 5f696e69 74000000 01c10223 6c00045f _init......#l.._ 4cc10: 56444553 43002400 00134505 6e657874 VDESC.$...E.next 4cc20: 5f646573 63000000 13450223 00056275 _desc....E.#..bu 4cc30: 665f6164 64720000 00135902 23040562 f_addr....Y.#..b 4cc40: 75665f73 697a6500 00001360 02230805 uf_size....`.#.. 4cc50: 64617461 5f6f6666 73657400 00001360 data_offset....` 4cc60: 02230a05 64617461 5f73697a 65000000 .#..data_size... 4cc70: 13600223 0c05636f 6e74726f 6c000000 .`.#..control... 4cc80: 13600223 0e056877 5f646573 635f6275 .`.#..hw_desc_bu 4cc90: 66000000 136e0223 10000700 0012b904 f....n.#........ 4cca0: 0003415f 55494e54 38000000 01000700 ..A_UINT8....... 4ccb0: 00134c04 0003415f 55494e54 31360000 ..L...A_UINT16.. 4ccc0: 00011e0f 0000134c 14000013 7b101300 .......L....{... 4ccd0: 07000012 b9040003 56444553 43000000 ........VDESC... 4cce0: 12b90700 00138204 00090000 138d0107 ................ 4ccf0: 00001394 04000900 00135901 07000013 ..........Y..... 4cd00: a1040006 01070000 13ae0400 04766465 .............vde 4cd10: 73635f61 70690014 00001426 055f696e sc_api.....&._in 4cd20: 69740000 000b4e02 2300055f 616c6c6f it....N.#.._allo 4cd30: 635f7664 65736300 0000139a 02230405 c_vdesc......#.. 4cd40: 5f676574 5f68775f 64657363 00000013 _get_hw_desc.... 4cd50: a7022308 055f7377 61705f76 64657363 ..#.._swap_vdesc 4cd60: 00000013 b002230c 05705265 73657276 ......#..pReserv 4cd70: 65640000 00047b02 23100004 5f564255 ed....{.#..._VBU 4cd80: 46002000 00148605 64657363 5f6c6973 F. .....desc_lis 4cd90: 74000000 138d0223 00056e65 78745f62 t......#..next_b 4cda0: 75660000 00148602 23040562 75665f6c uf......#..buf_l 4cdb0: 656e6774 68000000 13600223 08057265 ength....`.#..re 4cdc0: 73657276 65640000 00148d02 230a0563 served......#..c 4cdd0: 74780000 00136e02 230c0007 00001426 tx....n.#......& 4cde0: 04000f00 00134c02 0000149a 10010007 ......L......... 4cdf0: 00001426 04000356 42554600 00001426 ...&...VBUF....& 4ce00: 07000014 a1040009 000014ab 01070000 ................ 4ce10: 14b20400 09000014 ab010700 0014bf04 ................ 4ce20: 00060107 000014cc 04000476 6275665f ...........vbuf_ 4ce30: 61706900 14000015 4a055f69 6e697400 api.....J._init. 4ce40: 00000b4e 02230005 5f616c6c 6f635f76 ...N.#.._alloc_v 4ce50: 62756600 000014b8 02230405 5f616c6c buf......#.._all 4ce60: 6f635f76 6275665f 77697468 5f73697a oc_vbuf_with_siz 4ce70: 65000000 14c50223 08055f66 7265655f e......#.._free_ 4ce80: 76627566 00000014 ce02230c 05705265 vbuf......#..pRe 4ce90: 73657276 65640000 00047b02 23100004 served....{.#... 4cea0: 5f5f6164 665f6465 76696365 00040000 __adf_device.... 4ceb0: 156c0564 756d6d79 00000001 c8022300 .l.dummy......#. 4cec0: 00070000 09920400 045f5f61 64665f64 .........__adf_d 4ced0: 6d615f6d 6170000c 000015b3 05627566 ma_map.......buf 4cee0: 00000014 ab022300 0564735f 61646472 ......#..ds_addr 4cef0: 00000015 6c022304 0564735f 6c656e00 ....l.#..ds_len. 4cf00: 00001360 02230800 120c0000 15ed055f ...`.#........._ 4cf10: 5f76615f 73746b00 000003e9 02230005 _va_stk......#.. 4cf20: 5f5f7661 5f726567 00000003 e9022304 __va_reg......#. 4cf30: 055f5f76 615f6e64 78000000 01c80223 .__va_ndx......# 4cf40: 0800035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 4cf50: 61646472 5f740000 00099203 6164665f addr_t......adf_ 4cf60: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 4cf70: 15ed035f 5f616466 5f6f735f 646d615f ...__adf_os_dma_ 4cf80: 73697a65 5f740000 00099203 6164665f size_t......adf_ 4cf90: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 4cfa0: 161d045f 5f646d61 5f736567 73000800 ...__dma_segs... 4cfb0: 00167905 70616464 72000000 16060223 ..y.paddr......# 4cfc0: 00056c65 6e000000 16360223 0400035f ..len....6.#..._ 4cfd0: 5f615f75 696e7433 325f7400 00000992 _a_uint32_t..... 4cfe0: 03615f75 696e7433 325f7400 00001679 .a_uint32_t....y 4cff0: 0f000016 4d080000 16a81000 00046164 ....M.........ad 4d000: 665f6f73 5f646d61 6d61705f 696e666f f_os_dmamap_info 4d010: 000c0000 16e1056e 73656773 00000016 .......nsegs.... 4d020: 8b022300 05646d61 5f736567 73000000 ..#..dma_segs... 4d030: 169b0223 0400035f 5f615f75 696e7438 ...#...__a_uint8 4d040: 5f740000 00134c03 615f7569 6e74385f _t....L.a_uint8_ 4d050: 74000000 16e10700 0016f204 00045f5f t.............__ 4d060: 73675f73 65677300 08000017 33057661 sg_segs.....3.va 4d070: 64647200 00001701 02230005 6c656e00 ddr......#..len. 4d080: 0000168b 02230400 0f000017 08200000 .....#....... .. 4d090: 17401003 00046164 665f6f73 5f73676c .@....adf_os_sgl 4d0a0: 69737400 24000017 73056e73 65677300 ist.$...s.nsegs. 4d0b0: 0000168b 02230005 73675f73 65677300 .....#..sg_segs. 4d0c0: 00001733 02230400 12100000 17bc0576 ...3.#.........v 4d0d0: 656e646f 72000000 168b0223 00056465 endor......#..de 4d0e0: 76696365 00000016 8b022304 05737562 vice......#..sub 4d0f0: 76656e64 6f720000 00168b02 23080573 vendor......#..s 4d100: 75626465 76696365 00000016 8b02230c ubdevice......#. 4d110: 00026c6f 6e67206c 6f6e6720 756e7369 ..long long unsi 4d120: 676e6564 20696e74 00070803 415f5549 gned int....A_UI 4d130: 4e543634 00000017 bc035f5f 615f7569 NT64......__a_ui 4d140: 6e743634 5f740000 0017d603 615f7569 nt64_t......a_ui 4d150: 6e743634 5f740000 0017e414 04000018 nt64_t.......... 4d160: 420e4144 465f4f53 5f524553 4f555243 B.ADF_OS_RESOURC 4d170: 455f5459 50455f4d 454d0000 0e414446 E_TYPE_MEM...ADF 4d180: 5f4f535f 5245534f 55524345 5f545950 _OS_RESOURCE_TYP 4d190: 455f494f 00010003 6164665f 6f735f72 E_IO....adf_os_r 4d1a0: 65736f75 7263655f 74797065 5f740000 esource_type_t.. 4d1b0: 00180612 18000018 8c057374 61727400 ..........start. 4d1c0: 000017f6 02230005 656e6400 000017f6 .....#..end..... 4d1d0: 02230805 74797065 00000018 42022310 .#..type....B.#. 4d1e0: 00036164 665f6f73 5f706369 5f646576 ..adf_os_pci_dev 4d1f0: 5f69645f 74000000 17730700 00188c04 _id_t....s...... 4d200: 00110400 0018cb05 70636900 000018a5 ........pci..... 4d210: 02230005 72617700 0000047b 02230000 .#..raw....{.#.. 4d220: 11100000 18ea0570 63690000 00188c02 .......pci...... 4d230: 23000572 61770000 00047b02 23000003 #..raw....{.#... 4d240: 6164665f 6472765f 68616e64 6c655f74 adf_drv_handle_t 4d250: 00000004 7b036164 665f6f73 5f726573 ....{.adf_os_res 4d260: 6f757263 655f7400 0000185e 07000019 ource_t....^.... 4d270: 00040003 6164665f 6f735f61 74746163 ....adf_os_attac 4d280: 685f6461 74615f74 00000018 cb070000 h_data_t........ 4d290: 191e0400 07000015 4a040003 5f5f6164 ........J...__ad 4d2a0: 665f6f73 5f646576 6963655f 74000000 f_os_device_t... 4d2b0: 193f0361 64665f6f 735f6465 76696365 .?.adf_os_device 4d2c0: 5f740000 00194609 000018ea 01070000 _t....F......... 4d2d0: 19720400 06010700 00197f04 00036164 .r............ad 4d2e0: 665f6f73 5f706d5f 74000000 047b0601 f_os_pm_t....{.. 4d2f0: 07000019 99040014 04000019 d90e4144 ..............AD 4d300: 465f4f53 5f425553 5f545950 455f5043 F_OS_BUS_TYPE_PC 4d310: 4900010e 4144465f 4f535f42 55535f54 I...ADF_OS_BUS_T 4d320: 5950455f 47454e45 52494300 02000361 YPE_GENERIC....a 4d330: 64665f6f 735f6275 735f7479 70655f74 df_os_bus_type_t 4d340: 00000019 a2036164 665f6f73 5f627573 ......adf_os_bus 4d350: 5f726567 5f646174 615f7400 000018ac _reg_data_t..... 4d360: 07000001 00040004 5f616466 5f647276 ........_adf_drv 4d370: 5f696e66 6f002000 001ab605 6472765f _info. .....drv_ 4d380: 61747461 63680000 00197802 23000564 attach....x.#..d 4d390: 72765f64 65746163 68000000 19810223 rv_detach......# 4d3a0: 04056472 765f7375 7370656e 64000000 ..drv_suspend... 4d3b0: 199b0223 08056472 765f7265 73756d65 ...#..drv_resume 4d3c0: 00000019 8102230c 05627573 5f747970 ......#..bus_typ 4d3d0: 65000000 19d90223 10056275 735f6461 e......#..bus_da 4d3e0: 74610000 0019f002 2314056d 6f645f6e ta......#..mod_n 4d3f0: 616d6500 00001a0b 02231805 69666e61 ame......#..ifna 4d400: 6d650000 001a0b02 231c0003 6164665f me......#...adf_ 4d410: 6f735f68 616e646c 655f7400 0000047b os_handle_t....{ 4d420: 07000016 e1040006 01060103 5f5f6164 ............__ad 4d430: 665f6f73 5f73697a 655f7400 0000047e f_os_size_t....~ 4d440: 14040000 1b050e41 5f46414c 53450000 .......A_FALSE.. 4d450: 0e415f54 52554500 01000361 5f626f6f .A_TRUE....a_boo 4d460: 6c5f7400 00001aeb 07000015 73040003 l_t.........s... 4d470: 5f5f6164 665f6f73 5f646d61 5f6d6170 __adf_os_dma_map 4d480: 5f740000 001b1306 010d6164 665f6f73 _t........adf_os 4d490: 5f636163 68655f73 796e6300 0400001b _cache_sync..... 4d4a0: 9d0e4144 465f5359 4e435f50 52455245 ..ADF_SYNC_PRERE 4d4b0: 41440000 0e414446 5f53594e 435f5052 AD...ADF_SYNC_PR 4d4c0: 45575249 54450002 0e414446 5f53594e EWRITE...ADF_SYN 4d4d0: 435f504f 53545245 41440001 0e414446 C_POSTREAD...ADF 4d4e0: 5f53594e 435f504f 53545752 49544500 _SYNC_POSTWRITE. 4d4f0: 03000361 64665f6f 735f6361 6368655f ...adf_os_cache_ 4d500: 73796e63 5f740000 001b3406 01036164 sync_t....4...ad 4d510: 665f6f73 5f73697a 655f7400 00001ad6 f_os_size_t..... 4d520: 0900001b b8010361 64665f6f 735f646d .......adf_os_dm 4d530: 615f6d61 705f7400 00001b1a 0700001b a_map_t......... 4d540: d1040009 0000047b 01070000 1b1a0400 .......{........ 4d550: 09000004 7b010601 09000016 06010601 ....{........... 4d560: 0273686f 72742069 6e740005 0203415f .short int....A_ 4d570: 494e5431 36000000 1c0b035f 5f615f69 INT16......__a_i 4d580: 6e743136 5f740000 001c1803 615f696e nt16_t......a_in 4d590: 7431365f 74000000 1c250273 69676e65 t16_t....%.signe 4d5a0: 64206368 61720005 0103415f 494e5438 d char....A_INT8 4d5b0: 0000001c 45035f5f 615f696e 74385f74 ....E.__a_int8_t 4d5c0: 0000001c 5403615f 696e7438 5f740000 ....T.a_int8_t.. 4d5d0: 001c6012 0c00001c d7057375 70706f72 ..`.......suppor 4d5e0: 74656400 0000168b 02230005 61647665 ted......#..adve 4d5f0: 7274697a 65640000 00168b02 23040573 rtized......#..s 4d600: 70656564 0000001c 36022308 05647570 peed....6.#..dup 4d610: 6c657800 00001c70 02230a05 6175746f lex....p.#..auto 4d620: 6e656700 000016f2 02230b00 0f000016 neg......#...... 4d630: f2060000 1ce41005 00046164 665f6e65 ..........adf_ne 4d640: 745f6574 68616464 72000600 001d0805 t_ethaddr....... 4d650: 61646472 0000001c d7022300 00035f5f addr......#...__ 4d660: 615f7569 6e743136 5f740000 00136003 a_uint16_t....`. 4d670: 615f7569 6e743136 5f740000 001d0812 a_uint16_t...... 4d680: 0e00001d 6c056574 6865725f 64686f73 ....l.ether_dhos 4d690: 74000000 1cd70223 00056574 6865725f t......#..ether_ 4d6a0: 73686f73 74000000 1cd70223 06056574 shost......#..et 4d6b0: 6865725f 74797065 0000001d 1a02230c her_type......#. 4d6c0: 00121400 001e2d15 69705f76 65727369 ......-.ip_versi 4d6d0: 6f6e0000 0016f201 00040223 00156970 on.........#..ip 4d6e0: 5f686c00 000016f2 01040402 23000569 _hl.........#..i 4d6f0: 705f746f 73000000 16f20223 01056970 p_tos......#..ip 4d700: 5f6c656e 0000001d 1a022302 0569705f _len......#..ip_ 4d710: 69640000 001d1a02 23040569 705f6672 id......#..ip_fr 4d720: 61675f6f 66660000 001d1a02 23060569 ag_off......#..i 4d730: 705f7474 6c000000 16f20223 08056970 p_ttl......#..ip 4d740: 5f70726f 746f0000 0016f202 23090569 _proto......#..i 4d750: 705f6368 65636b00 00001d1a 02230a05 p_check......#.. 4d760: 69705f73 61646472 00000016 8b02230c ip_saddr......#. 4d770: 0569705f 64616464 72000000 168b0223 .ip_daddr......# 4d780: 10000461 64665f6e 65745f76 6c616e68 ...adf_net_vlanh 4d790: 64720004 00001e7f 05747069 64000000 dr.......tpid... 4d7a0: 1d1a0223 00157072 696f0000 0016f201 ...#..prio...... 4d7b0: 00030223 02156366 69000000 16f20103 ...#..cfi....... 4d7c0: 01022302 15766964 0000001d 1a02040c ..#..vid........ 4d7d0: 02230200 04616466 5f6e6574 5f766964 .#...adf_net_vid 4d7e0: 00020000 1eb01572 65730000 0016f201 .......res...... 4d7f0: 00040223 00157661 6c000000 1d1a0204 ...#..val....... 4d800: 0c022300 00120c00 001eec05 72785f62 ..#.........rx_b 4d810: 75667369 7a650000 00168b02 23000572 ufsize......#..r 4d820: 785f6e64 65736300 0000168b 02230405 x_ndesc......#.. 4d830: 74785f6e 64657363 00000016 8b022308 tx_ndesc......#. 4d840: 00120800 001f1205 706f6c6c 65640000 ........polled.. 4d850: 001b0502 23000570 6f6c6c5f 77740000 ....#..poll_wt.. 4d860: 00168b02 2304000f 000016f2 4000001f ....#.......@... 4d870: 1f103f00 12460000 1f470569 665f6e61 ..?..F...G.if_na 4d880: 6d650000 001f1202 23000564 65765f61 me......#..dev_a 4d890: 64647200 00001cd7 02234000 14040000 ddr......#@..... 4d8a0: 1f7e0e41 44465f4f 535f444d 415f4d41 .~.ADF_OS_DMA_MA 4d8b0: 534b5f33 32424954 00000e41 44465f4f SK_32BIT...ADF_O 4d8c0: 535f444d 415f4d41 534b5f36 34424954 S_DMA_MASK_64BIT 4d8d0: 00010003 6164665f 6f735f64 6d615f6d ....adf_os_dma_m 4d8e0: 61736b5f 74000000 1f470461 64665f64 ask_t....G.adf_d 4d8f0: 6d615f69 6e666f00 0800001f cb05646d ma_info.......dm 4d900: 615f6d61 736b0000 001f7e02 23000573 a_mask....~.#..s 4d910: 675f6e73 65677300 0000168b 02230400 g_nsegs......#.. 4d920: 14040000 20210e41 44465f4e 45545f43 .... !.ADF_NET_C 4d930: 4b53554d 5f4e4f4e 4500000e 4144465f KSUM_NONE...ADF_ 4d940: 4e45545f 434b5355 4d5f5443 505f5544 NET_CKSUM_TCP_UD 4d950: 505f4950 76340001 0e414446 5f4e4554 P_IPv4...ADF_NET 4d960: 5f434b53 554d5f54 43505f55 44505f49 _CKSUM_TCP_UDP_I 4d970: 50763600 02000361 64665f6e 65745f63 Pv6....adf_net_c 4d980: 6b73756d 5f747970 655f7400 00001fcb ksum_type_t..... 4d990: 12080000 20640574 785f636b 73756d00 .... d.tx_cksum. 4d9a0: 00002021 02230005 72785f63 6b73756d .. !.#..rx_cksum 4d9b0: 00000020 21022304 00036164 665f6e65 ... !.#...adf_ne 4d9c0: 745f636b 73756d5f 696e666f 5f740000 t_cksum_info_t.. 4d9d0: 00203b14 04000020 bd0e4144 465f4e45 . ;.... ..ADF_NE 4d9e0: 545f5453 4f5f4e4f 4e450000 0e414446 T_TSO_NONE...ADF 4d9f0: 5f4e4554 5f54534f 5f495056 3400010e _NET_TSO_IPV4... 4da00: 4144465f 4e45545f 54534f5f 414c4c00 ADF_NET_TSO_ALL. 4da10: 02000361 64665f6e 65745f74 736f5f74 ...adf_net_tso_t 4da20: 7970655f 74000000 207e1210 00002111 ype_t... ~....!. 4da30: 05636b73 756d5f63 61700000 00206402 .cksum_cap... d. 4da40: 23000574 736f0000 0020bd02 23080576 #..tso... ..#..v 4da50: 6c616e5f 73757070 6f727465 64000000 lan_supported... 4da60: 16f20223 0c001220 000021aa 0574785f ...#... ..!..tx_ 4da70: 7061636b 65747300 0000168b 02230005 packets......#.. 4da80: 72785f70 61636b65 74730000 00168b02 rx_packets...... 4da90: 23040574 785f6279 74657300 0000168b #..tx_bytes..... 4daa0: 02230805 72785f62 79746573 00000016 .#..rx_bytes.... 4dab0: 8b02230c 0574785f 64726f70 70656400 ..#..tx_dropped. 4dac0: 0000168b 02231005 72785f64 726f7070 .....#..rx_dropp 4dad0: 65640000 00168b02 23140572 785f6572 ed......#..rx_er 4dae0: 726f7273 00000016 8b022318 0574785f rors......#..tx_ 4daf0: 6572726f 72730000 00168b02 231c0003 errors......#... 4db00: 6164665f 6e65745f 65746861 6464725f adf_net_ethaddr_ 4db10: 74000000 1ce41600 0021aa03 00000021 t........!.....! 4db20: cf107f00 17616466 5f6e6574 5f636d64 .....adf_net_cmd 4db30: 5f6d6361 64647200 03040000 2206056e _mcaddr....."..n 4db40: 656c656d 00000016 8b022300 056d6361 elem......#..mca 4db50: 73740000 0021c102 23040003 6164665f st...!..#...adf_ 4db60: 6e65745f 636d645f 6c696e6b 5f696e66 net_cmd_link_inf 4db70: 6f5f7400 00001c7e 03616466 5f6e6574 o_t....~.adf_net 4db80: 5f636d64 5f706f6c 6c5f696e 666f5f74 _cmd_poll_info_t 4db90: 0000001e ec036164 665f6e65 745f636d ......adf_net_cm 4dba0: 645f636b 73756d5f 696e666f 5f740000 d_cksum_info_t.. 4dbb0: 00206403 6164665f 6e65745f 636d645f . d.adf_net_cmd_ 4dbc0: 72696e67 5f696e66 6f5f7400 00001eb0 ring_info_t..... 4dbd0: 03616466 5f6e6574 5f636d64 5f646d61 .adf_net_cmd_dma 4dbe0: 5f696e66 6f5f7400 00001f95 03616466 _info_t......adf 4dbf0: 5f6e6574 5f636d64 5f766964 5f740000 _net_cmd_vid_t.. 4dc00: 001d1a03 6164665f 6e65745f 636d645f ....adf_net_cmd_ 4dc10: 6f66666c 6f61645f 6361705f 74000000 offload_cap_t... 4dc20: 20d50361 64665f6e 65745f63 6d645f73 ..adf_net_cmd_s 4dc30: 74617473 5f740000 00211103 6164665f tats_t...!..adf_ 4dc40: 6e65745f 636d645f 6d636164 64725f74 net_cmd_mcaddr_t 4dc50: 00000021 cf0d6164 665f6e65 745f636d ...!..adf_net_cm 4dc60: 645f6d63 6173745f 63617000 04000023 d_mcast_cap....# 4dc70: 480e4144 465f4e45 545f4d43 4153545f H.ADF_NET_MCAST_ 4dc80: 53555000 000e4144 465f4e45 545f4d43 SUP...ADF_NET_MC 4dc90: 4153545f 4e4f5453 55500001 00036164 AST_NOTSUP....ad 4dca0: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 4dcb0: 6361705f 74000000 23001803 04000024 cap_t...#......$ 4dcc0: 1a056c69 6e6b5f69 6e666f00 00002206 ..link_info...". 4dcd0: 02230005 706f6c6c 5f696e66 6f000000 .#..poll_info... 4dce0: 22230223 0005636b 73756d5f 696e666f "#.#..cksum_info 4dcf0: 00000022 40022300 0572696e 675f696e ..."@.#..ring_in 4dd00: 666f0000 00225e02 23000564 6d615f69 fo..."^.#..dma_i 4dd10: 6e666f00 0000227b 02230005 76696400 nfo..."{.#..vid. 4dd20: 00002297 02230005 6f66666c 6f61645f .."..#..offload_ 4dd30: 63617000 000022ae 02230005 73746174 cap..."..#..stat 4dd40: 73000000 22cd0223 00056d63 6173745f s..."..#..mcast_ 4dd50: 696e666f 00000022 e6022300 056d6361 info..."..#..mca 4dd60: 73745f63 61700000 00234802 23000014 st_cap...#H.#... 4dd70: 04000024 710e4144 465f4e42 55465f52 ...$q.ADF_NBUF_R 4dd80: 585f434b 53554d5f 4e4f4e45 00000e41 X_CKSUM_NONE...A 4dd90: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 4dda0: 5f485700 010e4144 465f4e42 55465f52 _HW...ADF_NBUF_R 4ddb0: 585f434b 53554d5f 554e4e45 43455353 X_CKSUM_UNNECESS 4ddc0: 41525900 02000361 64665f6e 6275665f ARY....adf_nbuf_ 4ddd0: 72785f63 6b73756d 5f747970 655f7400 rx_cksum_type_t. 4dde0: 0000241a 12080000 24b10572 6573756c ..$.....$..resul 4ddf0: 74000000 24710223 00057661 6c000000 t...$q.#..val... 4de00: 168b0223 04001208 000024e1 05747970 ...#......$..typ 4de10: 65000000 20bd0223 00056d73 73000000 e... ..#..mss... 4de20: 1d1a0223 04056864 725f6f66 66000000 ...#..hdr_off... 4de30: 16f20223 0600045f 5f616466 5f6e6275 ...#...__adf_nbu 4de40: 665f7168 65616400 0c000025 20056865 f_qhead....% .he 4de50: 61640000 0014ab02 23000574 61696c00 ad......#..tail. 4de60: 000014ab 02230405 716c656e 00000016 .....#..qlen.... 4de70: 8b022308 00035f5f 6164665f 6e627566 ..#...__adf_nbuf 4de80: 5f740000 0014ab07 00001701 04000700 _t.............. 4de90: 00168b04 00060109 0000138d 01090000 ................ 4dea0: 168b0109 00001701 01090000 17010107 ................ 4deb0: 0000136e 0400035f 5f616466 5f6e6275 ...n...__adf_nbu 4dec0: 665f7168 6561645f 74000000 24e1035f f_qhead_t...$.._ 4ded0: 5f616466 5f6e6275 665f7175 6575655f _adf_nbuf_queue_ 4dee0: 74000000 25610700 00257904 00090000 t...%a...%y..... 4def0: 25200109 00002520 01140400 0026990e % ....% .....&.. 4df00: 415f5354 41545553 5f4f4b00 000e415f A_STATUS_OK...A_ 4df10: 53544154 55535f46 41494c45 4400010e STATUS_FAILED... 4df20: 415f5354 41545553 5f454e4f 454e5400 A_STATUS_ENOENT. 4df30: 020e415f 53544154 55535f45 4e4f4d45 ..A_STATUS_ENOME 4df40: 4d00030e 415f5354 41545553 5f45494e M...A_STATUS_EIN 4df50: 56414c00 040e415f 53544154 55535f45 VAL...A_STATUS_E 4df60: 494e5052 4f475245 53530005 0e415f53 INPROGRESS...A_S 4df70: 54415455 535f454e 4f545355 50500006 TATUS_ENOTSUPP.. 4df80: 0e415f53 54415455 535f4542 55535900 .A_STATUS_EBUSY. 4df90: 070e415f 53544154 55535f45 32424947 ..A_STATUS_E2BIG 4dfa0: 00080e41 5f535441 5455535f 45414444 ...A_STATUS_EADD 4dfb0: 524e4f54 41564149 4c00090e 415f5354 RNOTAVAIL...A_ST 4dfc0: 41545553 5f454e58 494f000a 0e415f53 ATUS_ENXIO...A_S 4dfd0: 54415455 535f4546 41554c54 000b0e41 TATUS_EFAULT...A 4dfe0: 5f535441 5455535f 45494f00 0c000361 _STATUS_EIO....a 4dff0: 5f737461 7475735f 74000000 25a40900 _status_t...%... 4e000: 00269901 09000001 c8010601 03616466 .&...........adf 4e010: 5f6e6275 665f7400 00002520 14040000 _nbuf_t...% .... 4e020: 26fe0e41 44465f4f 535f444d 415f544f &..ADF_OS_DMA_TO 4e030: 5f444556 49434500 000e4144 465f4f53 _DEVICE...ADF_OS 4e040: 5f444d41 5f46524f 4d5f4445 56494345 _DMA_FROM_DEVICE 4e050: 00010003 6164665f 6f735f64 6d615f64 ....adf_os_dma_d 4e060: 69725f74 00000026 c7090000 26990106 ir_t...&....&... 4e070: 01036164 665f6f73 5f646d61 6d61705f ..adf_os_dmamap_ 4e080: 696e666f 5f740000 0016a807 0000271c info_t........'. 4e090: 04000601 06010900 0026b701 09000025 .........&.....% 4e0a0: 20010601 06010900 0026b701 09000025 ........&.....% 4e0b0: 20010900 0026b701 09000025 20010900 ....&.....% ... 4e0c0: 0026b701 06010601 09000016 8b010900 .&.............. 4e0d0: 00170101 06010601 0900001b b8010900 ................ 4e0e0: 001b0501 0900001b 05010361 64665f6f ...........adf_o 4e0f0: 735f7367 6c697374 5f740000 00174007 s_sglist_t....@. 4e100: 00002795 04000601 06010601 09000017 ..'............. 4e110: 01010361 64665f6e 6275665f 71756575 ...adf_nbuf_queu 4e120: 655f7400 00002579 07000027 bd040006 e_t...%y...'.... 4e130: 01070000 25610400 06010601 06010900 ....%a.......... 4e140: 0026b701 09000025 20010900 00168b01 .&.....% ....... 4e150: 09000016 8b010900 001b0501 0900001b ................ 4e160: 05010900 00202101 09000016 8b010361 ..... !........a 4e170: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 4e180: 5f740000 00248f07 00002819 04000601 _t...$....(..... 4e190: 06010361 64665f6e 6275665f 74736f5f ...adf_nbuf_tso_ 4e1a0: 74000000 24b10700 00283d04 00060106 t...$....(=..... 4e1b0: 01036164 665f6e65 745f6861 6e646c65 ..adf_net_handle 4e1c0: 5f740000 00047b03 6164665f 6e65745f _t....{.adf_net_ 4e1d0: 766c616e 6864725f 74000000 1e2d0700 vlanhdr_t....-.. 4e1e0: 00287204 00090000 26990109 00002699 .(r.....&.....&. 4e1f0: 01060106 01045f48 49465f43 4f4e4649 ......_HIF_CONFI 4e200: 47000400 0028c105 64756d6d 79000000 G....(..dummy... 4e210: 01c80223 00000601 07000028 c1040006 ...#.......(.... 4e220: 01070000 28ca0400 045f4849 465f4341 ....(...._HIF_CA 4e230: 4c4c4241 434b000c 0000291f 0573656e LLBACK....)..sen 4e240: 645f6275 665f646f 6e650000 0028c302 d_buf_done...(.. 4e250: 23000572 6563765f 62756600 000028cc #..recv_buf...(. 4e260: 02230405 636f6e74 65787400 0000047b .#..context....{ 4e270: 02230800 03686966 5f68616e 646c655f .#...hif_handle_ 4e280: 74000000 047b0348 49465f43 4f4e4649 t....{.HIF_CONFI 4e290: 47000000 28a00700 00293104 00090000 G...(....)1..... 4e2a0: 291f0107 00002948 04000601 07000029 ).....)H.......) 4e2b0: 55040003 4849465f 43414c4c 4241434b U...HIF_CALLBACK 4e2c0: 00000028 d3070000 295e0400 06010700 ...(....)^...... 4e2d0: 00297704 00090000 01c80107 00002980 .)w...........). 4e2e0: 04000601 07000029 8d040009 000001c8 .......)........ 4e2f0: 01070000 29960400 06010700 0029a304 ....)........).. 4e300: 00090000 01c80107 000029ac 04000601 ..........)..... 4e310: 07000029 b9040004 6869665f 61706900 ...)....hif_api. 4e320: 3800002b 12055f69 6e697400 0000294e 8..+.._init...)N 4e330: 02230005 5f736875 74646f77 6e000000 .#.._shutdown... 4e340: 29570223 04055f72 65676973 7465725f )W.#.._register_ 4e350: 63616c6c 6261636b 00000029 79022308 callback...)y.#. 4e360: 055f6765 745f746f 74616c5f 63726564 ._get_total_cred 4e370: 69745f63 6f756e74 00000029 8602230c it_count...)..#. 4e380: 055f7374 61727400 00002957 02231005 ._start...)W.#.. 4e390: 5f636f6e 6669675f 70697065 00000029 _config_pipe...) 4e3a0: 8f022314 055f7365 6e645f62 75666665 ..#.._send_buffe 4e3b0: 72000000 299c0223 18055f72 65747572 r...)..#.._retur 4e3c0: 6e5f7265 63765f62 75660000 0029a502 n_recv_buf...).. 4e3d0: 231c055f 69735f70 6970655f 73757070 #.._is_pipe_supp 4e3e0: 6f727465 64000000 29b20223 20055f67 orted...)..# ._g 4e3f0: 65745f6d 61785f6d 73675f6c 656e0000 et_max_msg_len.. 4e400: 0029b202 2324055f 6765745f 72657365 .)..#$._get_rese 4e410: 72766564 5f686561 64726f6f 6d000000 rved_headroom... 4e420: 29860223 28055f69 73725f68 616e646c )..#(._isr_handl 4e430: 65720000 00295702 232c055f 6765745f er...)W.#,._get_ 4e440: 64656661 756c745f 70697065 00000029 default_pipe...) 4e450: bb022330 05705265 73657276 65640000 ..#0.pReserved.. 4e460: 00047b02 2334000d 646d615f 656e6769 ..{.#4..dma_engi 4e470: 6e650004 00002b9b 0e444d41 5f454e47 ne....+..DMA_ENG 4e480: 494e455f 52583000 000e444d 415f454e INE_RX0...DMA_EN 4e490: 47494e45 5f525831 00010e44 4d415f45 GINE_RX1...DMA_E 4e4a0: 4e47494e 455f5258 3200020e 444d415f NGINE_RX2...DMA_ 4e4b0: 454e4749 4e455f52 58330003 0e444d41 ENGINE_RX3...DMA 4e4c0: 5f454e47 494e455f 54583000 040e444d _ENGINE_TX0...DM 4e4d0: 415f454e 47494e45 5f545831 00050e44 A_ENGINE_TX1...D 4e4e0: 4d415f45 4e47494e 455f4d41 58000600 MA_ENGINE_MAX... 4e4f0: 03646d61 5f656e67 696e655f 74000000 .dma_engine_t... 4e500: 2b120d64 6d615f69 66747970 65000400 +..dma_iftype... 4e510: 002be80e 444d415f 49465f47 4d414300 .+..DMA_IF_GMAC. 4e520: 000e444d 415f4946 5f504349 00010e44 ..DMA_IF_PCI...D 4e530: 4d415f49 465f5043 49450002 0003646d MA_IF_PCIE....dm 4e540: 615f6966 74797065 5f740000 002bad09 a_iftype_t...+.. 4e550: 00001360 01070000 2bfa0400 06010700 ...`....+....... 4e560: 002c0704 00060107 00002c10 04000900 .,........,..... 4e570: 00099201 0700002c 19040009 00001360 .......,.......` 4e580: 01070000 2c260400 09000013 60010700 ....,&......`... 4e590: 002c3304 00090000 14ab0107 00002c40 .,3...........,@ 4e5a0: 04000601 0700002c 4d040004 646d615f .......,M...dma_ 4e5b0: 6c69625f 61706900 3400002d 54057478 lib_api.4..-T.tx 4e5c0: 5f696e69 74000000 2c000223 00057478 _init...,..#..tx 4e5d0: 5f737461 72740000 002c0902 23040572 _start...,..#..r 4e5e0: 785f696e 69740000 002c0002 23080572 x_init...,..#..r 4e5f0: 785f636f 6e666967 0000002c 1202230c x_config...,..#. 4e600: 0572785f 73746172 74000000 2c090223 .rx_start...,..# 4e610: 1005696e 74725f73 74617475 73000000 ..intr_status... 4e620: 2c1f0223 14056861 72645f78 6d697400 ,..#..hard_xmit. 4e630: 00002c2c 02231805 666c7573 685f786d ..,,.#..flush_xm 4e640: 69740000 002c0902 231c0578 6d69745f it...,..#..xmit_ 4e650: 646f6e65 0000002c 39022320 05726561 done...,9.# .rea 4e660: 705f786d 69747465 64000000 2c460223 p_xmitted...,F.# 4e670: 24057265 61705f72 65637600 00002c46 $.reap_recv...,F 4e680: 02232805 72657475 726e5f72 65637600 .#(.return_recv. 4e690: 00002c4f 02232c05 72656376 5f706b74 ..,O.#,.recv_pkt 4e6a0: 0000002c 39022330 00045f5f 7063695f ...,9.#0..__pci_ 4e6b0: 736f6674 63000c00 002d7205 73770000 softc....-r.sw.. 4e6c0: 00295e02 23000003 5f5f7063 695f736f .)^.#...__pci_so 4e6d0: 6674635f 74000000 2d540700 002d7204 ftc_t...-T...-r. 4e6e0: 00060107 00002d8c 04000900 00134c01 ......-.......L. 4e6f0: 0700002d 9504000d 6869665f 7063695f ...-....hif_pci_ 4e700: 70697065 5f747800 0400002d f50e4849 pipe_tx....-..HI 4e710: 465f5043 495f5049 50455f54 58300000 F_PCI_PIPE_TX0.. 4e720: 0e484946 5f504349 5f504950 455f5458 .HIF_PCI_PIPE_TX 4e730: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 4e740: 5f54585f 4d415800 02000368 69665f70 _TX_MAX....hif_p 4e750: 63695f70 6970655f 74785f74 0000002d ci_pipe_tx_t...- 4e760: a2090000 2b9b0107 00002e0c 04000d68 ....+..........h 4e770: 69665f70 63695f70 6970655f 72780004 if_pci_pipe_rx.. 4e780: 00002e92 0e484946 5f504349 5f504950 .....HIF_PCI_PIP 4e790: 455f5258 3000000e 4849465f 5043495f E_RX0...HIF_PCI_ 4e7a0: 50495045 5f525831 00010e48 49465f50 PIPE_RX1...HIF_P 4e7b0: 43495f50 4950455f 52583200 020e4849 CI_PIPE_RX2...HI 4e7c0: 465f5043 495f5049 50455f52 58330003 F_PCI_PIPE_RX3.. 4e7d0: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 4e7e0: 5f4d4158 00040003 6869665f 7063695f _MAX....hif_pci_ 4e7f0: 70697065 5f72785f 74000000 2e190900 pipe_rx_t....... 4e800: 002b9b01 0700002e a9040004 6869665f .+..........hif_ 4e810: 7063695f 61706900 2400002f 87057063 pci_api.$../..pc 4e820: 695f626f 6f745f69 6e697400 000001c1 i_boot_init..... 4e830: 02230005 7063695f 696e6974 00000029 .#..pci_init...) 4e840: 4e022304 05706369 5f726573 65740000 N.#..pci_reset.. 4e850: 0001c102 23080570 63695f65 6e61626c ....#..pci_enabl 4e860: 65000000 01c10223 0c057063 695f7265 e......#..pci_re 4e870: 61705f78 6d697474 65640000 002d8e02 ap_xmitted...-.. 4e880: 23100570 63695f72 6561705f 72656376 #..pci_reap_recv 4e890: 0000002d 8e022314 05706369 5f676574 ...-..#..pci_get 4e8a0: 5f706970 65000000 2d9b0223 18057063 _pipe...-..#..pc 4e8b0: 695f6765 745f7478 5f656e67 0000002e i_get_tx_eng.... 4e8c0: 1202231c 05706369 5f676574 5f72785f ..#..pci_get_rx_ 4e8d0: 656e6700 00002eaf 02232000 04676d61 eng......# ..gma 4e8e0: 635f6170 69000400 002fae05 676d6163 c_api..../..gmac 4e8f0: 5f626f6f 745f696e 69740000 0001c102 _boot_init...... 4e900: 2300000f 00000100 0600002f bb100500 #........../.... 4e910: 045f5f65 74686864 72000e00 002ff105 .__ethhdr..../.. 4e920: 64737400 00002fae 02230005 73726300 dst.../..#..src. 4e930: 00002fae 02230605 65747970 65000000 ../..#..etype... 4e940: 13600223 0c00045f 5f617468 68647200 .`.#...__athhdr. 4e950: 04000030 3f157265 73000000 134c0100 ...0?.res....L.. 4e960: 02022300 1570726f 746f0000 00134c01 ..#..proto....L. 4e970: 02060223 00057265 735f6c6f 00000013 ...#..res_lo.... 4e980: 4c022301 05726573 5f686900 00001360 L.#..res_hi....` 4e990: 02230200 045f5f67 6d61635f 68647200 .#...__gmac_hdr. 4e9a0: 14000030 7b056574 68000000 2fbb0223 ...0{.eth.../..# 4e9b0: 00056174 68000000 2ff10223 0e05616c ..ath.../..#..al 4e9c0: 69676e5f 70616400 00001360 02231200 ign_pad....`.#.. 4e9d0: 035f5f67 6d61635f 6864725f 74000000 .__gmac_hdr_t... 4e9e0: 303f045f 5f676d61 635f736f 66746300 0?.__gmac_softc. 4e9f0: 24000030 c5056864 72000000 307b0223 $..0..hdr...0{.# 4ea00: 00056772 616e0000 00136002 23140573 ..gran....`.#..s 4ea10: 77000000 295e0223 1800045f 415f6f73 w...)^.#..._A_os 4ea20: 5f6c696e 6b616765 5f636865 636b0008 _linkage_check.. 4ea30: 000030fe 05766572 73696f6e 00000001 ..0..version.... 4ea40: c8022300 05746162 6c650000 0001c802 ..#..table...... 4ea50: 23040007 000030c5 04000900 0001c801 #.....0......... 4ea60: 07000031 05040007 0000047e 0400175f ...1.......~..._ 4ea70: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 4ea80: 696f6e5f 7461626c 650001b8 00003255 ion_table.....2U 4ea90: 0568616c 5f6c696e 6b616765 5f636865 .hal_linkage_che 4eaa0: 636b0000 00310b02 23000573 74617274 ck...1..#..start 4eab0: 5f627373 00000031 12022304 05617070 _bss...1..#..app 4eac0: 5f737461 72740000 0001c102 2308056d _start......#..m 4ead0: 656d0000 0004be02 230c056d 69736300 em......#..misc. 4eae0: 000005dd 02232005 7072696e 74660000 .....# .printf.. 4eaf0: 0001f502 23440575 61727400 0000029a ....#D.uart..... 4eb00: 02234c05 676d6163 0000002f 8702236c .#L.gmac.../..#l 4eb10: 05757362 00000010 0c022370 05636c6f .usb......#p.clo 4eb20: 636b0000 000b5503 23e00105 74696d65 ck....U.#...time 4eb30: 72000000 07f50323 84020569 6e747200 r......#...intr. 4eb40: 00000ca5 03239802 05616c6c 6f637261 .....#...allocra 4eb50: 6d000000 09ad0323 c4020572 6f6d7000 m......#...romp. 4eb60: 0000089f 0323d002 05776474 5f74696d .....#...wdt_tim 4eb70: 65720000 000e8203 23e00205 65657000 er......#...eep. 4eb80: 00000fb0 0323fc02 05737472 696e6700 .....#...string. 4eb90: 00000701 03238c03 05746173 6b6c6574 .....#...tasklet 4eba0: 0000000a aa0323a4 0300045f 5553425f ......#...._USB_ 4ebb0: 4649464f 5f434f4e 46494700 10000032 FIFO_CONFIG....2 4ebc0: c8056765 745f636f 6d6d616e 645f6275 ..get_command_bu 4ebd0: 66000000 14b80223 00057265 63765f63 f......#..recv_c 4ebe0: 6f6d6d61 6e640000 0014ce02 23040567 ommand......#..g 4ebf0: 65745f65 76656e74 5f627566 00000014 et_event_buf.... 4ec00: b8022308 0573656e 645f6576 656e745f ..#..send_event_ 4ec10: 646f6e65 00000014 ce02230c 00035553 done......#...US 4ec20: 425f4649 464f5f43 4f4e4649 47000000 B_FIFO_CONFIG... 4ec30: 32550700 0032c804 00060107 000032e4 2U...2........2. 4ec40: 04000475 73626669 666f5f61 7069000c ...usbfifo_api.. 4ec50: 0000333a 055f696e 69740000 0032e602 ..3:._init...2.. 4ec60: 2300055f 656e6162 6c655f65 76656e74 #.._enable_event 4ec70: 5f697372 00000001 c1022304 05705265 _isr......#..pRe 4ec80: 73657276 65640000 00047b02 2308000f served....{.#... 4ec90: 000016f2 02000033 47100100 045f4854 .......3G...._HT 4eca0: 435f4652 414d455f 48445200 08000033 C_FRAME_HDR....3 4ecb0: b905456e 64706f69 6e744944 00000016 ..EndpointID.... 4ecc0: f2022300 05466c61 67730000 0016f202 ..#..Flags...... 4ecd0: 23010550 61796c6f 61644c65 6e000000 #..PayloadLen... 4ece0: 1d1a0223 0205436f 6e74726f 6c427974 ...#..ControlByt 4ecf0: 65730000 00333a02 23040548 6f737453 es...3:.#..HostS 4ed00: 65714e75 6d000000 1d1a0223 06001202 eqNum......#.... 4ed10: 000033d2 054d6573 73616765 49440000 ..3..MessageID.. 4ed20: 001d1a02 23000012 08000034 35054d65 ....#......45.Me 4ed30: 73736167 65494400 00001d1a 02230005 ssageID......#.. 4ed40: 43726564 6974436f 756e7400 00001d1a CreditCount..... 4ed50: 02230205 43726564 69745369 7a650000 .#..CreditSize.. 4ed60: 001d1a02 2304054d 6178456e 64706f69 ....#..MaxEndpoi 4ed70: 6e747300 000016f2 02230605 5f506164 nts......#.._Pad 4ed80: 31000000 16f20223 0700120a 000034cc 1......#......4. 4ed90: 054d6573 73616765 49440000 001d1a02 .MessageID...... 4eda0: 23000553 65727669 63654944 0000001d #..ServiceID.... 4edb0: 1a022302 05436f6e 6e656374 696f6e46 ..#..ConnectionF 4edc0: 6c616773 0000001d 1a022304 05446f77 lags......#..Dow 4edd0: 6e4c696e 6b506970 65494400 000016f2 nLinkPipeID..... 4ede0: 02230605 55704c69 6e6b5069 70654944 .#..UpLinkPipeID 4edf0: 00000016 f2022307 05536572 76696365 ......#..Service 4ee00: 4d657461 4c656e67 74680000 0016f202 MetaLength...... 4ee10: 2308055f 50616431 00000016 f2022309 #.._Pad1......#. 4ee20: 00120a00 00355405 4d657373 61676549 .....5T.MessageI 4ee30: 44000000 1d1a0223 00055365 72766963 D......#..Servic 4ee40: 65494400 00001d1a 02230205 53746174 eID......#..Stat 4ee50: 75730000 0016f202 23040545 6e64706f us......#..Endpo 4ee60: 696e7449 44000000 16f20223 05054d61 intID......#..Ma 4ee70: 784d7367 53697a65 0000001d 1a022306 xMsgSize......#. 4ee80: 05536572 76696365 4d657461 4c656e67 .ServiceMetaLeng 4ee90: 74680000 0016f202 2308055f 50616431 th......#.._Pad1 4eea0: 00000016 f2022309 00120200 00356d05 ......#......5m. 4eeb0: 4d657373 61676549 44000000 1d1a0223 MessageID......# 4eec0: 00001204 000035a9 054d6573 73616765 ......5..Message 4eed0: 49440000 001d1a02 23000550 69706549 ID......#..PipeI 4eee0: 44000000 16f20223 02054372 65646974 D......#..Credit 4eef0: 436f756e 74000000 16f20223 03001204 Count......#.... 4ef00: 000035e0 054d6573 73616765 49440000 ..5..MessageID.. 4ef10: 001d1a02 23000550 69706549 44000000 ....#..PipeID... 4ef20: 16f20223 02055374 61747573 00000016 ...#..Status.... 4ef30: f2022303 00120200 00360705 5265636f ..#......6..Reco 4ef40: 72644944 00000016 f2022300 054c656e rdID......#..Len 4ef50: 67746800 000016f2 02230100 12020000 gth......#...... 4ef60: 36310545 6e64706f 696e7449 44000000 61.EndpointID... 4ef70: 16f20223 00054372 65646974 73000000 ...#..Credits... 4ef80: 16f20223 01001204 00003672 05456e64 ...#......6r.End 4ef90: 706f696e 74494400 000016f2 02230005 pointID......#.. 4efa0: 43726564 69747300 000016f2 02230105 Credits......#.. 4efb0: 54677443 72656469 74536571 4e6f0000 TgtCreditSeqNo.. 4efc0: 001d1a02 2302000f 000016f2 04000036 ....#..........6 4efd0: 7f100300 12060000 36bb0550 72655661 ........6..PreVa 4efe0: 6c696400 000016f2 02230005 4c6f6f6b lid......#..Look 4eff0: 41686561 64000000 36720223 0105506f Ahead...6r.#..Po 4f000: 73745661 6c696400 000016f2 02230500 stValid......#.. 4f010: 03706f6f 6c5f6861 6e646c65 5f740000 .pool_handle_t.. 4f020: 00047b09 000036bb 01070000 36ce0400 ..{...6.....6... 4f030: 06010700 0036db04 00140400 0037590e .....6.......7Y. 4f040: 504f4f4c 5f49445f 4854435f 434f4e54 POOL_ID_HTC_CONT 4f050: 524f4c00 000e504f 4f4c5f49 445f574d ROL...POOL_ID_WM 4f060: 495f5356 435f434d 445f5245 504c5900 I_SVC_CMD_REPLY. 4f070: 010e504f 4f4c5f49 445f574d 495f5356 ..POOL_ID_WMI_SV 4f080: 435f4556 454e5400 020e504f 4f4c5f49 C_EVENT...POOL_I 4f090: 445f574c 414e5f52 585f4255 4600030e D_WLAN_RX_BUF... 4f0a0: 504f4f4c 5f49445f 4d415800 0a000342 POOL_ID_MAX....B 4f0b0: 55465f50 4f4f4c5f 49440000 0036e406 UF_POOL_ID...6.. 4f0c0: 01070000 376a0400 09000026 b7010700 ....7j.....&.... 4f0d0: 00377304 00090000 26b70107 00003780 .7s.....&.....7. 4f0e0: 04000601 07000037 8d040004 6275665f .......7....buf_ 4f0f0: 706f6f6c 5f617069 001c0000 382f055f pool_api....8/._ 4f100: 696e6974 00000036 d4022300 055f7368 init...6..#.._sh 4f110: 7574646f 776e0000 0036dd02 2304055f utdown...6..#.._ 4f120: 63726561 74655f70 6f6f6c00 0000376c create_pool...7l 4f130: 02230805 5f616c6c 6f635f62 75660000 .#.._alloc_buf.. 4f140: 00377902 230c055f 616c6c6f 635f6275 .7y.#.._alloc_bu 4f150: 665f616c 69676e00 00003786 02231005 f_align...7..#.. 4f160: 5f667265 655f6275 66000000 378f0223 _free_buf...7..# 4f170: 14057052 65736572 76656400 0000047b ..pReserved....{ 4f180: 02231800 045f4854 435f5345 52564943 .#..._HTC_SERVIC 4f190: 45001c00 00390e05 704e6578 74000000 E....9..pNext... 4f1a0: 390e0223 00055072 6f636573 73526563 9..#..ProcessRec 4f1b0: 764d7367 00000039 c3022304 0550726f vMsg...9..#..Pro 4f1c0: 63657373 53656e64 42756666 6572436f cessSendBufferCo 4f1d0: 6d706c65 74650000 0039cc02 23080550 mplete...9..#..P 4f1e0: 726f6365 7373436f 6e6e6563 74000000 rocessConnect... 4f1f0: 39e00223 0c055365 72766963 65494400 9..#..ServiceID. 4f200: 00001360 02231005 53657276 69636546 ...`.#..ServiceF 4f210: 6c616773 00000013 60022312 054d6178 lags....`.#..Max 4f220: 5376634d 73675369 7a650000 00136002 SvcMsgSize....`. 4f230: 23140554 7261696c 65725370 63436865 #..TrailerSpcChe 4f240: 636b4c69 6d697400 00001360 02231605 ckLimit....`.#.. 4f250: 53657276 69636543 74780000 00047b02 ServiceCtx....{. 4f260: 23180007 0000382f 04001404 000039ac #.....8/......9. 4f270: 19454e44 504f494e 545f554e 55534544 .ENDPOINT_UNUSED 4f280: 00ffffff ff0e454e 44504f49 4e543000 ......ENDPOINT0. 4f290: 000e454e 44504f49 4e543100 010e454e ..ENDPOINT1...EN 4f2a0: 44504f49 4e543200 020e454e 44504f49 DPOINT2...ENDPOI 4f2b0: 4e543300 030e454e 44504f49 4e543400 NT3...ENDPOINT4. 4f2c0: 040e454e 44504f49 4e543500 050e454e ..ENDPOINT5...EN 4f2d0: 44504f49 4e543600 060e454e 44504f49 DPOINT6...ENDPOI 4f2e0: 4e543700 070e454e 44504f49 4e543800 NT7...ENDPOINT8. 4f2f0: 080e454e 44504f49 4e545f4d 41580016 ..ENDPOINT_MAX.. 4f300: 00034854 435f454e 44504f49 4e545f49 ..HTC_ENDPOINT_I 4f310: 44000000 39150601 07000039 c1040006 D...9......9.... 4f320: 01070000 39ca0400 07000001 c8040009 ....9........... 4f330: 0000134c 01070000 39da0400 07000038 ...L....9......8 4f340: 2f040004 5f485443 5f434f4e 46494700 /..._HTC_CONFIG. 4f350: 1400003a 5f054372 65646974 53697a65 ...:_.CreditSize 4f360: 00000001 c8022300 05437265 6469744e ......#..CreditN 4f370: 756d6265 72000000 01c80223 04054f53 umber......#..OS 4f380: 48616e64 6c650000 001ab602 23080548 Handle......#..H 4f390: 49464861 6e646c65 00000029 1f02230c IFHandle...)..#. 4f3a0: 05506f6f 6c48616e 646c6500 000036bb .PoolHandle...6. 4f3b0: 02231000 045f4854 435f4255 465f434f .#..._HTC_BUF_CO 4f3c0: 4e544558 54000200 003a9b05 656e645f NTEXT....:..end_ 4f3d0: 706f696e 74000000 134c0223 00056874 point....L.#..ht 4f3e0: 635f666c 61677300 0000134c 02230100 c_flags....L.#.. 4f3f0: 03687463 5f68616e 646c655f 74000000 .htc_handle_t... 4f400: 047b0348 54435f53 45545550 5f434f4d .{.HTC_SETUP_COM 4f410: 504c4554 455f4342 00000001 c1034854 PLETE_CB......HT 4f420: 435f434f 4e464947 00000039 ee070000 C_CONFIG...9.... 4f430: 3ac80400 0900003a 9b010700 003adf04 :......:.....:.. 4f440: 00060107 00003aec 04000348 54435f53 ......:....HTC_S 4f450: 45525649 43450000 00382f07 00003af5 ERVICE...8/...:. 4f460: 04000601 0700003b 0d040006 01070000 .......;........ 4f470: 3b160400 06010700 003b1f04 00090000 ;........;...... 4f480: 01c80107 00003b28 04000468 74635f61 ......;(...htc_a 4f490: 70697300 3400003c a5055f48 54435f49 pis.4..<.._HTC_I 4f4a0: 6e697400 00003ae5 02230005 5f485443 nit...:..#.._HTC 4f4b0: 5f536875 74646f77 6e000000 3aee0223 _Shutdown...:..# 4f4c0: 04055f48 54435f52 65676973 74657253 .._HTC_RegisterS 4f4d0: 65727669 63650000 003b0f02 2308055f ervice...;..#.._ 4f4e0: 4854435f 52656164 79000000 3aee0223 HTC_Ready...:..# 4f4f0: 0c055f48 54435f52 65747572 6e427566 .._HTC_ReturnBuf 4f500: 66657273 0000003b 18022310 055f4854 fers...;..#.._HT 4f510: 435f5265 7475726e 42756666 6572734c C_ReturnBuffersL 4f520: 69737400 00003b21 02231405 5f485443 ist...;!.#.._HTC 4f530: 5f53656e 644d7367 0000003b 18022318 _SendMsg...;..#. 4f540: 055f4854 435f4765 74526573 65727665 ._HTC_GetReserve 4f550: 64486561 64726f6f 6d000000 3b2e0223 dHeadroom...;..# 4f560: 1c055f48 54435f4d 73675265 63764861 .._HTC_MsgRecvHa 4f570: 6e646c65 72000000 28cc0223 20055f48 ndler...(..# ._H 4f580: 54435f53 656e6444 6f6e6548 616e646c TC_SendDoneHandl 4f590: 65720000 0028c302 2324055f 4854435f er...(..#$._HTC_ 4f5a0: 436f6e74 726f6c53 76635072 6f636573 ControlSvcProces 4f5b0: 734d7367 00000039 c3022328 055f4854 sMsg...9..#(._HT 4f5c0: 435f436f 6e74726f 6c537663 50726f63 C_ControlSvcProc 4f5d0: 65737353 656e6443 6f6d706c 65746500 essSendComplete. 4f5e0: 000039cc 02232c05 70526573 65727665 ..9..#,.pReserve 4f5f0: 64000000 047b0223 30000468 6f73745f d....{.#0..host_ 4f600: 6170705f 61726561 5f730004 00003cd5 app_area_s....<. 4f610: 05776d69 5f70726f 746f636f 6c5f7665 .wmi_protocol_ve 4f620: 72000000 168b0223 0000120e 00003d0c r......#......=. 4f630: 05647374 4d616300 00001cd7 02230005 .dstMac......#.. 4f640: 7372634d 61630000 001cd702 23060574 srcMac......#..t 4f650: 7970654f 724c656e 0000001d 1a02230c ypeOrLen......#. 4f660: 000f0000 16f20300 003d1910 02001208 .........=...... 4f670: 00003d69 05647361 70000000 16f20223 ..=i.dsap......# 4f680: 00057373 61700000 0016f202 23010563 ..ssap......#..c 4f690: 6e746c00 000016f2 02230205 6f726743 ntl......#..orgC 4f6a0: 6f646500 00003d0c 02230305 65746865 ode...=..#..ethe 4f6b0: 72547970 65000000 1d1a0223 06001202 rType......#.... 4f6c0: 00003d8a 05727373 69000000 1c700223 ..=..rssi....p.# 4f6d0: 0005696e 666f0000 0016f202 23010012 ..info......#... 4f6e0: 0400003d b105636f 6d6d616e 64496400 ...=..commandId. 4f6f0: 00001d1a 02230005 7365714e 6f000000 .....#..seqNo... 4f700: 1d1a0223 02000f00 0016f201 00003dbe ...#..........=. 4f710: 10000012 0200003d e5056d73 6753697a .......=..msgSiz 4f720: 65000000 16f20223 00056d73 67446174 e......#..msgDat 4f730: 61000000 3db10223 01001208 00003e2c a...=..#......>, 4f740: 05616464 72657373 4c000000 1d1a0223 .addressL......# 4f750: 00056164 64726573 73480000 001d1a02 ..addressH...... 4f760: 23020576 616c7565 4c000000 1d1a0223 #..valueL......# 4f770: 04057661 6c756548 0000001d 1a022306 ..valueH......#. 4f780: 0003574d 495f4156 54000000 3de50f00 ..WMI_AVT...=... 4f790: 003e2c08 00003e46 10000012 0c00003e .>,...>F.......> 4f7a0: 7d057475 706c654e 756d4c00 00001d1a }.tupleNumL..... 4f7b0: 02230005 7475706c 654e756d 48000000 .#..tupleNumH... 4f7c0: 1d1a0223 02056176 74000000 3e390223 ...#..avt...>9.# 4f7d0: 04001201 00003e9f 05626561 636f6e50 ......>..beaconP 4f7e0: 656e6469 6e67436f 756e7400 000016f2 endingCount..... 4f7f0: 02230000 045f574d 495f5356 435f434f .#..._WMI_SVC_CO 4f800: 4e464947 00100000 3f080548 74634861 NFIG....?..HtcHa 4f810: 6e646c65 0000003a 9b022300 05506f6f ndle...:..#..Poo 4f820: 6c48616e 646c6500 000036bb 02230405 lHandle...6..#.. 4f830: 4d617843 6d645265 706c7945 76747300 MaxCmdReplyEvts. 4f840: 000001c8 02230805 4d617845 76656e74 .....#..MaxEvent 4f850: 45767473 00000001 c802230c 00060107 Evts......#..... 4f860: 00003f08 04000357 4d495f43 4d445f48 ..?....WMI_CMD_H 4f870: 414e444c 45520000 003f0a04 5f574d49 ANDLER...?.._WMI 4f880: 5f444953 50415443 485f454e 54525900 _DISPATCH_ENTRY. 4f890: 0800003f 71057043 6d644861 6e646c65 ...?q.pCmdHandle 4f8a0: 72000000 3f110223 0005436d 64494400 r...?..#..CmdID. 4f8b0: 00001360 02230405 466c6167 73000000 ...`.#..Flags... 4f8c0: 13600223 0600045f 574d495f 44495350 .`.#..._WMI_DISP 4f8d0: 41544348 5f544142 4c450010 00003fd2 ATCH_TABLE....?. 4f8e0: 05704e65 78740000 003fd202 23000570 .pNext...?..#..p 4f8f0: 436f6e74 65787400 0000047b 02230405 Context....{.#.. 4f900: 4e756d62 65724f66 456e7472 69657300 NumberOfEntries. 4f910: 000001c8 02230805 70546162 6c650000 .....#..pTable.. 4f920: 003ff102 230c0007 00003f71 04000357 .?..#.....?q...W 4f930: 4d495f44 49535041 5443485f 454e5452 MI_DISPATCH_ENTR 4f940: 59000000 3f260700 003fd904 00070000 Y...?&...?...... 4f950: 3f710400 03485443 5f425546 5f434f4e ?q...HTC_BUF_CON 4f960: 54455854 0000003a 5f0d574d 495f4556 TEXT...:_.WMI_EV 4f970: 545f434c 41535300 04000040 8919574d T_CLASS....@..WM 4f980: 495f4556 545f434c 4153535f 4e4f4e45 I_EVT_CLASS_NONE 4f990: 00ffffff ff0e574d 495f4556 545f434c ......WMI_EVT_CL 4f9a0: 4153535f 434d445f 4556454e 5400000e ASS_CMD_EVENT... 4f9b0: 574d495f 4556545f 434c4153 535f434d WMI_EVT_CLASS_CM 4f9c0: 445f5245 504c5900 010e574d 495f4556 D_REPLY...WMI_EV 4f9d0: 545f434c 4153535f 4d415800 02000357 T_CLASS_MAX....W 4f9e0: 4d495f45 56545f43 4c415353 00000040 MI_EVT_CLASS...@ 4f9f0: 14045f57 4d495f42 55465f43 4f4e5445 .._WMI_BUF_CONTE 4fa00: 5854000c 000040e7 05487463 42756643 XT....@..HtcBufC 4fa10: 74780000 003fff02 23000545 76656e74 tx...?..#..Event 4fa20: 436c6173 73000000 40890223 0405466c Class...@..#..Fl 4fa30: 61677300 00001360 02230800 03776d69 ags....`.#...wmi 4fa40: 5f68616e 646c655f 74000000 047b0357 _handle_t....{.W 4fa50: 4d495f53 56435f43 4f4e4649 47000000 MI_SVC_CONFIG... 4fa60: 3e9f0700 0040f904 00090000 40e70107 >....@......@... 4fa70: 00004114 04000357 4d495f44 49535041 ..A....WMI_DISPA 4fa80: 5443485f 5441424c 45000000 3f710700 TCH_TABLE...?q.. 4fa90: 00412104 00060107 00004140 04000900 .A!.......A@.... 4faa0: 0026b701 07000041 49040006 01070000 .&.....AI....... 4fab0: 41560400 09000001 c8010700 00415f04 AV...........A_. 4fac0: 00060107 0000416c 04000900 00134c01 ......Al......L. 4fad0: 07000041 75040004 5f776d69 5f737663 ...Au..._wmi_svc 4fae0: 5f617069 73002c00 0042bd05 5f574d49 _apis.,..B.._WMI 4faf0: 5f496e69 74000000 411a0223 00055f57 _Init...A..#.._W 4fb00: 4d495f52 65676973 74657244 69737061 MI_RegisterDispa 4fb10: 74636854 61626c65 00000041 42022304 tchTable...AB.#. 4fb20: 055f574d 495f416c 6c6f6345 76656e74 ._WMI_AllocEvent 4fb30: 00000041 4f022308 055f574d 495f5365 ...AO.#.._WMI_Se 4fb40: 6e644576 656e7400 00004158 02230c05 ndEvent...AX.#.. 4fb50: 5f574d49 5f476574 50656e64 696e6745 _WMI_GetPendingE 4fb60: 76656e74 73436f75 6e740000 00416502 ventsCount...Ae. 4fb70: 2310055f 574d495f 53656e64 436f6d70 #.._WMI_SendComp 4fb80: 6c657465 48616e64 6c657200 000039cc leteHandler...9. 4fb90: 02231405 5f574d49 5f476574 436f6e74 .#.._WMI_GetCont 4fba0: 726f6c45 70000000 41650223 18055f57 rolEp...Ae.#.._W 4fbb0: 4d495f53 68757464 6f776e00 0000416e MI_Shutdown...An 4fbc0: 02231c05 5f574d49 5f526563 764d6573 .#.._WMI_RecvMes 4fbd0: 73616765 48616e64 6c657200 000039c3 sageHandler...9. 4fbe0: 02232005 5f574d49 5f536572 76696365 .# ._WMI_Service 4fbf0: 436f6e6e 65637400 0000417b 02232405 Connect...A{.#$. 4fc00: 70526573 65727665 64000000 047b0223 pReserved....{.# 4fc10: 2800047a 73446d61 44657363 00140000 (..zsDmaDesc.... 4fc20: 433f0563 74726c00 0000011e 02230005 C?.ctrl......#.. 4fc30: 73746174 75730000 00011e02 23020574 status......#..t 4fc40: 6f74616c 4c656e00 0000011e 02230405 otalLen......#.. 4fc50: 64617461 53697a65 00000001 1e022306 dataSize......#. 4fc60: 056c6173 74416464 72000000 433f0223 .lastAddr...C?.# 4fc70: 08056461 74614164 64720000 00022c02 ..dataAddr....,. 4fc80: 230c056e 65787441 64647200 0000433f #..nextAddr...C? 4fc90: 02231000 07000042 bd040007 000042bd .#.....B......B. 4fca0: 0400047a 73446d61 51756575 65000800 ...zsDmaQueue... 4fcb0: 00437f05 68656164 00000043 46022300 .C..head...CF.#. 4fcc0: 05746572 6d696e61 746f7200 00004346 .terminator...CF 4fcd0: 02230400 047a7354 78446d61 51756575 .#...zsTxDmaQueu 4fce0: 65001000 0043e305 68656164 00000043 e....C..head...C 4fcf0: 46022300 05746572 6d696e61 746f7200 F.#..terminator. 4fd00: 00004346 02230405 786d6974 65645f62 ..CF.#..xmited_b 4fd10: 75665f68 65616400 000014ab 02230805 uf_head......#.. 4fd20: 786d6974 65645f62 75665f74 61696c00 xmited_buf_tail. 4fd30: 000014ab 02230c00 06010700 0043e304 .....#.......C.. 4fd40: 00070000 434d0400 06010700 0043f304 ....CM.......C.. 4fd50: 00070000 437f0400 06010700 00440304 ....C........D.. 4fd60: 00060107 0000440c 04000601 07000044 ......D........D 4fd70: 15040009 000014ab 01070000 441e0400 ............D... 4fd80: 06010700 00442b04 00090000 14ab0107 .....D+......... 4fd90: 00004434 04000601 07000044 41040009 ..D4.......DA... 4fda0: 000001c8 01070000 444a0400 09000043 ........DJ.....C 4fdb0: 46010700 00445704 00060107 00004464 F....DW.......Dd 4fdc0: 04000464 6d615f65 6e67696e 655f6170 ...dma_engine_ap 4fdd0: 69004000 0045da05 5f696e69 74000000 i.@..E.._init... 4fde0: 43e50223 00055f69 6e69745f 72785f71 C..#.._init_rx_q 4fdf0: 75657565 00000043 f5022304 055f696e ueue...C..#.._in 4fe00: 69745f74 785f7175 65756500 00004405 it_tx_queue...D. 4fe10: 02230805 5f636f6e 6669675f 72785f71 .#.._config_rx_q 4fe20: 75657565 00000044 0e02230c 055f786d ueue...D..#.._xm 4fe30: 69745f62 75660000 00441702 2310055f it_buf...D..#.._ 4fe40: 666c7573 685f786d 69740000 0043f502 flush_xmit...C.. 4fe50: 2314055f 72656170 5f726563 765f6275 #.._reap_recv_bu 4fe60: 66000000 44240223 18055f72 65747572 f...D$.#.._retur 4fe70: 6e5f7265 63765f62 75660000 00442d02 n_recv_buf...D-. 4fe80: 231c055f 72656170 5f786d69 7465645f #.._reap_xmited_ 4fe90: 62756600 0000443a 02232005 5f737761 buf...D:.# ._swa 4fea0: 705f6461 74610000 00444302 2324055f p_data...DC.#$._ 4feb0: 6861735f 636f6d70 6c5f7061 636b6574 has_compl_packet 4fec0: 73000000 44500223 28055f64 6573635f s...DP.#(._desc_ 4fed0: 64756d70 00000043 f502232c 055f6765 dump...C..#,._ge 4fee0: 745f7061 636b6574 00000044 5d022330 t_packet...D].#0 4fef0: 055f7265 636c6169 6d5f7061 636b6574 ._reclaim_packet 4ff00: 00000044 66022334 055f7075 745f7061 ...Df.#4._put_pa 4ff10: 636b6574 00000044 66022338 05705265 cket...Df.#8.pRe 4ff20: 73657276 65640000 00047b02 233c0003 served....{.#<.. 4ff30: 5f415f63 6d6e6f73 5f696e64 69726563 _A_cmnos_indirec 4ff40: 74696f6e 5f746162 6c655f74 00000031 tion_table_t...1 4ff50: 1903574d 495f5356 435f4150 49530000 ..WMI_SVC_APIS.. 4ff60: 00418217 5f415f6d 61677069 655f696e .A.._A_magpie_in 4ff70: 64697265 6374696f 6e5f7461 626c6500 direction_table. 4ff80: 034c0000 47080563 6d6e6f73 00000045 .L..G..cmnos...E 4ff90: da022300 05646267 00000004 480323b8 ..#..dbg....H.#. 4ffa0: 03056869 66000000 29c20323 c0030568 ..hif...)..#...h 4ffb0: 74630000 003b3503 23f80305 776d695f tc...;5.#...wmi_ 4ffc0: 7376635f 61706900 000045fc 0323ac04 svc_api...E..#.. 4ffd0: 05757362 6669666f 5f617069 00000032 .usbfifo_api...2 4ffe0: ed0323d8 04056275 665f706f 6f6c0000 ..#...buf_pool.. 4fff0: 00379603 23e40405 76627566 00000014 .7..#...vbuf.... 50000: d5032380 05057664 65736300 000013b7 ..#...vdesc..... 50010: 03239405 05616c6c 6f637261 6d000000 .#...allocram... 50020: 09ad0323 a8050564 6d615f65 6e67696e ...#...dma_engin 50030: 65000000 446d0323 b4050564 6d615f6c e...Dm.#...dma_l 50040: 69620000 002c5603 23f40505 6869665f ib...,V.#...hif_ 50050: 70636900 00002eb6 0323a806 00035f41 pci......#...._A 50060: 5f6d6167 7069655f 696e6469 72656374 _magpie_indirect 50070: 696f6e5f 7461626c 655f7400 0000460e ion_table_t...F. 50080: 03536574 75705061 636b6574 00000001 .SetupPacket.... 50090: 421a436f 6e74726f 6c436d64 00000047 B.ControlCmd...G 500a0: 2b050300 500a9801 1a757362 4669666f +...P....usbFifo 500b0: 436f6e66 00000032 c8050300 500aa401 Conf...2....P... 500c0: 1a667743 6865636b 53756d00 00000241 .fwCheckSum....A 500d0: 05030050 0ab4010f 00000134 06000047 ...P.......4...G 500e0: 8f100200 1a557362 53746174 75730000 .....UsbStatus.. 500f0: 00478205 0300500a f0011a70 75384465 .G....P....pu8De 50100: 73637269 70746f72 45580000 000f8f05 scriptorEX...... 50110: 0300500a b8011a75 31365478 5278436f ..P....u16TxRxCo 50120: 756e7465 72000000 01340503 00500abc unter....4...P.. 50130: 011a7538 436f6e66 69674465 73637269 ..u8ConfigDescri 50140: 70746f72 45580000 000f8f05 0300500a ptorEX........P. 50150: c0011404 00004826 0e414354 5f49444c ......H&.ACT_IDL 50160: 4500000e 4143545f 444f4e45 00010e41 E...ACT_DONE...A 50170: 43545f53 54414c4c 00020003 41637469 CT_STALL....Acti 50180: 6f6e0000 0047fd1a 65557362 43784669 on...G..eUsbCxFi 50190: 6e697368 41637469 6f6e0000 00482605 nishAction...H&. 501a0: 0300500a c4011404 0000488d 0e434d44 ..P.......H..CMD 501b0: 5f564f49 4400000e 434d445f 4745545f _VOID...CMD_GET_ 501c0: 44455343 52495054 4f520001 0e434d44 DESCRIPTOR...CMD 501d0: 5f534554 5f444553 43524950 544f5200 _SET_DESCRIPTOR. 501e0: 02000343 6f6d6d61 6e645479 70650000 ...CommandType.. 501f0: 0048511a 65557362 4378436f 6d6d616e .HQ.eUsbCxComman 50200: 64000000 488d0503 00500ac8 011a5573 d...H....P....Us 50210: 62436869 72704669 6e697368 00000008 bChirpFinish.... 50220: 6b050300 500acc01 1a753855 7362436f k...P....u8UsbCo 50230: 6e666967 56616c75 65000000 01340503 nfigValue....4.. 50240: 00500ace 011a7538 55736249 6e746572 .P....u8UsbInter 50250: 66616365 56616c75 65000000 01340503 faceValue....4.. 50260: 00500ad0 011a7538 55736249 6e746572 .P....u8UsbInter 50270: 66616365 416c7465 726e6174 65536574 faceAlternateSet 50280: 74696e67 00000001 34050300 500ad201 ting....4...P... 50290: 1a753136 4669726d 77617265 436f6d70 .u16FirmwareComp 502a0: 6c657465 00000001 34050300 500ad401 lete....4...P... 502b0: 1a753855 73624465 76696365 44657363 .u8UsbDeviceDesc 502c0: 72697074 6f720000 000f8f05 0300500a riptor........P. 502d0: d8011a75 38537472 696e6730 30446573 ...u8String00Des 502e0: 63726970 746f7200 00000f8f 05030050 criptor........P 502f0: 0adc011a 75385374 72696e67 31304465 ....u8String10De 50300: 73637269 70746f72 0000000f 8f050300 scriptor........ 50310: 500ae001 1a753853 7472696e 67323044 P....u8String20D 50320: 65736372 6970746f 72000000 0f8f0503 escriptor....... 50330: 00500ae4 011a7538 53747269 6e673330 .P....u8String30 50340: 44657363 72697074 6f720000 000f8f05 Descriptor...... 50350: 0300500a e8010700 00011104 00070000 ..P............. 50360: 02410400 1b000001 34010000 4a1c1002 .A......4...J... 50370: 001a5465 73745061 746e3000 00004a0f ..TestPatn0...J. 50380: 05030050 0720011b 00000241 0100004a ...P. .....A...J 50390: 3f100c00 1a546573 74506174 6e310000 ?....TestPatn1.. 503a0: 004a3205 03005007 30011b00 00013401 .J2...P.0.....4. 503b0: 00004a61 1c001b00 00013401 00004a6d ..Ja......4...Jm 503c0: 1c000700 00024104 000f0000 01cf1500 ......A......... 503d0: 004a8110 14000700 004a7404 00070000 .J.......Jt..... 503e0: 0f8f0400 1d000002 2c1d0000 022c0700 ........,....,.. 503f0: 004a9404 000f0000 01cf2500 004aad10 .J........%..J.. 50400: 24000700 004aa004 000f0000 01cf2000 $....J........ . 50410: 004ac110 1f000700 004ab404 000f0000 .J.......J...... 50420: 01cf0900 004ad510 08000700 004ac804 .....J.......J.. 50430: 000f0000 01cf1e00 004ae910 1d000700 .........J...... 50440: 004adc04 001b0000 01340100 004afc1c .J.......4...J.. 50450: 001b0000 01340100 004b081c 000f0000 .....4...K...... 50460: 01cf1100 004b1510 10000700 004b0804 .....K.......K.. 50470: 000f0000 01cf1000 004b2910 0f000700 .........K)..... 50480: 004b1c04 000f0000 01cf1600 004b3d10 .K...........K=. 50490: 15000700 004b3004 000f0000 01cf0d00 .....K0......... 504a0: 004b5110 0c000700 004b4404 000f0000 .KQ......KD..... 504b0: 01cf0f00 004b6510 0e000700 004b5804 .....Ke......KX. 504c0: 000f0000 01cf0e00 004b7910 0d000700 .........Ky..... 504d0: 004b6c04 000f0000 01cf1200 004b8d10 .Kl..........K.. 504e0: 11000700 004b8004 00070000 32ed0400 .....K......2... 504f0: 06010700 00100c04 0006011e 01013f76 ..............?v 50500: 5573625f 65703074 78000103 92012002 Usb_ep0tx..... . 50510: 9000008e 3884008e 38ac1e01 01597655 ....8...8....YvU 50520: 73625f65 70307278 00010392 01200290 sb_ep0rx..... .. 50530: 00008e38 ac008e38 ce1f0101 6d765573 ...8...8....mvUs 50540: 62436c72 45507800 01039201 20029000 bClrEPx..... ... 50550: 008e38d0 008e3951 00004c12 20753865 ..8...9Q..L. u8e 50560: 70000000 01110021 01018662 4765745f p......!...bGet_ 50570: 73746174 75730000 00086b01 03920120 status....k.... 50580: 02900000 8e395400 8e398800 004c5320 .....9T..9...LS 50590: 52656369 7069656e 74537461 7475734c RecipientStatusL 505a0: 6f770000 00011100 220101a1 62436c65 ow......"...bCle 505b0: 61725f66 65617475 72650000 00086b01 ar_feature....k. 505c0: 03920120 02900000 8e398800 8e39ab21 ... .....9...9.! 505d0: 0101c662 5365745f 66656174 75726500 ...bSet_feature. 505e0: 0000086b 01039201 20029000 008e39ac ...k.... .....9. 505f0: 008e3a7c 00004cac 20696900 00000134 ..:|..L. ii....4 50600: 00220102 48625365 745f6164 64726573 ."..HbSet_addres 50610: 73000000 086b0103 92012002 9000008e s....k.... ..... 50620: 3a7c008e 3a9b2201 02626247 65745f64 :|..:."..bbGet_d 50630: 65736372 6970746f 72000000 086b0103 escriptor....k.. 50640: 92012002 9000008e 3a9c008e 3b2b2201 .. .....:...;+". 50650: 02c96247 65745f63 6f6e6669 67757261 ..bGet_configura 50660: 74696f6e 00000008 6b010392 01200290 tion....k.... .. 50670: 00008e3b 2c008e3b 52220102 e7625365 ...;,..;R"...bSe 50680: 745f636f 6e666967 75726174 696f6e00 t_configuration. 50690: 0000086b 01039201 20029000 008e3b54 ...k.... .....;T 506a0: 008e3bf9 2201032a 62476574 5f696e74 ..;."..*bGet_int 506b0: 65726661 63650000 00086b01 03920120 erface....k.... 506c0: 02900000 8e3bfc00 8e3c4122 01035f62 .....;... u8temp. 50720: 00000111 20753863 6f756e74 00000001 .... u8count.... 50730: 11206570 305f6c6f 77000000 01342065 . ep0_low....4 e 50740: 70305f68 69676800 00000134 20657030 p0_high....4 ep0 50750: 5f646174 61000000 02412072 656d6169 _data....A remai 50760: 6e646572 00000001 11206570 305f6c6f nder..... ep0_lo 50770: 77000000 01342065 70305f68 69676800 w....4 ep0_high. 50780: 00000134 20657030 5f646174 61000000 ...4 ep0_data... 50790: 0241001f 0103ea76 55736245 50305278 .A.....vUsbEP0Rx 507a0: 44617461 00010392 01200290 00008e3d Data..... .....= 507b0: 8c008e3e 0800004e 93207538 74656d70 ...>...N. u8temp 507c0: 00000001 11207000 000003ba 20753863 ..... p..... u8c 507d0: 6f756e74 00000001 11206570 305f6461 ount..... ep0_da 507e0: 74610000 00024100 1e01041e 76557362 ta....A.....vUsb 507f0: 5f536574 75704465 73637269 70746f72 _SetupDescriptor 50800: 00010392 01200290 00008e3e 08008e3e ..... .....>...> 50810: 63220104 48625374 616e6461 7264436f c"..HbStandardCo 50820: 6d6d616e 64000000 086b0103 92012002 mmand....k.... . 50830: 9000008e 3e64008e 3f611f01 04d75665 ....>d..?a....Ve 50840: 6e646f72 436f6d6d 616e6400 01039201 ndorCommand..... 50850: 20029000 008e3f64 008e4056 00004f28 .....?d..@V..O( 50860: 20746578 745f6164 64720000 000f8f20 text_addr..... 50870: 66756e63 50747200 000001c1 001f0105 funcPtr......... 50880: 2a765573 625f6570 30736574 75700001 *vUsb_ep0setup.. 50890: 03920130 02900000 8e405800 8e41ce00 ...0.....@X..A.. 508a0: 004f7120 69690000 00011120 6b6b6b00 .Oq ii..... kkk. 508b0: 000001c8 23657030 5f646174 61000000 ....#ep0_data... 508c0: 02410291 50001e01 05bd6346 55534232 .A..P.....cFUSB2 508d0: 3030496e 69740001 03920120 02900000 00Init..... .... 508e0: 8e41d000 8e42511e 0105ea5f 75736266 .A...BQ...._usbf 508f0: 69666f5f 656e6162 6c655f65 76656e74 ifo_enable_event 50900: 5f697372 00010392 01200290 00008e42 _isr..... .....B 50910: 54008e42 6e1f0105 ef5f7573 62666966 T..Bn...._usbfif 50920: 6f5f696e 69740001 03920120 02900000 o_init..... .... 50930: 8e427000 8e428800 004ff924 0105ef70 .Bp..B...O.$...p 50940: 436f6e66 69670000 0032dd01 52001f01 Config...2..R... 50950: 05f77655 73625f52 65675f4f 75740001 ..vUsb_Reg_Out.. 50960: 03920120 02900000 8e428800 8e42f000 ... .....B...B.. 50970: 00506720 62756600 000014ab 20726567 .Pg buf..... reg 50980: 61646472 0000004a 08207573 62666966 addr...J. usbfif 50990: 6f6c656e 00000001 3420636d 644c656e olen....4 cmdLen 509a0: 00000001 34206969 00000001 34206570 ....4 ii....4 ep 509b0: 345f6461 74610000 00024100 1f010636 4_data....A....6 509c0: 76557362 5f537461 7475735f 496e0001 vUsb_Status_In.. 509d0: 03920120 02900000 8e42f000 8e439200 ... .....B...C.. 509e0: 0050d220 65766e74 62756600 000014ab .P. evntbuf..... 509f0: 20726567 61646472 0000004a 99205265 regaddr...J. Re 50a00: 67427566 4c656e00 0000011e 20636f75 gBufLen..... cou 50a10: 6e740000 00013420 72656d61 696e6465 nt....4 remainde 50a20: 72000000 0134001e 0106857a 66526573 r....4.....zfRes 50a30: 65745553 42464946 4f000103 92012002 etUSBFIFO..... . 50a40: 9000008e 4394008e 43a51e01 06927a66 ....C...C.....zf 50a50: 5475726e 4f666650 6f776572 00010392 TurnOffPower.... 50a60: 01200290 00008e43 a8008e44 1a1f0106 . .....C...D.... 50a70: b37a6647 656e5761 74636844 6f674576 .zfGenWatchDogEv 50a80: 656e7400 01039201 20029000 008e441c ent..... .....D. 50a90: 008e445e 0000514f 20657665 6e740000 ..D^..QO event.. 50aa0: 00024100 1e0106c2 7a664a75 6d70546f ..A.....zfJumpTo 50ab0: 426f6f74 436f6465 00010392 01200290 BootCode..... .. 50ac0: 00008e44 60008e44 681f0106 ca5f7573 ...D`..Dh...._us 50ad0: 625f726f 6d5f7461 736b0001 03920120 b_rom_task..... 50ae0: 02900000 8e446800 8e452e00 0051cf20 .....Dh..E...Q. 50af0: 7573625f 696e7465 72727570 745f6c65 usb_interrupt_le 50b00: 76656c31 00000001 11207573 625f696e vel1..... usb_in 50b10: 74657272 7570745f 6c657665 6c320000 terrupt_level2.. 50b20: 00011100 1f010715 5f757362 5f66775f ........_usb_fw_ 50b30: 7461736b 00010392 01200290 00008e45 task..... .....E 50b40: 30008e46 65000052 29207573 625f696e 0..Fe..R) usb_in 50b50: 74657272 7570745f 6c657665 6c310000 terrupt_level1.. 50b60: 00011120 7573625f 696e7465 72727570 ... usb_interrup 50b70: 745f6c65 76656c32 00000001 11001f01 t_level2........ 50b80: 08315f75 73625f63 6c6b5f69 6e697400 .1_usb_clk_init. 50b90: 01039201 20029000 008e4668 008e476c .... .....Fh..Gl 50ba0: 0000525f 206d426f 6f744d6f 64650000 ..R_ mBootMode.. 50bb0: 000e6400 2501085d 5f757362 5f696e69 ..d.%..]_usb_ini 50bc0: 74000101 03920120 02900000 8e476c00 t...... .....Gl. 50bd0: 8e484500 00529320 636f6c64 5f737461 .HE..R. cold_sta 50be0: 72740000 00022c00 250108cd 75736266 rt....,.%...usbf 50bf0: 69666f5f 6d6f6475 6c655f69 6e737461 ifo_module_insta 50c00: 6c6c0001 01039201 20029000 008e4848 ll...... .....HH 50c10: 008e4857 000052d3 240108cd 61706973 ..HW..R.$...apis 50c20: 0000004b 94015200 260108d5 636d6e6f ...K..R.&...cmno 50c30: 735f7573 625f6d6f 64756c65 5f696e73 s_usb_module_ins 50c40: 74616c6c 00010103 92012002 9000008e tall...... ..... 50c50: 4858008e 48f52401 08d56170 69730000 HX..H.$...apis.. 50c60: 004b9d01 52000000 000003d7 00020000 .K..R........... 50c70: 1db50401 2f726f6f 742f576f 726b7370 ..../root/Worksp 50c80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 50c90: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 50ca0: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ 50cb0: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui 50cc0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 50cd0: 6d2f6869 662f7573 622f7372 632f7573 m/hif/usb/src/us 50ce0: 625f7461 626c652e 63002f72 6f6f742f b_table.c./root/ 50cf0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 50d00: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 50d10: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 50d20: 2f757362 0078742d 78636320 666f7220 /usb.xt-xcc for 50d30: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align 50d40: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 50d50: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp 50d60: 61636500 01000275 6e736967 6e656420 ace....unsigned 50d70: 63686172 00070103 75696e74 385f7400 char....uint8_t. 50d80: 000000fe 0273686f 72742075 6e736967 .....short unsig 50d90: 6e656420 696e7400 07020375 696e7431 ned int....uint1 50da0: 365f7400 0000011c 04536574 75705f50 6_t......Setup_P 50db0: 61636b65 74000c00 0001bd05 44697265 acket.......Dire 50dc0: 6374696f 6e000000 010f0223 00055479 ction......#..Ty 50dd0: 70650000 00010f02 2301054f 626a6563 pe......#..Objec 50de0: 74000000 010f0223 02055265 71756573 t......#..Reques 50df0: 74000000 01320223 04055661 6c756500 t....2.#..Value. 50e00: 00000132 02230605 496e6465 78000000 ...2.#..Index... 50e10: 01320223 08054c65 6e677468 00000001 .2.#..Length.... 50e20: 3202230a 00060000 01320100 0001c907 2.#......2...... 50e30: 00080000 01bd0600 00013201 000001da ..........2..... 50e40: 07000955 73624465 76696365 44657363 ...UsbDeviceDesc 50e50: 72697074 6f720000 0001c905 03004e01 riptor........N. 50e60: 00010600 00013201 00000206 07000800 ......2......... 50e70: 0001fa06 00000132 01000002 17070009 .......2........ 50e80: 75384853 436f6e66 69674465 73637269 u8HSConfigDescri 50e90: 70746f72 30310000 00020605 03004e01 ptor01........N. 50ea0: 20010600 00013201 00000246 07000800 .....2....F.... 50eb0: 00023a06 00000132 01000002 57070009 ..:....2....W... 50ec0: 75384653 436f6e66 69674465 73637269 u8FSConfigDescri 50ed0: 70746f72 30310000 00024605 03004e01 ptor01....F...N. 50ee0: 60010600 00013201 00000287 0a040009 `.....2......... 50ef0: 75384465 76696365 5175616c 69666965 u8DeviceQualifie 50f00: 72446573 63726970 746f7245 58000000 rDescriptorEX... 50f10: 027a0503 00500770 01060000 01320100 .z...P.p.....2.. 50f20: 0002be0a 1d000975 384f7468 65725370 .......u8OtherSp 50f30: 65656443 6f6e6669 67446573 63726970 eedConfigDescrip 50f40: 746f7245 58000000 02b10503 00500780 torEX........P.. 50f50: 01060000 01320100 0002f507 00080000 .....2.......... 50f60: 02e90600 00013201 00000306 07000953 ......2........S 50f70: 7472696e 67303044 65736372 6970746f tring00Descripto 50f80: 72000000 02f50503 004e01a0 01060000 r........N...... 50f90: 01320100 00033107 00080000 03250600 .2....1......%.. 50fa0: 00013201 00000342 07000953 7472696e ..2....B...Strin 50fb0: 67313044 65736372 6970746f 72000000 g10Descriptor... 50fc0: 03310503 004e01b0 01060000 01320100 .1...N.......2.. 50fd0: 00036d07 00080000 03610600 00013201 ..m......a....2. 50fe0: 0000037e 07000953 7472696e 67323044 ...~...String20D 50ff0: 65736372 6970746f 72000000 036d0503 escriptor....m.. 51000: 004e01c0 01060000 01320100 0003a907 .N.......2...... 51010: 00080000 039d0600 00013201 000003ba ..........2..... 51020: 07000953 7472696e 67333044 65736372 ...String30Descr 51030: 6970746f 72000000 03a90503 004e01e0 iptor........N.. 51040: 01000000 00499200 0200001e 1a04012f .....I........./ 51050: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 51060: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 51070: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 51080: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 51090: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 510a0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 510b0: 2f757362 2f737263 2f757362 5f666966 /usb/src/usb_fif 510c0: 6f2e6300 2f726f6f 742f576f 726b7370 o.c./root/Worksp 510d0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 510e0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 510f0: 5f312f72 6f6d2f68 69662f75 73620078 _1/rom/hif/usb.x 51100: 742d7863 6320666f 7220372e 312e3020 t-xcc for 7.1.0 51110: 2d4f5054 3a616c69 676e5f69 6e737472 -OPT:align_instr 51120: 75637469 6f6e733d 3332202d 4f32202d uctions=32 -O2 - 51130: 6733202d 4f50543a 73706163 65000100 g3 -OPT:space... 51140: 00012545 02756e73 69676e65 64206368 ..%E.unsigned ch 51150: 61720007 01037569 6e74385f 74000000 ar....uint8_t... 51160: 01010273 686f7274 20756e73 69676e65 ...short unsigne 51170: 6420696e 74000702 0375696e 7431365f d int....uint16_ 51180: 74000000 011f0453 65747570 5f506163 t......Setup_Pac 51190: 6b657400 0c000001 c0054469 72656374 ket.......Direct 511a0: 696f6e00 00000112 02230005 54797065 ion......#..Type 511b0: 00000001 12022301 054f626a 65637400 ......#..Object. 511c0: 00000112 02230205 52657175 65737400 .....#..Request. 511d0: 00000135 02230405 56616c75 65000000 ...5.#..Value... 511e0: 01350223 0605496e 64657800 00000135 .5.#..Index....5 511f0: 02230805 4c656e67 74680000 00013502 .#..Length....5. 51200: 230a0006 01070000 01c00400 02696e74 #............int 51210: 00050402 63686172 00070108 000001d0 ....char........ 51220: 08000001 d0070000 01dd0400 09000001 ................ 51230: c9010700 0001e904 00047072 696e7466 ..........printf 51240: 5f617069 00080000 022d055f 7072696e _api.....-._prin 51250: 74665f69 6e697400 000001c2 02230005 tf_init......#.. 51260: 5f707269 6e746600 000001ef 02230400 _printf......#.. 51270: 026c6f6e 6720756e 7369676e 65642069 .long unsigned i 51280: 6e740007 04037569 6e743332 5f740000 nt....uint32_t.. 51290: 00022d04 75617274 5f666966 6f000800 ..-.uart_fifo... 512a0: 00029b05 73746172 745f696e 64657800 ....start_index. 512b0: 00000135 02230005 656e645f 696e6465 ...5.#..end_inde 512c0: 78000000 01350223 02056f76 65727275 x....5.#..overru 512d0: 6e5f6572 72000000 02420223 04000475 n_err....B.#...u 512e0: 6172745f 61706900 20000003 54055f75 art_api. ...T._u 512f0: 6172745f 696e6974 00000003 ab022300 art_init......#. 51300: 055f7561 72745f63 6861725f 70757400 ._uart_char_put. 51310: 000003b4 02230405 5f756172 745f6368 .....#.._uart_ch 51320: 61725f67 65740000 0003c802 2308055f ar_get......#.._ 51330: 75617274 5f737472 5f6f7574 00000003 uart_str_out.... 51340: d102230c 055f7561 72745f74 61736b00 ..#.._uart_task. 51350: 000001c2 02231005 5f756172 745f7374 .....#.._uart_st 51360: 61747573 00000003 ab022314 055f7561 atus......#.._ua 51370: 72745f63 6f6e6669 67000000 03da0223 rt_config......# 51380: 18055f75 6172745f 6877696e 69740000 .._uart_hwinit.. 51390: 0003e302 231c0007 0000029b 04000475 ....#..........u 513a0: 6172745f 626c6b00 10000003 a5056465 art_blk.......de 513b0: 6275675f 6d6f6465 00000001 35022300 bug_mode....5.#. 513c0: 05626175 64000000 01350223 02055f75 .baud....5.#.._u 513d0: 61727400 00000354 02230405 5f747800 art....T.#.._tx. 513e0: 00000250 02230800 09000002 42010700 ...P.#......B... 513f0: 0003a504 00060107 000003b2 04000700 ................ 51400: 00011204 00090000 01350107 000003c2 .........5...... 51410: 04000601 07000003 cf040006 01070000 ................ 51420: 03d80400 06010700 0003e104 00070000 ................ 51430: 01d00400 09000001 c9010700 0003f104 ................ 51440: 00044442 5f434f4d 4d414e44 5f535452 ..DB_COMMAND_STR 51450: 55435400 0c000004 4905636d 645f7374 UCT.....I.cmd_st 51460: 72000000 03ea0223 00056865 6c705f73 r......#..help_s 51470: 74720000 0003ea02 23040563 6d645f66 tr......#..cmd_f 51480: 756e6300 000003f7 02230800 04646267 unc......#...dbg 51490: 5f617069 00080000 047c055f 6462675f _api.....|._dbg_ 514a0: 696e6974 00000001 c2022300 055f6462 init......#.._db 514b0: 675f7461 736b0000 0001c202 2304000a g_task......#... 514c0: 04000275 6e736967 6e656420 696e7400 ...unsigned int. 514d0: 07040900 00047c01 07000004 8f04000b ......|......... 514e0: 0b070000 049d0400 09000004 7c010700 ............|... 514f0: 0004a504 00090000 01c90107 000004b2 ................ 51500: 0400046d 656d5f61 70690014 00000521 ...mem_api.....! 51510: 055f6d65 6d5f696e 69740000 0001c202 ._mem_init...... 51520: 2300055f 6d656d73 65740000 00049502 #.._memset...... 51530: 2304055f 6d656d63 70790000 0004ab02 #.._memcpy...... 51540: 2308055f 6d656d6d 6f766500 000004ab #.._memmove..... 51550: 02230c05 5f6d656d 636d7000 000004b8 .#.._memcmp..... 51560: 02231000 0c726567 69737465 725f6475 .#...register_du 51570: 6d705f73 00000107 00000521 04000601 mp_s.......!.... 51580: 07000005 3b040006 01070000 05440400 ....;........D.. 51590: 09000001 c9010700 00054d04 000d686f ..........M...ho 515a0: 73746966 5f730004 000005a9 0e484946 stif_s.......HIF 515b0: 5f555342 00000e48 49465f50 43494500 _USB...HIF_PCIE. 515c0: 010e4849 465f474d 41430002 0e484946 ..HIF_GMAC...HIF 515d0: 5f504349 00030e48 49465f4e 554d0004 _PCI...HIF_NUM.. 515e0: 0e484946 5f4e4f4e 45000500 03415f48 .HIF_NONE....A_H 515f0: 4f535449 46000000 055a0900 0005a901 OSTIF....Z...... 51600: 07000005 b7040009 00000112 01070000 ................ 51610: 05c40400 09000001 35010700 0005d104 ........5....... 51620: 00046d69 73635f61 70690024 000006c1 ..misc_api.$.... 51630: 055f7379 7374656d 5f726573 65740000 ._system_reset.. 51640: 0001c202 2300055f 6d61635f 72657365 ....#.._mac_rese 51650: 74000000 01c20223 04055f61 73736661 t......#.._assfa 51660: 696c0000 00053d02 2308055f 6d697361 il....=.#.._misa 51670: 6c69676e 65645f6c 6f61645f 68616e64 ligned_load_hand 51680: 6c657200 0000053d 02230c05 5f726570 ler....=.#.._rep 51690: 6f72745f 6661696c 7572655f 746f5f68 ort_failure_to_h 516a0: 6f737400 00000546 02231005 5f746172 ost....F.#.._tar 516b0: 6765745f 69645f67 65740000 00055302 get_id_get....S. 516c0: 2314055f 69735f68 6f73745f 70726573 #.._is_host_pres 516d0: 656e7400 000005bd 02231805 5f6b6268 ent......#.._kbh 516e0: 69740000 0005ca02 231c055f 726f6d5f it......#.._rom_ 516f0: 76657273 696f6e5f 67657400 000005d7 version_get..... 51700: 02232000 09000003 ea010700 0006c104 .# ............. 51710: 00090000 03ea0107 000006ce 04000900 ................ 51720: 0001c901 07000006 db040009 000001c9 ................ 51730: 01070000 06e80400 09000001 c9010700 ................ 51740: 0006f504 00047374 72696e67 5f617069 ......string_api 51750: 00180000 077b055f 73747269 6e675f69 .....{._string_i 51760: 6e697400 000001c2 02230005 5f737472 nit......#.._str 51770: 63707900 000006c7 02230405 5f737472 cpy......#.._str 51780: 6e637079 00000006 d4022308 055f7374 ncpy......#.._st 51790: 726c656e 00000006 e102230c 055f7374 rlen......#.._st 517a0: 72636d70 00000006 ee022310 055f7374 rcmp......#.._st 517b0: 726e636d 70000000 06fb0223 14000f00 rncmp......#.... 517c0: 00047f14 00000788 10040003 5f415f54 ............_A_T 517d0: 494d4552 5f535041 43450000 00077b03 IMER_SPACE....{. 517e0: 415f7469 6d65725f 74000000 07880700 A_timer_t....... 517f0: 00079c04 00060107 000007b2 04000601 ................ 51800: 07000007 bb040003 415f4841 4e444c45 ........A_HANDLE 51810: 00000004 7f060103 415f5449 4d45525f ........A_TIMER_ 51820: 46554e43 00000007 d2070000 07d40400 FUNC............ 51830: 06010700 0007ed04 00047469 6d65725f ..........timer_ 51840: 61706900 14000008 6c055f74 696d6572 api.....l._timer 51850: 5f696e69 74000000 01c20223 00055f74 _init......#.._t 51860: 696d6572 5f61726d 00000007 b4022304 imer_arm......#. 51870: 055f7469 6d65725f 64697361 726d0000 ._timer_disarm.. 51880: 0007bd02 2308055f 74696d65 725f7365 ....#.._timer_se 51890: 74666e00 000007ef 02230c05 5f74696d tfn......#.._tim 518a0: 65725f72 756e0000 0001c202 23100003 er_run......#... 518b0: 424f4f4c 45414e00 00000135 09000008 BOOLEAN....5.... 518c0: 6c010700 00087904 00090000 086c0107 l.....y......l.. 518d0: 00000886 04000900 00086c01 07000008 ..........l..... 518e0: 93040004 726f6d70 5f617069 00100000 ....romp_api.... 518f0: 0905055f 726f6d70 5f696e69 74000000 ..._romp_init... 51900: 01c20223 00055f72 6f6d705f 646f776e ...#.._romp_down 51910: 6c6f6164 00000008 7f022304 055f726f load......#.._ro 51920: 6d705f69 6e737461 6c6c0000 00088c02 mp_install...... 51930: 2308055f 726f6d70 5f646563 6f646500 #.._romp_decode. 51940: 00000899 02230c00 04726f6d 5f706174 .....#...rom_pat 51950: 63685f73 74001000 00096105 63726331 ch_st.....a.crc1 51960: 36000000 01350223 00056c65 6e000000 6....5.#..len... 51970: 01350223 02056c64 5f616464 72000000 .5.#..ld_addr... 51980: 02420223 04056675 6e5f6164 64720000 .B.#..fun_addr.. 51990: 00024202 23080570 66756e00 000003bb ..B.#..pfun..... 519a0: 02230c00 04656570 5f726564 69725f61 .#...eep_redir_a 519b0: 64647200 04000009 93056f66 66736574 ddr.......offset 519c0: 00000001 35022300 0573697a 65000000 ....5.#..size... 519d0: 01350223 02000341 5f55494e 54333200 .5.#...A_UINT32. 519e0: 0000047f 09000004 7c010700 0009a104 ........|....... 519f0: 0004616c 6c6f6372 616d5f61 7069000c ..allocram_api.. 51a00: 00000a12 05636d6e 6f735f61 6c6c6f63 .....cmnos_alloc 51a10: 72616d5f 696e6974 00000009 a7022300 ram_init......#. 51a20: 05636d6e 6f735f61 6c6c6f63 72616d00 .cmnos_allocram. 51a30: 000009a7 02230405 636d6e6f 735f616c .....#..cmnos_al 51a40: 6c6f6372 616d5f64 65627567 00000001 locram_debug.... 51a50: c2022308 00060107 00000a12 04000341 ..#............A 51a60: 5f544153 4b4c4554 5f46554e 43000000 _TASKLET_FUNC... 51a70: 0a14045f 7461736b 6c657400 1000000a ..._tasklet..... 51a80: 73056675 6e630000 000a1b02 23000561 s.func......#..a 51a90: 72670000 00047c02 23040573 74617465 rg....|.#..state 51aa0: 00000001 c9022308 056e6578 74000000 ......#..next... 51ab0: 0a730223 0c000700 000a2f04 00070000 .s.#....../..... 51ac0: 0a2f0400 03415f74 61736b6c 65745f74 ./...A_tasklet_t 51ad0: 0000000a 2f070000 0a810400 06010700 ..../........... 51ae0: 000a9904 00060107 00000aa2 04000474 ...............t 51af0: 61736b6c 65745f61 70690014 00000b37 asklet_api.....7 51b00: 055f7461 736b6c65 745f696e 69740000 ._tasklet_init.. 51b10: 0001c202 2300055f 7461736b 6c65745f ....#.._tasklet_ 51b20: 696e6974 5f746173 6b000000 0a9b0223 init_task......# 51b30: 04055f74 61736b6c 65745f64 69736162 .._tasklet_disab 51b40: 6c650000 000aa402 2308055f 7461736b le......#.._task 51b50: 6c65745f 73636865 64756c65 0000000a let_schedule.... 51b60: a402230c 055f7461 736b6c65 745f7275 ..#.._tasklet_ru 51b70: 6e000000 01c20223 10000601 0700000b n......#........ 51b80: 37040009 00000993 01070000 0b400400 7............@.. 51b90: 06010700 000b4d04 0004636c 6f636b5f ......M...clock_ 51ba0: 61706900 2400000c 2f055f63 6c6f636b api.$.../._clock 51bb0: 5f696e69 74000000 0b390223 00055f63 _init....9.#.._c 51bc0: 6c6f636b 72656773 5f696e69 74000000 lockregs_init... 51bd0: 01c20223 04055f75 6172745f 66726571 ...#.._uart_freq 51be0: 75656e63 79000000 0b460223 08055f64 uency....F.#.._d 51bf0: 656c6179 5f757300 00000b4f 02230c05 elay_us....O.#.. 51c00: 5f776c61 6e5f6261 6e645f73 65740000 _wlan_band_set.. 51c10: 000b4f02 2310055f 72656663 6c6b5f73 ..O.#.._refclk_s 51c20: 70656564 5f676574 0000000b 46022314 peed_get....F.#. 51c30: 055f6d69 6c6c6973 65636f6e 64730000 ._milliseconds.. 51c40: 000b4602 2318055f 73797363 6c6b5f63 ..F.#.._sysclk_c 51c50: 68616e67 65000000 01c20223 1c055f63 hange......#.._c 51c60: 6c6f636b 5f746963 6b000000 01c20223 lock_tick......# 51c70: 20000900 00024201 0700000c 2f040003 .....B...../... 51c80: 415f6f6c 645f696e 74725f74 00000002 A_old_intr_t.... 51c90: 42090000 0c3c0107 00000c4e 04000601 B....<.....N.... 51ca0: 0700000c 5b040006 01070000 0c640400 ....[........d.. 51cb0: 09000002 42010700 000c6d04 0003415f ....B.....m...A_ 51cc0: 6973725f 74000000 0c730601 0700000c isr_t....s...... 51cd0: 87040009 0000047f 01070000 0c900400 ................ 51ce0: 06010700 000c9d04 0004696e 74725f61 ..........intr_a 51cf0: 7069002c 00000dbf 055f696e 74725f69 pi.,....._intr_i 51d00: 6e697400 000001c2 02230005 5f696e74 nit......#.._int 51d10: 725f696e 766f6b65 5f697372 0000000c r_invoke_isr.... 51d20: 35022304 055f696e 74725f64 69736162 5.#.._intr_disab 51d30: 6c650000 000c5402 2308055f 696e7472 le....T.#.._intr 51d40: 5f726573 746f7265 0000000c 5d02230c _restore....].#. 51d50: 055f696e 74725f6d 61736b5f 696e756d ._intr_mask_inum 51d60: 0000000c 66022310 055f696e 74725f75 ....f.#.._intr_u 51d70: 6e6d6173 6b5f696e 756d0000 000c6602 nmask_inum....f. 51d80: 2314055f 696e7472 5f617474 6163685f #.._intr_attach_ 51d90: 69737200 00000c89 02231805 5f676574 isr......#.._get 51da0: 5f696e74 72656e61 626c6500 00000c96 _intrenable..... 51db0: 02231c05 5f736574 5f696e74 72656e61 .#.._set_intrena 51dc0: 626c6500 00000c9f 02232005 5f676574 ble......# ._get 51dd0: 5f696e74 7270656e 64696e67 0000000c _intrpending.... 51de0: 96022324 055f756e 626c6f63 6b5f616c ..#$._unblock_al 51df0: 6c5f696e 74726c76 6c000000 01c20223 l_intrlvl......# 51e00: 28001104 00000de5 0574696d 656f7574 (........timeout 51e10: 00000002 42022300 05616374 696f6e00 ....B.#..action. 51e20: 00000242 02230000 12080000 0e000563 ...B.#.........c 51e30: 6d640000 00024202 23001300 000dbf02 md....B.#....... 51e40: 23040003 545f5744 545f434d 44000000 #...T_WDT_CMD... 51e50: 0de50601 0700000e 0f040014 0400000e ................ 51e60: 650e454e 554d5f57 44545f42 4f4f5400 e.ENUM_WDT_BOOT. 51e70: 010e454e 554d5f43 4f4c445f 424f4f54 ..ENUM_COLD_BOOT 51e80: 00020e45 4e554d5f 53555350 5f424f4f ...ENUM_SUSP_BOO 51e90: 5400030e 454e554d 5f554e4b 4e4f574e T...ENUM_UNKNOWN 51ea0: 5f424f4f 54000400 03545f42 4f4f545f _BOOT....T_BOOT_ 51eb0: 54595045 0000000e 18090000 0e650107 TYPE.........e.. 51ec0: 00000e76 04000477 64745f61 7069001c ...v...wdt_api.. 51ed0: 00000f1a 055f7764 745f696e 69740000 ....._wdt_init.. 51ee0: 0001c202 2300055f 7764745f 656e6162 ....#.._wdt_enab 51ef0: 6c650000 0001c202 2304055f 7764745f le......#.._wdt_ 51f00: 64697361 626c6500 000001c2 02230805 disable......#.. 51f10: 5f776474 5f736574 0000000e 1102230c _wdt_set......#. 51f20: 055f7764 745f7461 736b0000 0001c202 ._wdt_task...... 51f30: 2310055f 7764745f 72657365 74000000 #.._wdt_reset... 51f40: 01c20223 14055f77 64745f6c 6173745f ...#.._wdt_last_ 51f50: 626f6f74 0000000e 7c022318 00140400 boot....|.#..... 51f60: 000f810e 5245545f 53554343 45535300 ....RET_SUCCESS. 51f70: 000e5245 545f4e4f 545f494e 49540001 ..RET_NOT_INIT.. 51f80: 0e524554 5f4e4f54 5f455849 53540002 .RET_NOT_EXIST.. 51f90: 0e524554 5f454550 5f434f52 52555054 .RET_EEP_CORRUPT 51fa0: 00030e52 45545f45 45505f4f 56455246 ...RET_EEP_OVERF 51fb0: 4c4f5700 040e5245 545f554e 4b4e4f57 LOW...RET_UNKNOW 51fc0: 4e000500 03545f45 45505f52 45540000 N....T_EEP_RET.. 51fd0: 000f1a07 00000135 04000900 000f8101 .......5........ 51fe0: 0700000f 97040009 00000f81 01070000 ................ 51ff0: 0fa40400 04656570 5f617069 00100000 .....eep_api.... 52000: 100d055f 6565705f 696e6974 00000001 ..._eep_init.... 52010: c2022300 055f6565 705f7265 61640000 ..#.._eep_read.. 52020: 000f9d02 2304055f 6565705f 77726974 ....#.._eep_writ 52030: 65000000 0f9d0223 08055f65 65705f69 e......#.._eep_i 52040: 735f6578 69737400 00000faa 02230c00 s_exist......#.. 52050: 04757362 5f617069 00700000 12ba055f .usb_api.p....._ 52060: 7573625f 696e6974 00000001 c2022300 usb_init......#. 52070: 055f7573 625f726f 6d5f7461 736b0000 ._usb_rom_task.. 52080: 0001c202 2304055f 7573625f 66775f74 ....#.._usb_fw_t 52090: 61736b00 000001c2 02230805 5f757362 ask......#.._usb 520a0: 5f696e69 745f7068 79000000 01c20223 _init_phy......# 520b0: 0c055f75 73625f65 70305f73 65747570 .._usb_ep0_setup 520c0: 00000001 c2022310 055f7573 625f6570 ......#.._usb_ep 520d0: 305f7478 00000001 c2022314 055f7573 0_tx......#.._us 520e0: 625f6570 305f7278 00000001 c2022318 b_ep0_rx......#. 520f0: 055f7573 625f6765 745f696e 74657266 ._usb_get_interf 52100: 61636500 0000088c 02231c05 5f757362 ace......#.._usb 52110: 5f736574 5f696e74 65726661 63650000 _set_interface.. 52120: 00088c02 2320055f 7573625f 6765745f ....# ._usb_get_ 52130: 636f6e66 69677572 6174696f 6e000000 configuration... 52140: 088c0223 24055f75 73625f73 65745f63 ...#$._usb_set_c 52150: 6f6e6669 67757261 74696f6e 00000008 onfiguration.... 52160: 8c022328 055f7573 625f7374 616e6461 ..#(._usb_standa 52170: 72645f63 6d640000 00088c02 232c055f rd_cmd......#,._ 52180: 7573625f 76656e64 6f725f63 6d640000 usb_vendor_cmd.. 52190: 0001c202 2330055f 7573625f 706f7765 ....#0._usb_powe 521a0: 725f6f66 66000000 01c20223 34055f75 r_off......#4._u 521b0: 73625f72 65736574 5f666966 6f000000 sb_reset_fifo... 521c0: 01c20223 38055f75 73625f67 656e5f77 ...#8._usb_gen_w 521d0: 64740000 0001c202 233c055f 7573625f dt......#<._usb_ 521e0: 6a756d70 5f626f6f 74000000 01c20223 jump_boot......# 521f0: 40055f75 73625f63 6c725f66 65617475 @._usb_clr_featu 52200: 72650000 00088c02 2344055f 7573625f re......#D._usb_ 52210: 7365745f 66656174 75726500 0000088c set_feature..... 52220: 02234805 5f757362 5f736574 5f616464 .#H._usb_set_add 52230: 72657373 00000008 8c02234c 055f7573 ress......#L._us 52240: 625f6765 745f6465 73637269 70746f72 b_get_descriptor 52250: 00000008 8c022350 055f7573 625f6765 ......#P._usb_ge 52260: 745f7374 61747573 00000008 8c022354 t_status......#T 52270: 055f7573 625f7365 7475705f 64657363 ._usb_setup_desc 52280: 00000001 c2022358 055f7573 625f7265 ......#X._usb_re 52290: 675f6f75 74000000 01c20223 5c055f75 g_out......#\._u 522a0: 73625f73 74617475 735f696e 00000001 sb_status_in.... 522b0: c2022360 055f7573 625f6570 305f7478 ..#`._usb_ep0_tx 522c0: 5f646174 61000000 01c20223 64055f75 _data......#d._u 522d0: 73625f65 70305f72 785f6461 74610000 sb_ep0_rx_data.. 522e0: 0001c202 2368055f 7573625f 636c6b5f ....#h._usb_clk_ 522f0: 696e6974 00000001 c202236c 00045f56 init......#l.._V 52300: 44455343 00240000 1346056e 6578745f DESC.$...F.next_ 52310: 64657363 00000013 46022300 05627566 desc....F.#..buf 52320: 5f616464 72000000 135a0223 04056275 _addr....Z.#..bu 52330: 665f7369 7a650000 00136102 23080564 f_size....a.#..d 52340: 6174615f 6f666673 65740000 00136102 ata_offset....a. 52350: 230a0564 6174615f 73697a65 00000013 #..data_size.... 52360: 6102230c 05636f6e 74726f6c 00000013 a.#..control.... 52370: 6102230e 0568775f 64657363 5f627566 a.#..hw_desc_buf 52380: 00000013 6f022310 00070000 12ba0400 ....o.#......... 52390: 03415f55 494e5438 00000001 01070000 .A_UINT8........ 523a0: 134d0400 03415f55 494e5431 36000000 .M...A_UINT16... 523b0: 011f0f00 00134d14 0000137c 10130007 ......M....|.... 523c0: 000012ba 04000356 44455343 00000012 .......VDESC.... 523d0: ba070000 13830400 09000013 8e010700 ................ 523e0: 00139504 00090000 135a0107 000013a2 .........Z...... 523f0: 04000601 07000013 af040004 76646573 ............vdes 52400: 635f6170 69001400 00142705 5f696e69 c_api.....'._ini 52410: 74000000 0b4f0223 00055f61 6c6c6f63 t....O.#.._alloc 52420: 5f766465 73630000 00139b02 2304055f _vdesc......#.._ 52430: 6765745f 68775f64 65736300 000013a8 get_hw_desc..... 52440: 02230805 5f737761 705f7664 65736300 .#.._swap_vdesc. 52450: 000013b1 02230c05 70526573 65727665 .....#..pReserve 52460: 64000000 047c0223 1000045f 56425546 d....|.#..._VBUF 52470: 00200000 14870564 6573635f 6c697374 . .....desc_list 52480: 00000013 8e022300 056e6578 745f6275 ......#..next_bu 52490: 66000000 14870223 04056275 665f6c65 f......#..buf_le 524a0: 6e677468 00000013 61022308 05726573 ngth....a.#..res 524b0: 65727665 64000000 148e0223 0a056374 erved......#..ct 524c0: 78000000 136f0223 0c000700 00142704 x....o.#......'. 524d0: 000f0000 134d0200 00149b10 01000700 .....M.......... 524e0: 00142704 00035642 55460000 00142707 ..'...VBUF....'. 524f0: 000014a2 04000900 0014ac01 07000014 ................ 52500: b3040009 000014ac 01070000 14c00400 ................ 52510: 06010700 0014cd04 00047662 75665f61 ..........vbuf_a 52520: 70690014 0000154b 055f696e 69740000 pi.....K._init.. 52530: 000b4f02 2300055f 616c6c6f 635f7662 ..O.#.._alloc_vb 52540: 75660000 0014b902 2304055f 616c6c6f uf......#.._allo 52550: 635f7662 75665f77 6974685f 73697a65 c_vbuf_with_size 52560: 00000014 c6022308 055f6672 65655f76 ......#.._free_v 52570: 62756600 000014cf 02230c05 70526573 buf......#..pRes 52580: 65727665 64000000 047c0223 1000045f erved....|.#..._ 52590: 5f616466 5f646576 69636500 04000015 _adf_device..... 525a0: 6d056475 6d6d7900 000001c9 02230000 m.dummy......#.. 525b0: 07000009 93040004 5f5f6164 665f646d ........__adf_dm 525c0: 615f6d61 70000c00 0015b405 62756600 a_map.......buf. 525d0: 000014ac 02230005 64735f61 64647200 .....#..ds_addr. 525e0: 0000156d 02230405 64735f6c 656e0000 ...m.#..ds_len.. 525f0: 00136102 23080012 0c000015 ee055f5f ..a.#.........__ 52600: 76615f73 746b0000 0003ea02 2300055f va_stk......#.._ 52610: 5f76615f 72656700 000003ea 02230405 _va_reg......#.. 52620: 5f5f7661 5f6e6478 00000001 c9022308 __va_ndx......#. 52630: 00035f5f 6164665f 6f735f64 6d615f61 ..__adf_os_dma_a 52640: 6464725f 74000000 09930361 64665f6f ddr_t......adf_o 52650: 735f646d 615f6164 64725f74 00000015 s_dma_addr_t.... 52660: ee035f5f 6164665f 6f735f64 6d615f73 ..__adf_os_dma_s 52670: 697a655f 74000000 09930361 64665f6f ize_t......adf_o 52680: 735f646d 615f7369 7a655f74 00000016 s_dma_size_t.... 52690: 1e045f5f 646d615f 73656773 00080000 ..__dma_segs.... 526a0: 167a0570 61646472 00000016 07022300 .z.paddr......#. 526b0: 056c656e 00000016 37022304 00035f5f .len....7.#...__ 526c0: 615f7569 6e743332 5f740000 00099303 a_uint32_t...... 526d0: 615f7569 6e743332 5f740000 00167a0f a_uint32_t....z. 526e0: 0000164e 08000016 a9100000 04616466 ...N.........adf 526f0: 5f6f735f 646d616d 61705f69 6e666f00 _os_dmamap_info. 52700: 0c000016 e2056e73 65677300 0000168c ......nsegs..... 52710: 02230005 646d615f 73656773 00000016 .#..dma_segs.... 52720: 9c022304 00035f5f 615f7569 6e74385f ..#...__a_uint8_ 52730: 74000000 134d0361 5f75696e 74385f74 t....M.a_uint8_t 52740: 00000016 e2070000 16f30400 045f5f73 .............__s 52750: 675f7365 67730008 00001734 05766164 g_segs.....4.vad 52760: 64720000 00170202 2300056c 656e0000 dr......#..len.. 52770: 00168c02 2304000f 00001709 20000017 ....#....... ... 52780: 41100300 04616466 5f6f735f 73676c69 A....adf_os_sgli 52790: 73740024 00001774 056e7365 67730000 st.$...t.nsegs.. 527a0: 00168c02 23000573 675f7365 67730000 ....#..sg_segs.. 527b0: 00173402 23040012 10000017 bd057665 ..4.#.........ve 527c0: 6e646f72 00000016 8c022300 05646576 ndor......#..dev 527d0: 69636500 0000168c 02230405 73756276 ice......#..subv 527e0: 656e646f 72000000 168c0223 08057375 endor......#..su 527f0: 62646576 69636500 0000168c 02230c00 bdevice......#.. 52800: 026c6f6e 67206c6f 6e672075 6e736967 .long long unsig 52810: 6e656420 696e7400 07080341 5f55494e ned int....A_UIN 52820: 54363400 000017bd 035f5f61 5f75696e T64......__a_uin 52830: 7436345f 74000000 17d70361 5f75696e t64_t......a_uin 52840: 7436345f 74000000 17e51404 00001843 t64_t..........C 52850: 0e414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 52860: 5f545950 455f4d45 4d00000e 4144465f _TYPE_MEM...ADF_ 52870: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 52880: 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re 52890: 736f7572 63655f74 7970655f 74000000 source_type_t... 528a0: 18071218 0000188d 05737461 72740000 .........start.. 528b0: 0017f702 23000565 6e640000 0017f702 ....#..end...... 528c0: 23080574 79706500 00001843 02231000 #..type....C.#.. 528d0: 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ 528e0: 69645f74 00000017 74070000 188d0400 id_t....t....... 528f0: 11040000 18cc0570 63690000 0018a602 .......pci...... 52900: 23000572 61770000 00047c02 23000011 #..raw....|.#... 52910: 10000018 eb057063 69000000 188d0223 ......pci......# 52920: 00057261 77000000 047c0223 00000361 ..raw....|.#...a 52930: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. 52940: 0000047c 03616466 5f6f735f 7265736f ...|.adf_os_reso 52950: 75726365 5f740000 00185f07 00001901 urce_t...._..... 52960: 04000361 64665f6f 735f6174 74616368 ...adf_os_attach 52970: 5f646174 615f7400 000018cc 07000019 _data_t......... 52980: 1f040007 0000154b 0400035f 5f616466 .......K...__adf 52990: 5f6f735f 64657669 63655f74 00000019 _os_device_t.... 529a0: 40036164 665f6f73 5f646576 6963655f @.adf_os_device_ 529b0: 74000000 19470900 0018eb01 07000019 t....G.......... 529c0: 73040006 01070000 19800400 03616466 s............adf 529d0: 5f6f735f 706d5f74 00000004 7c060107 _os_pm_t....|... 529e0: 0000199a 04001404 000019da 0e414446 .............ADF 529f0: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI 52a00: 00010e41 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY 52a10: 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad 52a20: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. 52a30: 000019a3 03616466 5f6f735f 6275735f .....adf_os_bus_ 52a40: 7265675f 64617461 5f740000 0018ad07 reg_data_t...... 52a50: 00000101 0400045f 6164665f 6472765f ......._adf_drv_ 52a60: 696e666f 00200000 1ab70564 72765f61 info. .....drv_a 52a70: 74746163 68000000 19790223 00056472 ttach....y.#..dr 52a80: 765f6465 74616368 00000019 82022304 v_detach......#. 52a90: 05647276 5f737573 70656e64 00000019 .drv_suspend.... 52aa0: 9c022308 05647276 5f726573 756d6500 ..#..drv_resume. 52ab0: 00001982 02230c05 6275735f 74797065 .....#..bus_type 52ac0: 00000019 da022310 05627573 5f646174 ......#..bus_dat 52ad0: 61000000 19f10223 14056d6f 645f6e61 a......#..mod_na 52ae0: 6d650000 001a0c02 23180569 666e616d me......#..ifnam 52af0: 65000000 1a0c0223 1c000361 64665f6f e......#...adf_o 52b00: 735f6861 6e646c65 5f740000 00047c07 s_handle_t....|. 52b10: 000016e2 04000601 0601035f 5f616466 ...........__adf 52b20: 5f6f735f 73697a65 5f740000 00047f14 _os_size_t...... 52b30: 0400001b 060e415f 46414c53 4500000e ......A_FALSE... 52b40: 415f5452 55450001 0003615f 626f6f6c A_TRUE....a_bool 52b50: 5f740000 001aec07 00001574 0400035f _t.........t..._ 52b60: 5f616466 5f6f735f 646d615f 6d61705f _adf_os_dma_map_ 52b70: 74000000 1b140601 0d616466 5f6f735f t........adf_os_ 52b80: 63616368 655f7379 6e630004 00001b9e cache_sync...... 52b90: 0e414446 5f53594e 435f5052 45524541 .ADF_SYNC_PREREA 52ba0: 4400000e 4144465f 53594e43 5f505245 D...ADF_SYNC_PRE 52bb0: 57524954 4500020e 4144465f 53594e43 WRITE...ADF_SYNC 52bc0: 5f504f53 54524541 4400010e 4144465f _POSTREAD...ADF_ 52bd0: 53594e43 5f504f53 54575249 54450003 SYNC_POSTWRITE.. 52be0: 00036164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 52bf0: 796e635f 74000000 1b350601 03616466 ync_t....5...adf 52c00: 5f6f735f 73697a65 5f740000 001ad709 _os_size_t...... 52c10: 00001bb9 01036164 665f6f73 5f646d61 ......adf_os_dma 52c20: 5f6d6170 5f740000 001b1b07 00001bd2 _map_t.......... 52c30: 04000900 00047c01 0700001b 1b040009 ......|......... 52c40: 0000047c 01060109 00001607 01060102 ...|............ 52c50: 73686f72 7420696e 74000502 03415f49 short int....A_I 52c60: 4e543136 0000001c 0c035f5f 615f696e NT16......__a_in 52c70: 7431365f 74000000 1c190361 5f696e74 t16_t......a_int 52c80: 31365f74 0000001c 26027369 676e6564 16_t....&.signed 52c90: 20636861 72000501 03415f49 4e543800 char....A_INT8. 52ca0: 00001c46 035f5f61 5f696e74 385f7400 ...F.__a_int8_t. 52cb0: 00001c55 03615f69 6e74385f 74000000 ...U.a_int8_t... 52cc0: 1c61120c 00001cd8 05737570 706f7274 .a.......support 52cd0: 65640000 00168c02 23000561 64766572 ed......#..adver 52ce0: 74697a65 64000000 168c0223 04057370 tized......#..sp 52cf0: 65656400 00001c37 02230805 6475706c eed....7.#..dupl 52d00: 65780000 001c7102 230a0561 75746f6e ex....q.#..auton 52d10: 65670000 0016f302 230b000f 000016f3 eg......#....... 52d20: 0600001c e5100500 04616466 5f6e6574 .........adf_net 52d30: 5f657468 61646472 00060000 1d090561 _ethaddr.......a 52d40: 64647200 00001cd8 02230000 035f5f61 ddr......#...__a 52d50: 5f75696e 7431365f 74000000 13610361 _uint16_t....a.a 52d60: 5f75696e 7431365f 74000000 1d09120e _uint16_t....... 52d70: 00001d6d 05657468 65725f64 686f7374 ...m.ether_dhost 52d80: 0000001c d8022300 05657468 65725f73 ......#..ether_s 52d90: 686f7374 0000001c d8022306 05657468 host......#..eth 52da0: 65725f74 79706500 00001d1b 02230c00 er_type......#.. 52db0: 12140000 1e2e1569 705f7665 7273696f .......ip_versio 52dc0: 6e000000 16f30100 04022300 1569705f n.........#..ip_ 52dd0: 686c0000 0016f301 04040223 00056970 hl.........#..ip 52de0: 5f746f73 00000016 f3022301 0569705f _tos......#..ip_ 52df0: 6c656e00 00001d1b 02230205 69705f69 len......#..ip_i 52e00: 64000000 1d1b0223 04056970 5f667261 d......#..ip_fra 52e10: 675f6f66 66000000 1d1b0223 06056970 g_off......#..ip 52e20: 5f74746c 00000016 f3022308 0569705f _ttl......#..ip_ 52e30: 70726f74 6f000000 16f30223 09056970 proto......#..ip 52e40: 5f636865 636b0000 001d1b02 230a0569 _check......#..i 52e50: 705f7361 64647200 0000168c 02230c05 p_saddr......#.. 52e60: 69705f64 61646472 00000016 8c022310 ip_daddr......#. 52e70: 00046164 665f6e65 745f766c 616e6864 ..adf_net_vlanhd 52e80: 72000400 001e8005 74706964 0000001d r.......tpid.... 52e90: 1b022300 15707269 6f000000 16f30100 ..#..prio....... 52ea0: 03022302 15636669 00000016 f3010301 ..#..cfi........ 52eb0: 02230215 76696400 00001d1b 02040c02 .#..vid......... 52ec0: 23020004 6164665f 6e65745f 76696400 #...adf_net_vid. 52ed0: 0200001e b1157265 73000000 16f30100 ......res....... 52ee0: 04022300 1576616c 0000001d 1b02040c ..#..val........ 52ef0: 02230000 120c0000 1eed0572 785f6275 .#.........rx_bu 52f00: 6673697a 65000000 168c0223 00057278 fsize......#..rx 52f10: 5f6e6465 73630000 00168c02 23040574 _ndesc......#..t 52f20: 785f6e64 65736300 0000168c 02230800 x_ndesc......#.. 52f30: 12080000 1f130570 6f6c6c65 64000000 .......polled... 52f40: 1b060223 0005706f 6c6c5f77 74000000 ...#..poll_wt... 52f50: 168c0223 04000f00 0016f340 00001f20 ...#.......@... 52f60: 103f0012 4600001f 48056966 5f6e616d .?..F...H.if_nam 52f70: 65000000 1f130223 00056465 765f6164 e......#..dev_ad 52f80: 64720000 001cd802 23400014 0400001f dr......#@...... 52f90: 7f0e4144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS 52fa0: 4b5f3332 42495400 000e4144 465f4f53 K_32BIT...ADF_OS 52fb0: 5f444d41 5f4d4153 4b5f3634 42495400 _DMA_MASK_64BIT. 52fc0: 01000361 64665f6f 735f646d 615f6d61 ...adf_os_dma_ma 52fd0: 736b5f74 0000001f 48046164 665f646d sk_t....H.adf_dm 52fe0: 615f696e 666f0008 00001fcc 05646d61 a_info.......dma 52ff0: 5f6d6173 6b000000 1f7f0223 00057367 _mask......#..sg 53000: 5f6e7365 67730000 00168c02 23040014 _nsegs......#... 53010: 04000020 220e4144 465f4e45 545f434b ... ".ADF_NET_CK 53020: 53554d5f 4e4f4e45 00000e41 44465f4e SUM_NONE...ADF_N 53030: 45545f43 4b53554d 5f544350 5f554450 ET_CKSUM_TCP_UDP 53040: 5f495076 3400010e 4144465f 4e45545f _IPv4...ADF_NET_ 53050: 434b5355 4d5f5443 505f5544 505f4950 CKSUM_TCP_UDP_IP 53060: 76360002 00036164 665f6e65 745f636b v6....adf_net_ck 53070: 73756d5f 74797065 5f740000 001fcc12 sum_type_t...... 53080: 08000020 65057478 5f636b73 756d0000 ... e.tx_cksum.. 53090: 00202202 23000572 785f636b 73756d00 . ".#..rx_cksum. 530a0: 00002022 02230400 03616466 5f6e6574 .. ".#...adf_net 530b0: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... 530c0: 203c1404 000020be 0e414446 5f4e4554 <.... ..ADF_NET 530d0: 5f54534f 5f4e4f4e 4500000e 4144465f _TSO_NONE...ADF_ 530e0: 4e45545f 54534f5f 49505634 00010e41 NET_TSO_IPV4...A 530f0: 44465f4e 45545f54 534f5f41 4c4c0002 DF_NET_TSO_ALL.. 53100: 00036164 665f6e65 745f7473 6f5f7479 ..adf_net_tso_ty 53110: 70655f74 00000020 7f121000 00211205 pe_t... .....!.. 53120: 636b7375 6d5f6361 70000000 20650223 cksum_cap... e.# 53130: 00057473 6f000000 20be0223 0805766c ..tso... ..#..vl 53140: 616e5f73 7570706f 72746564 00000016 an_supported.... 53150: f302230c 00122000 0021ab05 74785f70 ..#... ..!..tx_p 53160: 61636b65 74730000 00168c02 23000572 ackets......#..r 53170: 785f7061 636b6574 73000000 168c0223 x_packets......# 53180: 04057478 5f627974 65730000 00168c02 ..tx_bytes...... 53190: 23080572 785f6279 74657300 0000168c #..rx_bytes..... 531a0: 02230c05 74785f64 726f7070 65640000 .#..tx_dropped.. 531b0: 00168c02 23100572 785f6472 6f707065 ....#..rx_droppe 531c0: 64000000 168c0223 14057278 5f657272 d......#..rx_err 531d0: 6f727300 0000168c 02231805 74785f65 ors......#..tx_e 531e0: 72726f72 73000000 168c0223 1c000361 rrors......#...a 531f0: 64665f6e 65745f65 74686164 64725f74 df_net_ethaddr_t 53200: 0000001c e5160000 21ab0300 000021d0 ........!.....!. 53210: 107f0017 6164665f 6e65745f 636d645f ....adf_net_cmd_ 53220: 6d636164 64720003 04000022 07056e65 mcaddr....."..ne 53230: 6c656d00 0000168c 02230005 6d636173 lem......#..mcas 53240: 74000000 21c20223 04000361 64665f6e t...!..#...adf_n 53250: 65745f63 6d645f6c 696e6b5f 696e666f et_cmd_link_info 53260: 5f740000 001c7f03 6164665f 6e65745f _t......adf_net_ 53270: 636d645f 706f6c6c 5f696e66 6f5f7400 cmd_poll_info_t. 53280: 00001eed 03616466 5f6e6574 5f636d64 .....adf_net_cmd 53290: 5f636b73 756d5f69 6e666f5f 74000000 _cksum_info_t... 532a0: 20650361 64665f6e 65745f63 6d645f72 e.adf_net_cmd_r 532b0: 696e675f 696e666f 5f740000 001eb103 ing_info_t...... 532c0: 6164665f 6e65745f 636d645f 646d615f adf_net_cmd_dma_ 532d0: 696e666f 5f740000 001f9603 6164665f info_t......adf_ 532e0: 6e65745f 636d645f 7669645f 74000000 net_cmd_vid_t... 532f0: 1d1b0361 64665f6e 65745f63 6d645f6f ...adf_net_cmd_o 53300: 66666c6f 61645f63 61705f74 00000020 ffload_cap_t... 53310: d6036164 665f6e65 745f636d 645f7374 ..adf_net_cmd_st 53320: 6174735f 74000000 21120361 64665f6e ats_t...!..adf_n 53330: 65745f63 6d645f6d 63616464 725f7400 et_cmd_mcaddr_t. 53340: 000021d0 0d616466 5f6e6574 5f636d64 ..!..adf_net_cmd 53350: 5f6d6361 73745f63 61700004 00002349 _mcast_cap....#I 53360: 0e414446 5f4e4554 5f4d4341 53545f53 .ADF_NET_MCAST_S 53370: 55500000 0e414446 5f4e4554 5f4d4341 UP...ADF_NET_MCA 53380: 53545f4e 4f545355 50000100 03616466 ST_NOTSUP....adf 53390: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 533a0: 61705f74 00000023 01180304 0000241b ap_t...#......$. 533b0: 056c696e 6b5f696e 666f0000 00220702 .link_info...".. 533c0: 23000570 6f6c6c5f 696e666f 00000022 #..poll_info..." 533d0: 24022300 05636b73 756d5f69 6e666f00 $.#..cksum_info. 533e0: 00002241 02230005 72696e67 5f696e66 .."A.#..ring_inf 533f0: 6f000000 225f0223 0005646d 615f696e o..."_.#..dma_in 53400: 666f0000 00227c02 23000576 69640000 fo..."|.#..vid.. 53410: 00229802 2300056f 66666c6f 61645f63 ."..#..offload_c 53420: 61700000 0022af02 23000573 74617473 ap..."..#..stats 53430: 00000022 ce022300 056d6361 73745f69 ..."..#..mcast_i 53440: 6e666f00 000022e7 02230005 6d636173 nfo..."..#..mcas 53450: 745f6361 70000000 23490223 00001404 t_cap...#I.#.... 53460: 00002472 0e414446 5f4e4255 465f5258 ..$r.ADF_NBUF_RX 53470: 5f434b53 554d5f4e 4f4e4500 000e4144 _CKSUM_NONE...AD 53480: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 53490: 48570001 0e414446 5f4e4255 465f5258 HW...ADF_NBUF_RX 534a0: 5f434b53 554d5f55 4e4e4543 45535341 _CKSUM_UNNECESSA 534b0: 52590002 00036164 665f6e62 75665f72 RY....adf_nbuf_r 534c0: 785f636b 73756d5f 74797065 5f740000 x_cksum_type_t.. 534d0: 00241b12 08000024 b2057265 73756c74 .$.....$..result 534e0: 00000024 72022300 0576616c 00000016 ...$r.#..val.... 534f0: 8c022304 00120800 0024e205 74797065 ..#......$..type 53500: 00000020 be022300 056d7373 0000001d ... ..#..mss.... 53510: 1b022304 05686472 5f6f6666 00000016 ..#..hdr_off.... 53520: f3022306 00045f5f 6164665f 6e627566 ..#...__adf_nbuf 53530: 5f716865 6164000c 00002521 05686561 _qhead....%!.hea 53540: 64000000 14ac0223 00057461 696c0000 d......#..tail.. 53550: 0014ac02 23040571 6c656e00 0000168c ....#..qlen..... 53560: 02230800 035f5f61 64665f6e 6275665f .#...__adf_nbuf_ 53570: 74000000 14ac0700 00170204 00070000 t............... 53580: 168c0400 06010900 00138e01 09000016 ................ 53590: 8c010900 00170201 09000017 02010700 ................ 535a0: 00136f04 00035f5f 6164665f 6e627566 ..o...__adf_nbuf 535b0: 5f716865 61645f74 00000024 e2035f5f _qhead_t...$..__ 535c0: 6164665f 6e627566 5f717565 75655f74 adf_nbuf_queue_t 535d0: 00000025 62070000 257a0400 09000025 ...%b...%z.....% 535e0: 21010900 00252101 14040000 269a0e41 !....%!.....&..A 535f0: 5f535441 5455535f 4f4b0000 0e415f53 _STATUS_OK...A_S 53600: 54415455 535f4641 494c4544 00010e41 TATUS_FAILED...A 53610: 5f535441 5455535f 454e4f45 4e540002 _STATUS_ENOENT.. 53620: 0e415f53 54415455 535f454e 4f4d454d .A_STATUS_ENOMEM 53630: 00030e41 5f535441 5455535f 45494e56 ...A_STATUS_EINV 53640: 414c0004 0e415f53 54415455 535f4549 AL...A_STATUS_EI 53650: 4e50524f 47524553 5300050e 415f5354 NPROGRESS...A_ST 53660: 41545553 5f454e4f 54535550 5000060e ATUS_ENOTSUPP... 53670: 415f5354 41545553 5f454255 53590007 A_STATUS_EBUSY.. 53680: 0e415f53 54415455 535f4532 42494700 .A_STATUS_E2BIG. 53690: 080e415f 53544154 55535f45 41444452 ..A_STATUS_EADDR 536a0: 4e4f5441 5641494c 00090e41 5f535441 NOTAVAIL...A_STA 536b0: 5455535f 454e5849 4f000a0e 415f5354 TUS_ENXIO...A_ST 536c0: 41545553 5f454641 554c5400 0b0e415f ATUS_EFAULT...A_ 536d0: 53544154 55535f45 494f000c 0003615f STATUS_EIO....a_ 536e0: 73746174 75735f74 00000025 a5090000 status_t...%.... 536f0: 269a0109 000001c9 01060103 6164665f &...........adf_ 53700: 6e627566 5f740000 00252114 04000026 nbuf_t...%!....& 53710: ff0e4144 465f4f53 5f444d41 5f544f5f ..ADF_OS_DMA_TO_ 53720: 44455649 43450000 0e414446 5f4f535f DEVICE...ADF_OS_ 53730: 444d415f 46524f4d 5f444556 49434500 DMA_FROM_DEVICE. 53740: 01000361 64665f6f 735f646d 615f6469 ...adf_os_dma_di 53750: 725f7400 000026c8 09000026 9a010601 r_t...&....&.... 53760: 03616466 5f6f735f 646d616d 61705f69 .adf_os_dmamap_i 53770: 6e666f5f 74000000 16a90700 00271d04 nfo_t........'.. 53780: 00060106 01090000 26b80109 00002521 ........&.....%! 53790: 01060106 01090000 26b80109 00002521 ........&.....%! 537a0: 01090000 26b80109 00002521 01090000 ....&.....%!.... 537b0: 26b80106 01060109 0000168c 01090000 &............... 537c0: 17020106 01060109 00001bb9 01090000 ................ 537d0: 1b060109 00001b06 01036164 665f6f73 ..........adf_os 537e0: 5f73676c 6973745f 74000000 17410700 _sglist_t....A.. 537f0: 00279604 00060106 01060109 00001702 .'.............. 53800: 01036164 665f6e62 75665f71 75657565 ..adf_nbuf_queue 53810: 5f740000 00257a07 000027be 04000601 _t...%z...'..... 53820: 07000025 62040006 01060106 01090000 ...%b........... 53830: 26b80109 00002521 01090000 168c0109 &.....%!........ 53840: 0000168c 01090000 1b060109 00001b06 ................ 53850: 01090000 20220109 0000168c 01036164 .... "........ad 53860: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 53870: 74000000 24900700 00281a04 00060106 t...$....(...... 53880: 01036164 665f6e62 75665f74 736f5f74 ..adf_nbuf_tso_t 53890: 00000024 b2070000 283e0400 06010601 ...$....(>...... 538a0: 03616466 5f6e6574 5f68616e 646c655f .adf_net_handle_ 538b0: 74000000 047c0361 64665f6e 65745f76 t....|.adf_net_v 538c0: 6c616e68 64725f74 0000001e 2e070000 lanhdr_t........ 538d0: 28730400 09000026 9a010900 00269a01 (s.....&.....&.. 538e0: 06010601 045f4849 465f434f 4e464947 ....._HIF_CONFIG 538f0: 00040000 28c20564 756d6d79 00000001 ....(..dummy.... 53900: c9022300 00060107 000028c2 04000601 ..#.......(..... 53910: 07000028 cb040004 5f484946 5f43414c ...(...._HIF_CAL 53920: 4c424143 4b000c00 00292005 73656e64 LBACK....) .send 53930: 5f627566 5f646f6e 65000000 28c40223 _buf_done...(..# 53940: 00057265 63765f62 75660000 0028cd02 ..recv_buf...(.. 53950: 23040563 6f6e7465 78740000 00047c02 #..context....|. 53960: 23080003 6869665f 68616e64 6c655f74 #...hif_handle_t 53970: 00000004 7c034849 465f434f 4e464947 ....|.HIF_CONFIG 53980: 00000028 a1070000 29320400 09000029 ...(....)2.....) 53990: 20010700 00294904 00060107 00002956 ....)I.......)V 539a0: 04000348 49465f43 414c4c42 41434b00 ...HIF_CALLBACK. 539b0: 000028d4 07000029 5f040006 01070000 ..(....)_....... 539c0: 29780400 09000001 c9010700 00298104 )x...........).. 539d0: 00060107 0000298e 04000900 0001c901 ......)......... 539e0: 07000029 97040006 01070000 29a40400 ...)........)... 539f0: 09000001 c9010700 0029ad04 00060107 .........)...... 53a00: 000029ba 04000468 69665f61 70690038 ..)....hif_api.8 53a10: 00002b13 055f696e 69740000 00294f02 ..+.._init...)O. 53a20: 2300055f 73687574 646f776e 00000029 #.._shutdown...) 53a30: 58022304 055f7265 67697374 65725f63 X.#.._register_c 53a40: 616c6c62 61636b00 0000297a 02230805 allback...)z.#.. 53a50: 5f676574 5f746f74 616c5f63 72656469 _get_total_credi 53a60: 745f636f 756e7400 00002987 02230c05 t_count...)..#.. 53a70: 5f737461 72740000 00295802 2310055f _start...)X.#.._ 53a80: 636f6e66 69675f70 69706500 00002990 config_pipe...). 53a90: 02231405 5f73656e 645f6275 66666572 .#.._send_buffer 53aa0: 00000029 9d022318 055f7265 7475726e ...)..#.._return 53ab0: 5f726563 765f6275 66000000 29a60223 _recv_buf...)..# 53ac0: 1c055f69 735f7069 70655f73 7570706f .._is_pipe_suppo 53ad0: 72746564 00000029 b3022320 055f6765 rted...)..# ._ge 53ae0: 745f6d61 785f6d73 675f6c65 6e000000 t_max_msg_len... 53af0: 29b30223 24055f67 65745f72 65736572 )..#$._get_reser 53b00: 7665645f 68656164 726f6f6d 00000029 ved_headroom...) 53b10: 87022328 055f6973 725f6861 6e646c65 ..#(._isr_handle 53b20: 72000000 29580223 2c055f67 65745f64 r...)X.#,._get_d 53b30: 65666175 6c745f70 69706500 000029bc efault_pipe...). 53b40: 02233005 70526573 65727665 64000000 .#0.pReserved... 53b50: 047c0223 34000d64 6d615f65 6e67696e .|.#4..dma_engin 53b60: 65000400 002b9c0e 444d415f 454e4749 e....+..DMA_ENGI 53b70: 4e455f52 58300000 0e444d41 5f454e47 NE_RX0...DMA_ENG 53b80: 494e455f 52583100 010e444d 415f454e INE_RX1...DMA_EN 53b90: 47494e45 5f525832 00020e44 4d415f45 GINE_RX2...DMA_E 53ba0: 4e47494e 455f5258 3300030e 444d415f NGINE_RX3...DMA_ 53bb0: 454e4749 4e455f54 58300004 0e444d41 ENGINE_TX0...DMA 53bc0: 5f454e47 494e455f 54583100 050e444d _ENGINE_TX1...DM 53bd0: 415f454e 47494e45 5f4d4158 00060003 A_ENGINE_MAX.... 53be0: 646d615f 656e6769 6e655f74 0000002b dma_engine_t...+ 53bf0: 130d646d 615f6966 74797065 00040000 ..dma_iftype.... 53c00: 2be90e44 4d415f49 465f474d 41430000 +..DMA_IF_GMAC.. 53c10: 0e444d41 5f49465f 50434900 010e444d .DMA_IF_PCI...DM 53c20: 415f4946 5f504349 45000200 03646d61 A_IF_PCIE....dma 53c30: 5f696674 7970655f 74000000 2bae0900 _iftype_t...+... 53c40: 00136101 0700002b fb040006 01070000 ..a....+........ 53c50: 2c080400 06010700 002c1104 00090000 ,........,...... 53c60: 09930107 00002c1a 04000900 00136101 ......,.......a. 53c70: 0700002c 27040009 00001361 01070000 ...,'......a.... 53c80: 2c340400 09000014 ac010700 002c4104 ,4...........,A. 53c90: 00060107 00002c4e 04000464 6d615f6c ......,N...dma_l 53ca0: 69625f61 70690034 00002d55 0574785f ib_api.4..-U.tx_ 53cb0: 696e6974 0000002c 01022300 0574785f init...,..#..tx_ 53cc0: 73746172 74000000 2c0a0223 04057278 start...,..#..rx 53cd0: 5f696e69 74000000 2c010223 08057278 _init...,..#..rx 53ce0: 5f636f6e 66696700 00002c13 02230c05 _config...,..#.. 53cf0: 72785f73 74617274 0000002c 0a022310 rx_start...,..#. 53d00: 05696e74 725f7374 61747573 0000002c .intr_status..., 53d10: 20022314 05686172 645f786d 69740000 .#..hard_xmit.. 53d20: 002c2d02 23180566 6c757368 5f786d69 .,-.#..flush_xmi 53d30: 74000000 2c0a0223 1c05786d 69745f64 t...,..#..xmit_d 53d40: 6f6e6500 00002c3a 02232005 72656170 one...,:.# .reap 53d50: 5f786d69 74746564 0000002c 47022324 _xmitted...,G.#$ 53d60: 05726561 705f7265 63760000 002c4702 .reap_recv...,G. 53d70: 23280572 65747572 6e5f7265 63760000 #(.return_recv.. 53d80: 002c5002 232c0572 6563765f 706b7400 .,P.#,.recv_pkt. 53d90: 00002c3a 02233000 045f5f70 63695f73 ..,:.#0..__pci_s 53da0: 6f667463 000c0000 2d730573 77000000 oftc....-s.sw... 53db0: 295f0223 0000035f 5f706369 5f736f66 )_.#...__pci_sof 53dc0: 74635f74 0000002d 55070000 2d730400 tc_t...-U...-s.. 53dd0: 06010700 002d8d04 00090000 134d0107 .....-.......M.. 53de0: 00002d96 04000d68 69665f70 63695f70 ..-....hif_pci_p 53df0: 6970655f 74780004 00002df6 0e484946 ipe_tx....-..HIF 53e00: 5f504349 5f504950 455f5458 3000000e _PCI_PIPE_TX0... 53e10: 4849465f 5043495f 50495045 5f545831 HIF_PCI_PIPE_TX1 53e20: 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 53e30: 54585f4d 41580002 00036869 665f7063 TX_MAX....hif_pc 53e40: 695f7069 70655f74 785f7400 00002da3 i_pipe_tx_t...-. 53e50: 0900002b 9c010700 002e0d04 000d6869 ...+..........hi 53e60: 665f7063 695f7069 70655f72 78000400 f_pci_pipe_rx... 53e70: 002e930e 4849465f 5043495f 50495045 ....HIF_PCI_PIPE 53e80: 5f525830 00000e48 49465f50 43495f50 _RX0...HIF_PCI_P 53e90: 4950455f 52583100 010e4849 465f5043 IPE_RX1...HIF_PC 53ea0: 495f5049 50455f52 58320002 0e484946 I_PIPE_RX2...HIF 53eb0: 5f504349 5f504950 455f5258 3300030e _PCI_PIPE_RX3... 53ec0: 4849465f 5043495f 50495045 5f52585f HIF_PCI_PIPE_RX_ 53ed0: 4d415800 04000368 69665f70 63695f70 MAX....hif_pci_p 53ee0: 6970655f 72785f74 0000002e 1a090000 ipe_rx_t........ 53ef0: 2b9c0107 00002eaa 04000468 69665f70 +..........hif_p 53f00: 63695f61 70690024 00002f88 05706369 ci_api.$../..pci 53f10: 5f626f6f 745f696e 69740000 0001c202 _boot_init...... 53f20: 23000570 63695f69 6e697400 0000294f #..pci_init...)O 53f30: 02230405 7063695f 72657365 74000000 .#..pci_reset... 53f40: 01c20223 08057063 695f656e 61626c65 ...#..pci_enable 53f50: 00000001 c202230c 05706369 5f726561 ......#..pci_rea 53f60: 705f786d 69747465 64000000 2d8f0223 p_xmitted...-..# 53f70: 10057063 695f7265 61705f72 65637600 ..pci_reap_recv. 53f80: 00002d8f 02231405 7063695f 6765745f ..-..#..pci_get_ 53f90: 70697065 0000002d 9c022318 05706369 pipe...-..#..pci 53fa0: 5f676574 5f74785f 656e6700 00002e13 _get_tx_eng..... 53fb0: 02231c05 7063695f 6765745f 72785f65 .#..pci_get_rx_e 53fc0: 6e670000 002eb002 23200004 676d6163 ng......# ..gmac 53fd0: 5f617069 00040000 2faf0567 6d61635f _api..../..gmac_ 53fe0: 626f6f74 5f696e69 74000000 01c20223 boot_init......# 53ff0: 00000f00 00010106 00002fbc 10050004 ........../..... 54000: 5f5f6574 68686472 000e0000 2ff20564 __ethhdr..../..d 54010: 73740000 002faf02 23000573 72630000 st.../..#..src.. 54020: 002faf02 23060565 74797065 00000013 ./..#..etype.... 54030: 6102230c 00045f5f 61746868 64720004 a.#...__athhdr.. 54040: 00003040 15726573 00000013 4d010002 ..0@.res....M... 54050: 02230015 70726f74 6f000000 134d0102 .#..proto....M.. 54060: 06022300 05726573 5f6c6f00 0000134d ..#..res_lo....M 54070: 02230105 7265735f 68690000 00136102 .#..res_hi....a. 54080: 23020004 5f5f676d 61635f68 64720014 #...__gmac_hdr.. 54090: 0000307c 05657468 0000002f bc022300 ..0|.eth.../..#. 540a0: 05617468 0000002f f202230e 05616c69 .ath.../..#..ali 540b0: 676e5f70 61640000 00136102 23120003 gn_pad....a.#... 540c0: 5f5f676d 61635f68 64725f74 00000030 __gmac_hdr_t...0 540d0: 40045f5f 676d6163 5f736f66 74630024 @.__gmac_softc.$ 540e0: 000030c6 05686472 00000030 7c022300 ..0..hdr...0|.#. 540f0: 05677261 6e000000 13610223 14057377 .gran....a.#..sw 54100: 00000029 5f022318 00045f41 5f6f735f ...)_.#..._A_os_ 54110: 6c696e6b 6167655f 63686563 6b000800 linkage_check... 54120: 0030ff05 76657273 696f6e00 000001c9 .0..version..... 54130: 02230005 7461626c 65000000 01c90223 .#..table......# 54140: 04000700 0030c604 00090000 01c90107 .....0.......... 54150: 00003106 04000700 00047f04 00175f41 ..1..........._A 54160: 5f636d6e 6f735f69 6e646972 65637469 _cmnos_indirecti 54170: 6f6e5f74 61626c65 0001b800 00325605 on_table.....2V. 54180: 68616c5f 6c696e6b 6167655f 63686563 hal_linkage_chec 54190: 6b000000 310c0223 00057374 6172745f k...1..#..start_ 541a0: 62737300 00003113 02230405 6170705f bss...1..#..app_ 541b0: 73746172 74000000 01c20223 08056d65 start......#..me 541c0: 6d000000 04bf0223 0c056d69 73630000 m......#..misc.. 541d0: 0005de02 23200570 72696e74 66000000 ....# .printf... 541e0: 01f60223 44057561 72740000 00029b02 ...#D.uart...... 541f0: 234c0567 6d616300 00002f88 02236c05 #L.gmac.../..#l. 54200: 75736200 0000100d 02237005 636c6f63 usb......#p.cloc 54210: 6b000000 0b560323 e0010574 696d6572 k....V.#...timer 54220: 00000007 f6032384 0205696e 74720000 ......#...intr.. 54230: 000ca603 23980205 616c6c6f 6372616d ....#...allocram 54240: 00000009 ae0323c4 0205726f 6d700000 ......#...romp.. 54250: 0008a003 23d00205 7764745f 74696d65 ....#...wdt_time 54260: 72000000 0e830323 e0020565 65700000 r......#...eep.. 54270: 000fb103 23fc0205 73747269 6e670000 ....#...string.. 54280: 00070203 238c0305 7461736b 6c657400 ....#...tasklet. 54290: 00000aab 0323a403 00045f55 53425f46 .....#...._USB_F 542a0: 49464f5f 434f4e46 49470010 000032c9 IFO_CONFIG....2. 542b0: 05676574 5f636f6d 6d616e64 5f627566 .get_command_buf 542c0: 00000014 b9022300 05726563 765f636f ......#..recv_co 542d0: 6d6d616e 64000000 14cf0223 04056765 mmand......#..ge 542e0: 745f6576 656e745f 62756600 000014b9 t_event_buf..... 542f0: 02230805 73656e64 5f657665 6e745f64 .#..send_event_d 54300: 6f6e6500 000014cf 02230c00 03555342 one......#...USB 54310: 5f464946 4f5f434f 4e464947 00000032 _FIFO_CONFIG...2 54320: 56070000 32c90400 06010700 0032e504 V...2........2.. 54330: 00047573 62666966 6f5f6170 69000c00 ..usbfifo_api... 54340: 00333b05 5f696e69 74000000 32e70223 .3;._init...2..# 54350: 00055f65 6e61626c 655f6576 656e745f .._enable_event_ 54360: 69737200 000001c2 02230405 70526573 isr......#..pRes 54370: 65727665 64000000 047c0223 08000f00 erved....|.#.... 54380: 0016f302 00003348 10010004 5f485443 ......3H...._HTC 54390: 5f465241 4d455f48 44520008 000033ba _FRAME_HDR....3. 543a0: 05456e64 706f696e 74494400 000016f3 .EndpointID..... 543b0: 02230005 466c6167 73000000 16f30223 .#..Flags......# 543c0: 01055061 796c6f61 644c656e 0000001d ..PayloadLen.... 543d0: 1b022302 05436f6e 74726f6c 42797465 ..#..ControlByte 543e0: 73000000 333b0223 0405486f 73745365 s...3;.#..HostSe 543f0: 714e756d 0000001d 1b022306 00120200 qNum......#..... 54400: 0033d305 4d657373 61676549 44000000 .3..MessageID... 54410: 1d1b0223 00001208 00003436 054d6573 ...#......46.Mes 54420: 73616765 49440000 001d1b02 23000543 sageID......#..C 54430: 72656469 74436f75 6e740000 001d1b02 reditCount...... 54440: 23020543 72656469 7453697a 65000000 #..CreditSize... 54450: 1d1b0223 04054d61 78456e64 706f696e ...#..MaxEndpoin 54460: 74730000 0016f302 2306055f 50616431 ts......#.._Pad1 54470: 00000016 f3022307 00120a00 0034cd05 ......#......4.. 54480: 4d657373 61676549 44000000 1d1b0223 MessageID......# 54490: 00055365 72766963 65494400 00001d1b ..ServiceID..... 544a0: 02230205 436f6e6e 65637469 6f6e466c .#..ConnectionFl 544b0: 61677300 00001d1b 02230405 446f776e ags......#..Down 544c0: 4c696e6b 50697065 49440000 0016f302 LinkPipeID...... 544d0: 23060555 704c696e 6b506970 65494400 #..UpLinkPipeID. 544e0: 000016f3 02230705 53657276 6963654d .....#..ServiceM 544f0: 6574614c 656e6774 68000000 16f30223 etaLength......# 54500: 08055f50 61643100 000016f3 02230900 .._Pad1......#.. 54510: 120a0000 3555054d 65737361 67654944 ....5U.MessageID 54520: 0000001d 1b022300 05536572 76696365 ......#..Service 54530: 49440000 001d1b02 23020553 74617475 ID......#..Statu 54540: 73000000 16f30223 0405456e 64706f69 s......#..Endpoi 54550: 6e744944 00000016 f3022305 054d6178 ntID......#..Max 54560: 4d736753 697a6500 00001d1b 02230605 MsgSize......#.. 54570: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 54580: 68000000 16f30223 08055f50 61643100 h......#.._Pad1. 54590: 000016f3 02230900 12020000 356e054d .....#......5n.M 545a0: 65737361 67654944 0000001d 1b022300 essageID......#. 545b0: 00120400 0035aa05 4d657373 61676549 .....5..MessageI 545c0: 44000000 1d1b0223 00055069 70654944 D......#..PipeID 545d0: 00000016 f3022302 05437265 64697443 ......#..CreditC 545e0: 6f756e74 00000016 f3022303 00120400 ount......#..... 545f0: 0035e105 4d657373 61676549 44000000 .5..MessageID... 54600: 1d1b0223 00055069 70654944 00000016 ...#..PipeID.... 54610: f3022302 05537461 74757300 000016f3 ..#..Status..... 54620: 02230300 12020000 36080552 65636f72 .#......6..Recor 54630: 64494400 000016f3 02230005 4c656e67 dID......#..Leng 54640: 74680000 0016f302 23010012 02000036 th......#......6 54650: 3205456e 64706f69 6e744944 00000016 2.EndpointID.... 54660: f3022300 05437265 64697473 00000016 ..#..Credits.... 54670: f3022301 00120400 00367305 456e6470 ..#......6s.Endp 54680: 6f696e74 49440000 0016f302 23000543 ointID......#..C 54690: 72656469 74730000 0016f302 23010554 redits......#..T 546a0: 67744372 65646974 5365714e 6f000000 gtCreditSeqNo... 546b0: 1d1b0223 02000f00 0016f304 00003680 ...#..........6. 546c0: 10030012 06000036 bc055072 6556616c .......6..PreVal 546d0: 69640000 0016f302 2300054c 6f6f6b41 id......#..LookA 546e0: 68656164 00000036 73022301 05506f73 head...6s.#..Pos 546f0: 7456616c 69640000 0016f302 23050003 tValid......#... 54700: 706f6f6c 5f68616e 646c655f 74000000 pool_handle_t... 54710: 047c0900 0036bc01 07000036 cf040006 .|...6.....6.... 54720: 01070000 36dc0400 14040000 375a0e50 ....6.......7Z.P 54730: 4f4f4c5f 49445f48 54435f43 4f4e5452 OOL_ID_HTC_CONTR 54740: 4f4c0000 0e504f4f 4c5f4944 5f574d49 OL...POOL_ID_WMI 54750: 5f535643 5f434d44 5f524550 4c590001 _SVC_CMD_REPLY.. 54760: 0e504f4f 4c5f4944 5f574d49 5f535643 .POOL_ID_WMI_SVC 54770: 5f455645 4e540002 0e504f4f 4c5f4944 _EVENT...POOL_ID 54780: 5f574c41 4e5f5258 5f425546 00030e50 _WLAN_RX_BUF...P 54790: 4f4f4c5f 49445f4d 4158000a 00034255 OOL_ID_MAX....BU 547a0: 465f504f 4f4c5f49 44000000 36e50601 F_POOL_ID...6... 547b0: 07000037 6b040009 000026b8 01070000 ...7k.....&..... 547c0: 37740400 09000026 b8010700 00378104 7t.....&.....7.. 547d0: 00060107 0000378e 04000462 75665f70 ......7....buf_p 547e0: 6f6f6c5f 61706900 1c000038 30055f69 ool_api....80._i 547f0: 6e697400 000036d5 02230005 5f736875 nit...6..#.._shu 54800: 74646f77 6e000000 36de0223 04055f63 tdown...6..#.._c 54810: 72656174 655f706f 6f6c0000 00376d02 reate_pool...7m. 54820: 2308055f 616c6c6f 635f6275 66000000 #.._alloc_buf... 54830: 377a0223 0c055f61 6c6c6f63 5f627566 7z.#.._alloc_buf 54840: 5f616c69 676e0000 00378702 2310055f _align...7..#.._ 54850: 66726565 5f627566 00000037 90022314 free_buf...7..#. 54860: 05705265 73657276 65640000 00047c02 .pReserved....|. 54870: 23180004 5f485443 5f534552 56494345 #..._HTC_SERVICE 54880: 001c0000 390f0570 4e657874 00000039 ....9..pNext...9 54890: 0f022300 0550726f 63657373 52656376 ..#..ProcessRecv 548a0: 4d736700 000039c4 02230405 50726f63 Msg...9..#..Proc 548b0: 65737353 656e6442 75666665 72436f6d essSendBufferCom 548c0: 706c6574 65000000 39cd0223 08055072 plete...9..#..Pr 548d0: 6f636573 73436f6e 6e656374 00000039 ocessConnect...9 548e0: e102230c 05536572 76696365 49440000 ..#..ServiceID.. 548f0: 00136102 23100553 65727669 6365466c ..a.#..ServiceFl 54900: 61677300 00001361 02231205 4d617853 ags....a.#..MaxS 54910: 76634d73 6753697a 65000000 13610223 vcMsgSize....a.# 54920: 14055472 61696c65 72537063 43686563 ..TrailerSpcChec 54930: 6b4c696d 69740000 00136102 23160553 kLimit....a.#..S 54940: 65727669 63654374 78000000 047c0223 erviceCtx....|.# 54950: 18000700 00383004 00140400 0039ad19 .....80......9.. 54960: 454e4450 4f494e54 5f554e55 53454400 ENDPOINT_UNUSED. 54970: ffffffff 0e454e44 504f494e 54300000 .....ENDPOINT0.. 54980: 0e454e44 504f494e 54310001 0e454e44 .ENDPOINT1...END 54990: 504f494e 54320002 0e454e44 504f494e POINT2...ENDPOIN 549a0: 54330003 0e454e44 504f494e 54340004 T3...ENDPOINT4.. 549b0: 0e454e44 504f494e 54350005 0e454e44 .ENDPOINT5...END 549c0: 504f494e 54360006 0e454e44 504f494e POINT6...ENDPOIN 549d0: 54370007 0e454e44 504f494e 54380008 T7...ENDPOINT8.. 549e0: 0e454e44 504f494e 545f4d41 58001600 .ENDPOINT_MAX... 549f0: 03485443 5f454e44 504f494e 545f4944 .HTC_ENDPOINT_ID 54a00: 00000039 16060107 000039c2 04000601 ...9......9..... 54a10: 07000039 cb040007 000001c9 04000900 ...9............ 54a20: 00134d01 07000039 db040007 00003830 ..M....9......80 54a30: 0400045f 4854435f 434f4e46 49470014 ..._HTC_CONFIG.. 54a40: 00003a60 05437265 64697453 697a6500 ..:`.CreditSize. 54a50: 000001c9 02230005 43726564 69744e75 .....#..CreditNu 54a60: 6d626572 00000001 c9022304 054f5348 mber......#..OSH 54a70: 616e646c 65000000 1ab70223 08054849 andle......#..HI 54a80: 4648616e 646c6500 00002920 02230c05 FHandle...) .#.. 54a90: 506f6f6c 48616e64 6c650000 0036bc02 PoolHandle...6.. 54aa0: 23100004 5f485443 5f425546 5f434f4e #..._HTC_BUF_CON 54ab0: 54455854 00020000 3a9c0565 6e645f70 TEXT....:..end_p 54ac0: 6f696e74 00000013 4d022300 05687463 oint....M.#..htc 54ad0: 5f666c61 67730000 00134d02 23010003 _flags....M.#... 54ae0: 6874635f 68616e64 6c655f74 00000004 htc_handle_t.... 54af0: 7c034854 435f5345 5455505f 434f4d50 |.HTC_SETUP_COMP 54b00: 4c455445 5f434200 000001c2 03485443 LETE_CB......HTC 54b10: 5f434f4e 46494700 000039ef 0700003a _CONFIG...9....: 54b20: c9040009 00003a9c 01070000 3ae00400 ......:.....:... 54b30: 06010700 003aed04 00034854 435f5345 .....:....HTC_SE 54b40: 52564943 45000000 38300700 003af604 RVICE...80...:.. 54b50: 00060107 00003b0e 04000601 0700003b ......;........; 54b60: 17040006 01070000 3b200400 09000001 ........; ...... 54b70: c9010700 003b2904 00046874 635f6170 .....;)...htc_ap 54b80: 69730034 00003ca6 055f4854 435f496e is.4..<.._HTC_In 54b90: 69740000 003ae602 2300055f 4854435f it...:..#.._HTC_ 54ba0: 53687574 646f776e 0000003a ef022304 Shutdown...:..#. 54bb0: 055f4854 435f5265 67697374 65725365 ._HTC_RegisterSe 54bc0: 72766963 65000000 3b100223 08055f48 rvice...;..#.._H 54bd0: 54435f52 65616479 0000003a ef02230c TC_Ready...:..#. 54be0: 055f4854 435f5265 7475726e 42756666 ._HTC_ReturnBuff 54bf0: 65727300 00003b19 02231005 5f485443 ers...;..#.._HTC 54c00: 5f526574 75726e42 75666665 72734c69 _ReturnBuffersLi 54c10: 73740000 003b2202 2314055f 4854435f st...;".#.._HTC_ 54c20: 53656e64 4d736700 00003b19 02231805 SendMsg...;..#.. 54c30: 5f485443 5f476574 52657365 72766564 _HTC_GetReserved 54c40: 48656164 726f6f6d 0000003b 2f02231c Headroom...;/.#. 54c50: 055f4854 435f4d73 67526563 7648616e ._HTC_MsgRecvHan 54c60: 646c6572 00000028 cd022320 055f4854 dler...(..# ._HT 54c70: 435f5365 6e64446f 6e654861 6e646c65 C_SendDoneHandle 54c80: 72000000 28c40223 24055f48 54435f43 r...(..#$._HTC_C 54c90: 6f6e7472 6f6c5376 6350726f 63657373 ontrolSvcProcess 54ca0: 4d736700 000039c4 02232805 5f485443 Msg...9..#(._HTC 54cb0: 5f436f6e 74726f6c 53766350 726f6365 _ControlSvcProce 54cc0: 73735365 6e64436f 6d706c65 74650000 ssSendComplete.. 54cd0: 0039cd02 232c0570 52657365 72766564 .9..#,.pReserved 54ce0: 00000004 7c022330 0004686f 73745f61 ....|.#0..host_a 54cf0: 70705f61 7265615f 73000400 003cd605 pp_area_s....<.. 54d00: 776d695f 70726f74 6f636f6c 5f766572 wmi_protocol_ver 54d10: 00000016 8c022300 00120e00 003d0d05 ......#......=.. 54d20: 6473744d 61630000 001cd802 23000573 dstMac......#..s 54d30: 72634d61 63000000 1cd80223 06057479 rcMac......#..ty 54d40: 70654f72 4c656e00 00001d1b 02230c00 peOrLen......#.. 54d50: 0f000016 f3030000 3d1a1002 00120800 ........=....... 54d60: 003d6a05 64736170 00000016 f3022300 .=j.dsap......#. 54d70: 05737361 70000000 16f30223 0105636e .ssap......#..cn 54d80: 746c0000 0016f302 2302056f 7267436f tl......#..orgCo 54d90: 64650000 003d0d02 23030565 74686572 de...=..#..ether 54da0: 54797065 0000001d 1b022306 00120200 Type......#..... 54db0: 003d8b05 72737369 0000001c 71022300 .=..rssi....q.#. 54dc0: 05696e66 6f000000 16f30223 01001204 .info......#.... 54dd0: 00003db2 05636f6d 6d616e64 49640000 ..=..commandId.. 54de0: 001d1b02 23000573 65714e6f 0000001d ....#..seqNo.... 54df0: 1b022302 000f0000 16f30100 003dbf10 ..#..........=.. 54e00: 00001202 00003de6 056d7367 53697a65 ......=..msgSize 54e10: 00000016 f3022300 056d7367 44617461 ......#..msgData 54e20: 0000003d b2022301 00120800 003e2d05 ...=..#......>-. 54e30: 61646472 6573734c 0000001d 1b022300 addressL......#. 54e40: 05616464 72657373 48000000 1d1b0223 .addressH......# 54e50: 02057661 6c75654c 0000001d 1b022304 ..valueL......#. 54e60: 0576616c 75654800 00001d1b 02230600 .valueH......#.. 54e70: 03574d49 5f415654 0000003d e60f0000 .WMI_AVT...=.... 54e80: 3e2d0800 003e4710 0000120c 00003e7e >-...>G.......>~ 54e90: 05747570 6c654e75 6d4c0000 001d1b02 .tupleNumL...... 54ea0: 23000574 75706c65 4e756d48 0000001d #..tupleNumH.... 54eb0: 1b022302 05617674 0000003e 3a022304 ..#..avt...>:.#. 54ec0: 00120100 003ea005 62656163 6f6e5065 .....>..beaconPe 54ed0: 6e64696e 67436f75 6e740000 0016f302 ndingCount...... 54ee0: 23000004 5f574d49 5f535643 5f434f4e #..._WMI_SVC_CON 54ef0: 46494700 1000003f 09054874 6348616e FIG....?..HtcHan 54f00: 646c6500 00003a9c 02230005 506f6f6c dle...:..#..Pool 54f10: 48616e64 6c650000 0036bc02 2304054d Handle...6..#..M 54f20: 6178436d 64526570 6c794576 74730000 axCmdReplyEvts.. 54f30: 0001c902 2308054d 61784576 656e7445 ....#..MaxEventE 54f40: 76747300 000001c9 02230c00 06010700 vts......#...... 54f50: 003f0904 0003574d 495f434d 445f4841 .?....WMI_CMD_HA 54f60: 4e444c45 52000000 3f0b045f 574d495f NDLER...?.._WMI_ 54f70: 44495350 41544348 5f454e54 52590008 DISPATCH_ENTRY.. 54f80: 00003f72 0570436d 6448616e 646c6572 ..?r.pCmdHandler 54f90: 0000003f 12022300 05436d64 49440000 ...?..#..CmdID.. 54fa0: 00136102 23040546 6c616773 00000013 ..a.#..Flags.... 54fb0: 61022306 00045f57 4d495f44 49535041 a.#..._WMI_DISPA 54fc0: 5443485f 5441424c 45001000 003fd305 TCH_TABLE....?.. 54fd0: 704e6578 74000000 3fd30223 00057043 pNext...?..#..pC 54fe0: 6f6e7465 78740000 00047c02 2304054e ontext....|.#..N 54ff0: 756d6265 724f6645 6e747269 65730000 umberOfEntries.. 55000: 0001c902 23080570 5461626c 65000000 ....#..pTable... 55010: 3ff20223 0c000700 003f7204 0003574d ?..#.....?r...WM 55020: 495f4449 53504154 43485f45 4e545259 I_DISPATCH_ENTRY 55030: 0000003f 27070000 3fda0400 0700003f ...?'...?......? 55040: 72040003 4854435f 4255465f 434f4e54 r...HTC_BUF_CONT 55050: 45585400 00003a60 0d574d49 5f455654 EXT...:`.WMI_EVT 55060: 5f434c41 53530004 0000408a 19574d49 _CLASS....@..WMI 55070: 5f455654 5f434c41 53535f4e 4f4e4500 _EVT_CLASS_NONE. 55080: ffffffff 0e574d49 5f455654 5f434c41 .....WMI_EVT_CLA 55090: 53535f43 4d445f45 56454e54 00000e57 SS_CMD_EVENT...W 550a0: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 550b0: 5f524550 4c590001 0e574d49 5f455654 _REPLY...WMI_EVT 550c0: 5f434c41 53535f4d 41580002 0003574d _CLASS_MAX....WM 550d0: 495f4556 545f434c 41535300 00004015 I_EVT_CLASS...@. 550e0: 045f574d 495f4255 465f434f 4e544558 ._WMI_BUF_CONTEX 550f0: 54000c00 0040e805 48746342 75664374 T....@..HtcBufCt 55100: 78000000 40000223 00054576 656e7443 x...@..#..EventC 55110: 6c617373 00000040 8a022304 05466c61 lass...@..#..Fla 55120: 67730000 00136102 23080003 776d695f gs....a.#...wmi_ 55130: 68616e64 6c655f74 00000004 7c03574d handle_t....|.WM 55140: 495f5356 435f434f 4e464947 0000003e I_SVC_CONFIG...> 55150: a0070000 40fa0400 09000040 e8010700 ....@......@.... 55160: 00411504 0003574d 495f4449 53504154 .A....WMI_DISPAT 55170: 43485f54 41424c45 0000003f 72070000 CH_TABLE...?r... 55180: 41220400 06010700 00414104 00090000 A".......AA..... 55190: 26b80107 0000414a 04000601 07000041 &.....AJ.......A 551a0: 57040009 000001c9 01070000 41600400 W...........A`.. 551b0: 06010700 00416d04 00090000 134d0107 .....Am......M.. 551c0: 00004176 0400045f 776d695f 7376635f ..Av..._wmi_svc_ 551d0: 61706973 002c0000 42be055f 574d495f apis.,..B.._WMI_ 551e0: 496e6974 00000041 1b022300 055f574d Init...A..#.._WM 551f0: 495f5265 67697374 65724469 73706174 I_RegisterDispat 55200: 63685461 626c6500 00004143 02230405 chTable...AC.#.. 55210: 5f574d49 5f416c6c 6f634576 656e7400 _WMI_AllocEvent. 55220: 00004150 02230805 5f574d49 5f53656e ..AP.#.._WMI_Sen 55230: 64457665 6e740000 00415902 230c055f dEvent...AY.#.._ 55240: 574d495f 47657450 656e6469 6e674576 WMI_GetPendingEv 55250: 656e7473 436f756e 74000000 41660223 entsCount...Af.# 55260: 10055f57 4d495f53 656e6443 6f6d706c .._WMI_SendCompl 55270: 65746548 616e646c 65720000 0039cd02 eteHandler...9.. 55280: 2314055f 574d495f 47657443 6f6e7472 #.._WMI_GetContr 55290: 6f6c4570 00000041 66022318 055f574d olEp...Af.#.._WM 552a0: 495f5368 7574646f 776e0000 00416f02 I_Shutdown...Ao. 552b0: 231c055f 574d495f 52656376 4d657373 #.._WMI_RecvMess 552c0: 61676548 616e646c 65720000 0039c402 ageHandler...9.. 552d0: 2320055f 574d495f 53657276 69636543 # ._WMI_ServiceC 552e0: 6f6e6e65 63740000 00417c02 23240570 onnect...A|.#$.p 552f0: 52657365 72766564 00000004 7c022328 Reserved....|.#( 55300: 00047a73 446d6144 65736300 14000043 ..zsDmaDesc....C 55310: 40056374 726c0000 00011f02 23000573 @.ctrl......#..s 55320: 74617475 73000000 011f0223 0205746f tatus......#..to 55330: 74616c4c 656e0000 00011f02 23040564 talLen......#..d 55340: 61746153 697a6500 0000011f 02230605 ataSize......#.. 55350: 6c617374 41646472 00000043 40022308 lastAddr...C@.#. 55360: 05646174 61416464 72000000 022d0223 .dataAddr....-.# 55370: 0c056e65 78744164 64720000 00434002 ..nextAddr...C@. 55380: 23100007 000042be 04000700 0042be04 #.....B......B.. 55390: 00047a73 446d6151 75657565 00080000 ..zsDmaQueue.... 553a0: 43800568 65616400 00004347 02230005 C..head...CG.#.. 553b0: 7465726d 696e6174 6f720000 00434702 terminator...CG. 553c0: 23040004 7a735478 446d6151 75657565 #...zsTxDmaQueue 553d0: 00100000 43e40568 65616400 00004347 ....C..head...CG 553e0: 02230005 7465726d 696e6174 6f720000 .#..terminator.. 553f0: 00434702 23040578 6d697465 645f6275 .CG.#..xmited_bu 55400: 665f6865 61640000 0014ac02 23080578 f_head......#..x 55410: 6d697465 645f6275 665f7461 696c0000 mited_buf_tail.. 55420: 0014ac02 230c0006 01070000 43e40400 ....#.......C... 55430: 07000043 4e040006 01070000 43f40400 ...CN.......C... 55440: 07000043 80040006 01070000 44040400 ...C........D... 55450: 06010700 00440d04 00060107 00004416 .....D........D. 55460: 04000900 0014ac01 07000044 1f040006 ...........D.... 55470: 01070000 442c0400 09000014 ac010700 ....D,.......... 55480: 00443504 00060107 00004442 04000900 .D5.......DB.... 55490: 0001c901 07000044 4b040009 00004347 .......DK.....CG 554a0: 01070000 44580400 06010700 00446504 ....DX.......De. 554b0: 0004646d 615f656e 67696e65 5f617069 ..dma_engine_api 554c0: 00400000 45db055f 696e6974 00000043 .@..E.._init...C 554d0: e6022300 055f696e 69745f72 785f7175 ..#.._init_rx_qu 554e0: 65756500 000043f6 02230405 5f696e69 eue...C..#.._ini 554f0: 745f7478 5f717565 75650000 00440602 t_tx_queue...D.. 55500: 2308055f 636f6e66 69675f72 785f7175 #.._config_rx_qu 55510: 65756500 0000440f 02230c05 5f786d69 eue...D..#.._xmi 55520: 745f6275 66000000 44180223 10055f66 t_buf...D..#.._f 55530: 6c757368 5f786d69 74000000 43f60223 lush_xmit...C..# 55540: 14055f72 6561705f 72656376 5f627566 .._reap_recv_buf 55550: 00000044 25022318 055f7265 7475726e ...D%.#.._return 55560: 5f726563 765f6275 66000000 442e0223 _recv_buf...D..# 55570: 1c055f72 6561705f 786d6974 65645f62 .._reap_xmited_b 55580: 75660000 00443b02 2320055f 73776170 uf...D;.# ._swap 55590: 5f646174 61000000 44440223 24055f68 _data...DD.#$._h 555a0: 61735f63 6f6d706c 5f706163 6b657473 as_compl_packets 555b0: 00000044 51022328 055f6465 73635f64 ...DQ.#(._desc_d 555c0: 756d7000 000043f6 02232c05 5f676574 ump...C..#,._get 555d0: 5f706163 6b657400 0000445e 02233005 _packet...D^.#0. 555e0: 5f726563 6c61696d 5f706163 6b657400 _reclaim_packet. 555f0: 00004467 02233405 5f707574 5f706163 ..Dg.#4._put_pac 55600: 6b657400 00004467 02233805 70526573 ket...Dg.#8.pRes 55610: 65727665 64000000 047c0223 3c00035f erved....|.#<.._ 55620: 415f636d 6e6f735f 696e6469 72656374 A_cmnos_indirect 55630: 696f6e5f 7461626c 655f7400 0000311a ion_table_t...1. 55640: 03574d49 5f535643 5f415049 53000000 .WMI_SVC_APIS... 55650: 4183175f 415f6d61 67706965 5f696e64 A.._A_magpie_ind 55660: 69726563 74696f6e 5f746162 6c650003 irection_table.. 55670: 4c000047 0905636d 6e6f7300 000045db L..G..cmnos...E. 55680: 02230005 64626700 00000449 0323b803 .#..dbg....I.#.. 55690: 05686966 00000029 c30323c0 03056874 .hif...)..#...ht 556a0: 63000000 3b360323 f8030577 6d695f73 c...;6.#...wmi_s 556b0: 76635f61 70690000 0045fd03 23ac0405 vc_api...E..#... 556c0: 75736266 69666f5f 61706900 000032ee usbfifo_api...2. 556d0: 0323d804 05627566 5f706f6f 6c000000 .#...buf_pool... 556e0: 37970323 e4040576 62756600 000014d6 7..#...vbuf..... 556f0: 03238005 05766465 73630000 0013b803 .#...vdesc...... 55700: 23940505 616c6c6f 6372616d 00000009 #...allocram.... 55710: ae0323a8 0505646d 615f656e 67696e65 ..#...dma_engine 55720: 00000044 6e0323b4 0505646d 615f6c69 ...Dn.#...dma_li 55730: 62000000 2c570323 f4050568 69665f70 b...,W.#...hif_p 55740: 63690000 002eb703 23a80600 035f415f ci......#...._A_ 55750: 6d616770 69655f69 6e646972 65637469 magpie_indirecti 55760: 6f6e5f74 61626c65 5f740000 00460f06 on_table_t...F.. 55770: 01070000 01120400 06011a01 146d5573 .............mUs 55780: 6245504d 61700001 01039201 20029000 bEPMap...... ... 55790: 008e48f8 008e4914 0000477d 1b011445 ..H...I...G}...E 557a0: 506e0000 00011201 521b0114 4d415000 Pn......R...MAP. 557b0: 00000112 01531c72 65670000 00011200 .....S.reg...... 557c0: 1a01246d 55736246 49464f4d 61700001 ..$mUsbFIFOMap.. 557d0: 01039201 20029000 008e4914 008e4932 .... .....I...I2 557e0: 000047c7 1b012446 49464f6e 00000001 ..G...$FIFOn.... 557f0: 1201521b 01244d41 50000000 01120153 ..R..$MAP......S 55800: 1c726567 00000001 12001a01 366d5573 .reg........6mUs 55810: 62464946 4f436f6e 66696700 01010392 bFIFOConfig..... 55820: 01200290 00008e49 34008e49 52000048 . .....I4..IR..H 55830: 141b0136 4649464f 6e000000 01120152 ...6FIFOn......R 55840: 1b013663 66670000 00011201 531c7265 ..6cfg......S.re 55850: 67000000 0112001a 01456d55 73624550 g........EmUsbEP 55860: 4d785074 537a4869 67680001 01039201 MxPtSzHigh...... 55870: 20029000 008e4954 008e497b 0000486f .....IT..I{..Ho 55880: 1b014545 506e0000 00011201 521b0145 ..EEPn......R..E 55890: 64697200 00000112 01531b01 4573697a dir......S..Esiz 558a0: 65000000 01350154 1c726567 00000001 e....5.T.reg.... 558b0: 12001a01 526d5573 6245504d 78507453 ....RmUsbEPMxPtS 558c0: 7a4c6f77 00010103 92012002 9000008e zLow...... ..... 558d0: 497c008e 49a00000 48c91b01 5245506e I|..I...H...REPn 558e0: 00000001 1201521b 01526469 72000000 ......R..Rdir... 558f0: 01120153 1b015273 697a6500 00000135 ...S..Rsize....5 55900: 01541c72 65670000 00011200 1a015e6d .T.reg........^m 55910: 55736245 50696e48 69676842 616e6453 UsbEPinHighBandS 55920: 65740001 01039201 20029000 008e49a0 et...... .....I. 55930: 008e49ea 00004931 1b015e45 506e0000 ..I...I1..^EPn.. 55940: 00011201 521b015e 64697200 00000112 ....R..^dir..... 55950: 01531b01 5e73697a 65000000 01350154 .S..^size....5.T 55960: 1c726567 00000001 121c7265 67320000 .reg......reg2.. 55970: 00011200 1a018f76 55736246 49464f5f .......vUsbFIFO_ 55980: 45507843 66675f48 53000101 03920120 EPxCfg_HS...... 55990: 02900000 8e49ec00 8e4b7c00 0049641c .....I...K|..Id. 559a0: 69000000 01c9001d 01013476 55736246 i.........4vUsbF 559b0: 49464f5f 45507843 66675f46 53000101 IFO_EPxCfg_FS... 559c0: 03920120 02900000 8e4b7c00 8e4cab1c ... .....K|..L.. 559d0: 69000000 01c90000 0000004d 26000200 i..........M&... 559e0: 001f5c04 012f726f 6f742f57 6f726b73 ..\../root/Works 559f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 55a00: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 55a10: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 55a20: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 55a30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 55a40: 6f6d2f68 69662f64 6d615f6c 69622f2f om/hif/dma_lib// 55a50: 646d615f 6c69622e 63002f72 6f6f742f dma_lib.c./root/ 55a60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 55a70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 55a80: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 55a90: 2f646d61 5f6c6962 0078742d 78636320 /dma_lib.xt-xcc 55aa0: 666f7220 372e312e 30202d4f 50543a61 for 7.1.0 -OPT:a 55ab0: 6c69676e 5f696e73 74727563 74696f6e lign_instruction 55ac0: 733d3332 202d4f32 202d6733 202d4f50 s=32 -O2 -g3 -OP 55ad0: 543a7370 61636500 01000001 356e025f T:space.....5n._ 55ae0: 56444553 43002400 00019103 6e657874 VDESC.$.....next 55af0: 5f646573 63000000 01910223 00036275 _desc......#..bu 55b00: 665f6164 64720000 0001b602 23040362 f_addr......#..b 55b10: 75665f73 697a6500 000001d3 02230803 uf_size......#.. 55b20: 64617461 5f6f6666 73657400 000001d3 data_offset..... 55b30: 02230a03 64617461 5f73697a 65000000 .#..data_size... 55b40: 01d30223 0c03636f 6e74726f 6c000000 ...#..control... 55b50: 01d30223 0e036877 5f646573 635f6275 ...#..hw_desc_bu 55b60: 66000000 01e10223 10000400 00010504 f......#........ 55b70: 0005756e 7369676e 65642063 68617200 ..unsigned char. 55b80: 07010641 5f55494e 54380000 00019804 ...A_UINT8...... 55b90: 000001a9 04000573 686f7274 20756e73 .......short uns 55ba0: 69676e65 6420696e 74000702 06415f55 igned int....A_U 55bb0: 494e5431 36000000 01bd0700 0001a914 INT16........... 55bc0: 000001ee 08130004 00000105 04000569 ...............i 55bd0: 6e740005 04090104 000001fc 04000656 nt.............V 55be0: 44455343 00000001 05040000 02050400 DESC............ 55bf0: 0a000002 10010400 00021704 000a0000 ................ 55c00: 01b60104 00000224 04000901 04000002 .......$........ 55c10: 3104000b 04000276 64657363 5f617069 1......vdesc_api 55c20: 00140000 02ac035f 696e6974 00000001 ......._init.... 55c30: fe022300 035f616c 6c6f635f 76646573 ..#.._alloc_vdes 55c40: 63000000 021d0223 04035f67 65745f68 c......#.._get_h 55c50: 775f6465 73630000 00022a02 2308035f w_desc....*.#.._ 55c60: 73776170 5f766465 73630000 00023302 swap_vdesc....3. 55c70: 230c0370 52657365 72766564 00000002 #..pReserved.... 55c80: 3a022310 00025f56 42554600 20000003 :.#..._VBUF. ... 55c90: 0c036465 73635f6c 69737400 00000210 ..desc_list..... 55ca0: 02230003 6e657874 5f627566 00000003 .#..next_buf.... 55cb0: 0c022304 03627566 5f6c656e 67746800 ..#..buf_length. 55cc0: 000001d3 02230803 72657365 72766564 .....#..reserved 55cd0: 00000003 1302230a 03637478 00000001 ......#..ctx.... 55ce0: e102230c 00040000 02ac0400 07000001 ..#............. 55cf0: a9020000 03200801 00040000 02ac0400 ..... .......... 55d00: 06564255 46000000 02ac0400 00032704 .VBUF.........'. 55d10: 000a0000 03310104 00000338 04000a00 .....1.....8.... 55d20: 00033101 04000003 45040009 01040000 ..1.....E....... 55d30: 03520400 02766275 665f6170 69001400 .R...vbuf_api... 55d40: 0003d003 5f696e69 74000000 01fe0223 ...._init......# 55d50: 00035f61 6c6c6f63 5f766275 66000000 .._alloc_vbuf... 55d60: 033e0223 04035f61 6c6c6f63 5f766275 .>.#.._alloc_vbu 55d70: 665f7769 74685f73 697a6500 0000034b f_with_size....K 55d80: 02230803 5f667265 655f7662 75660000 .#.._free_vbuf.. 55d90: 00035402 230c0370 52657365 72766564 ..T.#..pReserved 55da0: 00000002 3a022310 00027a73 446d6144 ....:.#...zsDmaD 55db0: 65736300 14000004 52036374 726c0000 esc.....R.ctrl.. 55dc0: 0001bd02 23000373 74617475 73000000 ....#..status... 55dd0: 01bd0223 0203746f 74616c4c 656e0000 ...#..totalLen.. 55de0: 0001bd02 23040364 61746153 697a6500 ....#..dataSize. 55df0: 000001bd 02230603 6c617374 41646472 .....#..lastAddr 55e00: 00000004 52022308 03646174 61416464 ....R.#..dataAdd 55e10: 72000000 04590223 0c036e65 78744164 r....Y.#..nextAd 55e20: 64720000 00045202 23100004 000003d0 dr....R.#....... 55e30: 0400056c 6f6e6720 756e7369 676e6564 ...long unsigned 55e40: 20696e74 00070404 000003d0 0400027a int...........z 55e50: 73446d61 51756575 65000800 0004a703 sDmaQueue....... 55e60: 68656164 00000004 6e022300 03746572 head....n.#..ter 55e70: 6d696e61 746f7200 0000046e 02230400 minator....n.#.. 55e80: 027a7354 78446d61 51756575 65001000 .zsTxDmaQueue... 55e90: 00050b03 68656164 00000004 6e022300 ....head....n.#. 55ea0: 03746572 6d696e61 746f7200 0000046e .terminator....n 55eb0: 02230403 786d6974 65645f62 75665f68 .#..xmited_buf_h 55ec0: 65616400 00000331 02230803 786d6974 ead....1.#..xmit 55ed0: 65645f62 75665f74 61696c00 00000331 ed_buf_tail....1 55ee0: 02230c00 09010400 00050b04 00040000 .#.............. 55ef0: 04750400 09010400 00051b04 00040000 .u.............. 55f00: 04a70400 09010400 00052b04 00090104 ..........+..... 55f10: 00000534 04000901 04000005 3d04000a ...4........=... 55f20: 00000331 01040000 05460400 09010400 ...1.....F...... 55f30: 00055304 000a0000 03310104 0000055c ..S......1.....\ 55f40: 04000901 04000005 6904000a 000001f5 ........i....... 55f50: 01040000 05720400 0a000004 6e010400 .....r......n... 55f60: 00057f04 00090104 0000058c 04000264 ...............d 55f70: 6d615f65 6e67696e 655f6170 69004000 ma_engine_api.@. 55f80: 00070203 5f696e69 74000000 050d0223 ...._init......# 55f90: 00035f69 6e69745f 72785f71 75657565 .._init_rx_queue 55fa0: 00000005 1d022304 035f696e 69745f74 ......#.._init_t 55fb0: 785f7175 65756500 0000052d 02230803 x_queue....-.#.. 55fc0: 5f636f6e 6669675f 72785f71 75657565 _config_rx_queue 55fd0: 00000005 3602230c 035f786d 69745f62 ....6.#.._xmit_b 55fe0: 75660000 00053f02 2310035f 666c7573 uf....?.#.._flus 55ff0: 685f786d 69740000 00051d02 2314035f h_xmit......#.._ 56000: 72656170 5f726563 765f6275 66000000 reap_recv_buf... 56010: 054c0223 18035f72 65747572 6e5f7265 .L.#.._return_re 56020: 63765f62 75660000 00055502 231c035f cv_buf....U.#.._ 56030: 72656170 5f786d69 7465645f 62756600 reap_xmited_buf. 56040: 00000562 02232003 5f737761 705f6461 ...b.# ._swap_da 56050: 74610000 00056b02 2324035f 6861735f ta....k.#$._has_ 56060: 636f6d70 6c5f7061 636b6574 73000000 compl_packets... 56070: 05780223 28035f64 6573635f 64756d70 .x.#(._desc_dump 56080: 00000005 1d02232c 035f6765 745f7061 ......#,._get_pa 56090: 636b6574 00000005 85022330 035f7265 cket......#0._re 560a0: 636c6169 6d5f7061 636b6574 00000005 claim_packet.... 560b0: 8e022334 035f7075 745f7061 636b6574 ..#4._put_packet 560c0: 00000005 8e022338 03705265 73657276 ......#8.pReserv 560d0: 65640000 00023a02 233c0009 01040000 ed....:.#<...... 560e0: 07020400 05636861 72000701 0c000007 .....char....... 560f0: 0b0c0000 070b0400 00071804 000a0000 ................ 56100: 01f50104 00000724 04000270 72696e74 .......$...print 56110: 665f6170 69000800 00076803 5f707269 f_api.....h._pri 56120: 6e74665f 696e6974 00000007 04022300 ntf_init......#. 56130: 035f7072 696e7466 00000007 2a022304 ._printf....*.#. 56140: 00067569 6e743136 5f740000 0001bd06 ..uint16_t...... 56150: 75696e74 33325f74 00000004 59027561 uint32_t....Y.ua 56160: 72745f66 69666f00 08000007 cf037374 rt_fifo.......st 56170: 6172745f 696e6465 78000000 07680223 art_index....h.# 56180: 0003656e 645f696e 64657800 00000768 ..end_index....h 56190: 02230203 6f766572 72756e5f 65727200 .#..overrun_err. 561a0: 00000776 02230400 02756172 745f6170 ...v.#...uart_ap 561b0: 69002000 00088803 5f756172 745f696e i. ....._uart_in 561c0: 69740000 0008df02 2300035f 75617274 it......#.._uart 561d0: 5f636861 725f7075 74000000 08f50223 _char_put......# 561e0: 04035f75 6172745f 63686172 5f676574 .._uart_char_get 561f0: 00000009 09022308 035f7561 72745f73 ......#.._uart_s 56200: 74725f6f 75740000 00091202 230c035f tr_out......#.._ 56210: 75617274 5f746173 6b000000 07040223 uart_task......# 56220: 10035f75 6172745f 73746174 75730000 .._uart_status.. 56230: 0008df02 2314035f 75617274 5f636f6e ....#.._uart_con 56240: 66696700 0000091b 02231803 5f756172 fig......#.._uar 56250: 745f6877 696e6974 00000009 2402231c t_hwinit....$.#. 56260: 00040000 07cf0400 02756172 745f626c .........uart_bl 56270: 6b001000 0008d903 64656275 675f6d6f k.......debug_mo 56280: 64650000 00076802 23000362 61756400 de....h.#..baud. 56290: 00000768 02230203 5f756172 74000000 ...h.#.._uart... 562a0: 08880223 04035f74 78000000 07840223 ...#.._tx......# 562b0: 08000a00 00077601 04000008 d9040006 ......v......... 562c0: 75696e74 385f7400 00000198 09010400 uint8_t......... 562d0: 0008f304 00040000 08e60400 0a000007 ................ 562e0: 68010400 00090304 00090104 00000910 h............... 562f0: 04000901 04000009 19040009 01040000 ................ 56300: 09220400 04000007 0b04000a 000001f5 .".............. 56310: 01040000 09320400 0244425f 434f4d4d .....2...DB_COMM 56320: 414e445f 53545255 4354000c 0000098a AND_STRUCT...... 56330: 03636d64 5f737472 00000009 2b022300 .cmd_str....+.#. 56340: 0368656c 705f7374 72000000 092b0223 .help_str....+.# 56350: 0403636d 645f6675 6e630000 00093802 ..cmd_func....8. 56360: 23080002 6462675f 61706900 08000009 #...dbg_api..... 56370: bd035f64 62675f69 6e697400 00000704 .._dbg_init..... 56380: 02230003 5f646267 5f746173 6b000000 .#.._dbg_task... 56390: 07040223 04000575 6e736967 6e656420 ...#...unsigned 563a0: 696e7400 07040a00 00023a01 04000009 int.......:..... 563b0: cd04000d 0d040000 09db0400 0a000002 ................ 563c0: 3a010400 0009e304 000a0000 01f50104 :............... 563d0: 000009f0 0400026d 656d5f61 70690014 .......mem_api.. 563e0: 00000a5f 035f6d65 6d5f696e 69740000 ..._._mem_init.. 563f0: 00070402 2300035f 6d656d73 65740000 ....#.._memset.. 56400: 0009d302 2304035f 6d656d63 70790000 ....#.._memcpy.. 56410: 0009e902 2308035f 6d656d6d 6f766500 ....#.._memmove. 56420: 000009e9 02230c03 5f6d656d 636d7000 .....#.._memcmp. 56430: 000009f6 02231000 0e726567 69737465 .....#...registe 56440: 725f6475 6d705f73 00000104 00000a5f r_dump_s......._ 56450: 04000901 0400000a 79040009 01040000 ........y....... 56460: 0a820400 0a000001 f5010400 000a8b04 ................ 56470: 000f686f 73746966 5f730004 00000ae7 ..hostif_s...... 56480: 10484946 5f555342 00001048 49465f50 .HIF_USB...HIF_P 56490: 43494500 01104849 465f474d 41430002 CIE...HIF_GMAC.. 564a0: 10484946 5f504349 00031048 49465f4e .HIF_PCI...HIF_N 564b0: 554d0004 10484946 5f4e4f4e 45000500 UM...HIF_NONE... 564c0: 06415f48 4f535449 46000000 0a980a00 .A_HOSTIF....... 564d0: 000ae701 0400000a f504000a 000008e6 ................ 564e0: 01040000 0b020400 0a000007 68010400 ............h... 564f0: 000b0f04 00026d69 73635f61 70690024 ......misc_api.$ 56500: 00000bff 035f7379 7374656d 5f726573 ....._system_res 56510: 65740000 00070402 2300035f 6d61635f et......#.._mac_ 56520: 72657365 74000000 07040223 04035f61 reset......#.._a 56530: 73736661 696c0000 000a7b02 2308035f ssfail....{.#.._ 56540: 6d697361 6c69676e 65645f6c 6f61645f misaligned_load_ 56550: 68616e64 6c657200 00000a7b 02230c03 handler....{.#.. 56560: 5f726570 6f72745f 6661696c 7572655f _report_failure_ 56570: 746f5f68 6f737400 00000a84 02231003 to_host......#.. 56580: 5f746172 6765745f 69645f67 65740000 _target_id_get.. 56590: 000a9102 2314035f 69735f68 6f73745f ....#.._is_host_ 565a0: 70726573 656e7400 00000afb 02231803 present......#.. 565b0: 5f6b6268 69740000 000b0802 231c035f _kbhit......#.._ 565c0: 726f6d5f 76657273 696f6e5f 67657400 rom_version_get. 565d0: 00000b15 02232000 0a000009 2b010400 .....# .....+... 565e0: 000bff04 000a0000 092b0104 00000c0c .........+...... 565f0: 04000a00 0001f501 0400000c 1904000a ................ 56600: 000001f5 01040000 0c260400 0a000001 .........&...... 56610: f5010400 000c3304 00027374 72696e67 ......3...string 56620: 5f617069 00180000 0cb9035f 73747269 _api......._stri 56630: 6e675f69 6e697400 00000704 02230003 ng_init......#.. 56640: 5f737472 63707900 00000c05 02230403 _strcpy......#.. 56650: 5f737472 6e637079 0000000c 12022308 _strncpy......#. 56660: 035f7374 726c656e 0000000c 1f02230c ._strlen......#. 56670: 035f7374 72636d70 0000000c 2c022310 ._strcmp....,.#. 56680: 035f7374 726e636d 70000000 0c390223 ._strncmp....9.# 56690: 14000700 0009bd14 00000cc6 08040006 ................ 566a0: 5f415f54 494d4552 5f535041 43450000 _A_TIMER_SPACE.. 566b0: 000cb906 415f7469 6d65725f 74000000 ....A_timer_t... 566c0: 0cc60400 000cda04 00090104 00000cf0 ................ 566d0: 04000901 0400000c f9040006 415f4841 ............A_HA 566e0: 4e444c45 00000009 bd090106 415f5449 NDLE........A_TI 566f0: 4d45525f 46554e43 0000000d 10040000 MER_FUNC........ 56700: 0d120400 09010400 000d2b04 00027469 ..........+...ti 56710: 6d65725f 61706900 1400000d aa035f74 mer_api......._t 56720: 696d6572 5f696e69 74000000 07040223 imer_init......# 56730: 00035f74 696d6572 5f61726d 0000000c .._timer_arm.... 56740: f2022304 035f7469 6d65725f 64697361 ..#.._timer_disa 56750: 726d0000 000cfb02 2308035f 74696d65 rm......#.._time 56760: 725f7365 74666e00 00000d2d 02230c03 r_setfn....-.#.. 56770: 5f74696d 65725f72 756e0000 00070402 _timer_run...... 56780: 23100006 424f4f4c 45414e00 00000768 #...BOOLEAN....h 56790: 0a00000d aa010400 000db704 000a0000 ................ 567a0: 0daa0104 00000dc4 04000a00 000daa01 ................ 567b0: 0400000d d1040002 726f6d70 5f617069 ........romp_api 567c0: 00100000 0e43035f 726f6d70 5f696e69 .....C._romp_ini 567d0: 74000000 07040223 00035f72 6f6d705f t......#.._romp_ 567e0: 646f776e 6c6f6164 0000000d bd022304 download......#. 567f0: 035f726f 6d705f69 6e737461 6c6c0000 ._romp_install.. 56800: 000dca02 2308035f 726f6d70 5f646563 ....#.._romp_dec 56810: 6f646500 00000dd7 02230c00 02726f6d ode......#...rom 56820: 5f706174 63685f73 74001000 000e9f03 _patch_st....... 56830: 63726331 36000000 07680223 00036c65 crc16....h.#..le 56840: 6e000000 07680223 02036c64 5f616464 n....h.#..ld_add 56850: 72000000 07760223 04036675 6e5f6164 r....v.#..fun_ad 56860: 64720000 00077602 23080370 66756e00 dr....v.#..pfun. 56870: 000008fc 02230c00 02656570 5f726564 .....#...eep_red 56880: 69725f61 64647200 0400000e d1036f66 ir_addr.......of 56890: 66736574 00000007 68022300 0373697a fset....h.#..siz 568a0: 65000000 07680223 02000641 5f55494e e....h.#...A_UIN 568b0: 54333200 000009bd 0a000002 3a010400 T32.........:... 568c0: 000edf04 0002616c 6c6f6372 616d5f61 ......allocram_a 568d0: 7069000c 00000f50 03636d6e 6f735f61 pi.....P.cmnos_a 568e0: 6c6c6f63 72616d5f 696e6974 0000000e llocram_init.... 568f0: e5022300 03636d6e 6f735f61 6c6c6f63 ..#..cmnos_alloc 56900: 72616d00 00000ee5 02230403 636d6e6f ram......#..cmno 56910: 735f616c 6c6f6372 616d5f64 65627567 s_allocram_debug 56920: 00000007 04022308 00090104 00000f50 ......#........P 56930: 04000641 5f544153 4b4c4554 5f46554e ...A_TASKLET_FUN 56940: 43000000 0f52025f 7461736b 6c657400 C....R._tasklet. 56950: 1000000f b1036675 6e630000 000f5902 ......func....Y. 56960: 23000361 72670000 00023a02 23040373 #..arg....:.#..s 56970: 74617465 00000001 f5022308 036e6578 tate......#..nex 56980: 74000000 0fb10223 0c000400 000f6d04 t......#......m. 56990: 00040000 0f6d0400 06415f74 61736b6c .....m...A_taskl 569a0: 65745f74 0000000f 6d040000 0fbf0400 et_t....m....... 569b0: 09010400 000fd704 00090104 00000fe0 ................ 569c0: 04000274 61736b6c 65745f61 70690014 ...tasklet_api.. 569d0: 00001075 035f7461 736b6c65 745f696e ...u._tasklet_in 569e0: 69740000 00070402 2300035f 7461736b it......#.._task 569f0: 6c65745f 696e6974 5f746173 6b000000 let_init_task... 56a00: 0fd90223 04035f74 61736b6c 65745f64 ...#.._tasklet_d 56a10: 69736162 6c650000 000fe202 2308035f isable......#.._ 56a20: 7461736b 6c65745f 73636865 64756c65 tasklet_schedule 56a30: 0000000f e202230c 035f7461 736b6c65 ......#.._taskle 56a40: 745f7275 6e000000 07040223 10000901 t_run......#.... 56a50: 04000010 7504000a 00000ed1 01040000 ....u........... 56a60: 107e0400 02636c6f 636b5f61 70690024 .~...clock_api.$ 56a70: 00001164 035f636c 6f636b5f 696e6974 ...d._clock_init 56a80: 00000010 77022300 035f636c 6f636b72 ....w.#.._clockr 56a90: 6567735f 696e6974 00000007 04022304 egs_init......#. 56aa0: 035f7561 72745f66 72657175 656e6379 ._uart_frequency 56ab0: 00000010 84022308 035f6465 6c61795f ......#.._delay_ 56ac0: 75730000 0001fe02 230c035f 776c616e us......#.._wlan 56ad0: 5f62616e 645f7365 74000000 01fe0223 _band_set......# 56ae0: 10035f72 6566636c 6b5f7370 6565645f .._refclk_speed_ 56af0: 67657400 00001084 02231403 5f6d696c get......#.._mil 56b00: 6c697365 636f6e64 73000000 10840223 liseconds......# 56b10: 18035f73 7973636c 6b5f6368 616e6765 .._sysclk_change 56b20: 00000007 0402231c 035f636c 6f636b5f ......#.._clock_ 56b30: 7469636b 00000007 04022320 000a0000 tick......# .... 56b40: 07760104 00001164 04000641 5f6f6c64 .v.....d...A_old 56b50: 5f696e74 725f7400 00000776 0a000011 _intr_t....v.... 56b60: 71010400 00118304 00090104 00001190 q............... 56b70: 04000901 04000011 9904000a 00000776 ...............v 56b80: 01040000 11a20400 06415f69 73725f74 .........A_isr_t 56b90: 00000011 a8090104 000011bc 04000a00 ................ 56ba0: 0009bd01 04000011 c5040009 01040000 ................ 56bb0: 11d20400 02696e74 725f6170 69002c00 .....intr_api.,. 56bc0: 0012f403 5f696e74 725f696e 69740000 ...._intr_init.. 56bd0: 00070402 2300035f 696e7472 5f696e76 ....#.._intr_inv 56be0: 6f6b655f 69737200 0000116a 02230403 oke_isr....j.#.. 56bf0: 5f696e74 725f6469 7361626c 65000000 _intr_disable... 56c00: 11890223 08035f69 6e74725f 72657374 ...#.._intr_rest 56c10: 6f726500 00001192 02230c03 5f696e74 ore......#.._int 56c20: 725f6d61 736b5f69 6e756d00 0000119b r_mask_inum..... 56c30: 02231003 5f696e74 725f756e 6d61736b .#.._intr_unmask 56c40: 5f696e75 6d000000 119b0223 14035f69 _inum......#.._i 56c50: 6e74725f 61747461 63685f69 73720000 ntr_attach_isr.. 56c60: 0011be02 2318035f 6765745f 696e7472 ....#.._get_intr 56c70: 656e6162 6c650000 0011cb02 231c035f enable......#.._ 56c80: 7365745f 696e7472 656e6162 6c650000 set_intrenable.. 56c90: 0011d402 2320035f 6765745f 696e7472 ....# ._get_intr 56ca0: 70656e64 696e6700 000011cb 02232403 pending......#$. 56cb0: 5f756e62 6c6f636b 5f616c6c 5f696e74 _unblock_all_int 56cc0: 726c766c 00000007 04022328 00110400 rlvl......#(.... 56cd0: 00131a03 74696d65 6f757400 00000776 ....timeout....v 56ce0: 02230003 61637469 6f6e0000 00077602 .#..action....v. 56cf0: 23000012 08000013 3503636d 64000000 #.......5.cmd... 56d00: 07760223 00130000 12f40223 04000654 .v.#.......#...T 56d10: 5f574454 5f434d44 00000013 1a090104 _WDT_CMD........ 56d20: 00001344 04001404 0000139a 10454e55 ...D.........ENU 56d30: 4d5f5744 545f424f 4f540001 10454e55 M_WDT_BOOT...ENU 56d40: 4d5f434f 4c445f42 4f4f5400 0210454e M_COLD_BOOT...EN 56d50: 554d5f53 5553505f 424f4f54 00031045 UM_SUSP_BOOT...E 56d60: 4e554d5f 554e4b4e 4f574e5f 424f4f54 NUM_UNKNOWN_BOOT 56d70: 00040006 545f424f 4f545f54 59504500 ....T_BOOT_TYPE. 56d80: 0000134d 0a000013 9a010400 0013ab04 ...M............ 56d90: 00027764 745f6170 69001c00 00144f03 ..wdt_api.....O. 56da0: 5f776474 5f696e69 74000000 07040223 _wdt_init......# 56db0: 00035f77 64745f65 6e61626c 65000000 .._wdt_enable... 56dc0: 07040223 04035f77 64745f64 69736162 ...#.._wdt_disab 56dd0: 6c650000 00070402 2308035f 7764745f le......#.._wdt_ 56de0: 73657400 00001346 02230c03 5f776474 set....F.#.._wdt 56df0: 5f746173 6b000000 07040223 10035f77 _task......#.._w 56e00: 64745f72 65736574 00000007 04022314 dt_reset......#. 56e10: 035f7764 745f6c61 73745f62 6f6f7400 ._wdt_last_boot. 56e20: 000013b1 02231800 14040000 14b61052 .....#.........R 56e30: 45545f53 55434345 53530000 10524554 ET_SUCCESS...RET 56e40: 5f4e4f54 5f494e49 54000110 5245545f _NOT_INIT...RET_ 56e50: 4e4f545f 45584953 54000210 5245545f NOT_EXIST...RET_ 56e60: 4545505f 434f5252 55505400 03105245 EEP_CORRUPT...RE 56e70: 545f4545 505f4f56 4552464c 4f570004 T_EEP_OVERFLOW.. 56e80: 10524554 5f554e4b 4e4f574e 00050006 .RET_UNKNOWN.... 56e90: 545f4545 505f5245 54000000 144f0400 T_EEP_RET....O.. 56ea0: 00076804 000a0000 14b60104 000014cc ..h............. 56eb0: 04000a00 0014b601 04000014 d9040002 ................ 56ec0: 6565705f 61706900 10000015 42035f65 eep_api.....B._e 56ed0: 65705f69 6e697400 00000704 02230003 ep_init......#.. 56ee0: 5f656570 5f726561 64000000 14d20223 _eep_read......# 56ef0: 04035f65 65705f77 72697465 00000014 .._eep_write.... 56f00: d2022308 035f6565 705f6973 5f657869 ..#.._eep_is_exi 56f10: 73740000 0014df02 230c0002 7573625f st......#...usb_ 56f20: 61706900 70000017 ef035f75 73625f69 api.p....._usb_i 56f30: 6e697400 00000704 02230003 5f757362 nit......#.._usb 56f40: 5f726f6d 5f746173 6b000000 07040223 _rom_task......# 56f50: 04035f75 73625f66 775f7461 736b0000 .._usb_fw_task.. 56f60: 00070402 2308035f 7573625f 696e6974 ....#.._usb_init 56f70: 5f706879 00000007 0402230c 035f7573 _phy......#.._us 56f80: 625f6570 305f7365 74757000 00000704 b_ep0_setup..... 56f90: 02231003 5f757362 5f657030 5f747800 .#.._usb_ep0_tx. 56fa0: 00000704 02231403 5f757362 5f657030 .....#.._usb_ep0 56fb0: 5f727800 00000704 02231803 5f757362 _rx......#.._usb 56fc0: 5f676574 5f696e74 65726661 63650000 _get_interface.. 56fd0: 000dca02 231c035f 7573625f 7365745f ....#.._usb_set_ 56fe0: 696e7465 72666163 65000000 0dca0223 interface......# 56ff0: 20035f75 73625f67 65745f63 6f6e6669 ._usb_get_confi 57000: 67757261 74696f6e 0000000d ca022324 guration......#$ 57010: 035f7573 625f7365 745f636f 6e666967 ._usb_set_config 57020: 75726174 696f6e00 00000dca 02232803 uration......#(. 57030: 5f757362 5f737461 6e646172 645f636d _usb_standard_cm 57040: 64000000 0dca0223 2c035f75 73625f76 d......#,._usb_v 57050: 656e646f 725f636d 64000000 07040223 endor_cmd......# 57060: 30035f75 73625f70 6f776572 5f6f6666 0._usb_power_off 57070: 00000007 04022334 035f7573 625f7265 ......#4._usb_re 57080: 7365745f 6669666f 00000007 04022338 set_fifo......#8 57090: 035f7573 625f6765 6e5f7764 74000000 ._usb_gen_wdt... 570a0: 07040223 3c035f75 73625f6a 756d705f ...#<._usb_jump_ 570b0: 626f6f74 00000007 04022340 035f7573 boot......#@._us 570c0: 625f636c 725f6665 61747572 65000000 b_clr_feature... 570d0: 0dca0223 44035f75 73625f73 65745f66 ...#D._usb_set_f 570e0: 65617475 72650000 000dca02 2348035f eature......#H._ 570f0: 7573625f 7365745f 61646472 65737300 usb_set_address. 57100: 00000dca 02234c03 5f757362 5f676574 .....#L._usb_get 57110: 5f646573 63726970 746f7200 00000dca _descriptor..... 57120: 02235003 5f757362 5f676574 5f737461 .#P._usb_get_sta 57130: 74757300 00000dca 02235403 5f757362 tus......#T._usb 57140: 5f736574 75705f64 65736300 00000704 _setup_desc..... 57150: 02235803 5f757362 5f726567 5f6f7574 .#X._usb_reg_out 57160: 00000007 0402235c 035f7573 625f7374 ......#\._usb_st 57170: 61747573 5f696e00 00000704 02236003 atus_in......#`. 57180: 5f757362 5f657030 5f74785f 64617461 _usb_ep0_tx_data 57190: 00000007 04022364 035f7573 625f6570 ......#d._usb_ep 571a0: 305f7278 5f646174 61000000 07040223 0_rx_data......# 571b0: 68035f75 73625f63 6c6b5f69 6e697400 h._usb_clk_init. 571c0: 00000704 02236c00 025f5f61 64665f64 .....#l..__adf_d 571d0: 65766963 65000400 00181103 64756d6d evice.......dumm 571e0: 79000000 01f50223 00000400 000ed104 y......#........ 571f0: 00025f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. 57200: 0c000018 58036275 66000000 03310223 ....X.buf....1.# 57210: 00036473 5f616464 72000000 18110223 ..ds_addr......# 57220: 04036473 5f6c656e 00000001 d3022308 ..ds_len......#. 57230: 00120c00 00189203 5f5f7661 5f73746b ........__va_stk 57240: 00000009 2b022300 035f5f76 615f7265 ....+.#..__va_re 57250: 67000000 092b0223 04035f5f 76615f6e g....+.#..__va_n 57260: 64780000 0001f502 23080006 5f5f6164 dx......#...__ad 57270: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 57280: 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ 57290: 61646472 5f740000 00189206 5f5f6164 addr_t......__ad 572a0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 572b0: 00000ed1 06616466 5f6f735f 646d615f .....adf_os_dma_ 572c0: 73697a65 5f740000 0018c202 5f5f646d size_t......__dm 572d0: 615f7365 67730008 0000191e 03706164 a_segs.......pad 572e0: 64720000 0018ab02 2300036c 656e0000 dr......#..len.. 572f0: 0018db02 23040006 5f5f615f 75696e74 ....#...__a_uint 57300: 33325f74 0000000e d106615f 75696e74 32_t......a_uint 57310: 33325f74 00000019 1e070000 18f20800 32_t............ 57320: 00194d08 00000261 64665f6f 735f646d ..M....adf_os_dm 57330: 616d6170 5f696e66 6f000c00 00198603 amap_info....... 57340: 6e736567 73000000 19300223 0003646d nsegs....0.#..dm 57350: 615f7365 67730000 00194002 23040006 a_segs....@.#... 57360: 5f5f615f 75696e74 385f7400 000001a9 __a_uint8_t..... 57370: 06615f75 696e7438 5f740000 00198604 .a_uint8_t...... 57380: 00001997 0400025f 5f73675f 73656773 .......__sg_segs 57390: 00080000 19d80376 61646472 00000019 .......vaddr.... 573a0: a6022300 036c656e 00000019 30022304 ..#..len....0.#. 573b0: 00070000 19ad2000 0019e508 03000261 ...... ........a 573c0: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. 573d0: 1a18036e 73656773 00000019 30022300 ...nsegs....0.#. 573e0: 0373675f 73656773 00000019 d8022304 .sg_segs......#. 573f0: 00121000 001a6103 76656e64 6f720000 ......a.vendor.. 57400: 00193002 23000364 65766963 65000000 ..0.#..device... 57410: 19300223 04037375 6276656e 646f7200 .0.#..subvendor. 57420: 00001930 02230803 73756264 65766963 ...0.#..subdevic 57430: 65000000 19300223 0c00056c 6f6e6720 e....0.#...long 57440: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 57450: 74000708 06415f55 494e5436 34000000 t....A_UINT64... 57460: 1a61065f 5f615f75 696e7436 345f7400 .a.__a_uint64_t. 57470: 00001a7b 06615f75 696e7436 345f7400 ...{.a_uint64_t. 57480: 00001a89 14040000 1ae71041 44465f4f ...........ADF_O 57490: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ 574a0: 4d454d00 00104144 465f4f53 5f524553 MEM...ADF_OS_RES 574b0: 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... 574c0: 06616466 5f6f735f 7265736f 75726365 .adf_os_resource 574d0: 5f747970 655f7400 00001aab 12180000 _type_t......... 574e0: 1b310373 74617274 0000001a 9b022300 .1.start......#. 574f0: 03656e64 0000001a 9b022308 03747970 .end......#..typ 57500: 65000000 1ae70223 10000661 64665f6f e......#...adf_o 57510: 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. 57520: 001a1804 00001b31 04001104 00001b70 .......1.......p 57530: 03706369 0000001b 4a022300 03726177 .pci....J.#..raw 57540: 00000002 3a022300 00111000 001b8f03 ....:.#......... 57550: 70636900 00001b31 02230003 72617700 pci....1.#..raw. 57560: 0000023a 02230000 06616466 5f647276 ...:.#...adf_drv 57570: 5f68616e 646c655f 74000000 023a0661 _handle_t....:.a 57580: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 57590: 0000001b 03040000 1ba50400 06616466 .............adf 575a0: 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ 575b0: 74000000 1b700400 001bc304 00040000 t....p.......... 575c0: 17ef0400 065f5f61 64665f6f 735f6465 .....__adf_os_de 575d0: 76696365 5f740000 001be406 6164665f vice_t......adf_ 575e0: 6f735f64 65766963 655f7400 00001beb os_device_t..... 575f0: 0a00001b 8f010400 001c1704 00090104 ................ 57600: 00001c24 04000661 64665f6f 735f706d ...$...adf_os_pm 57610: 5f740000 00023a09 01040000 1c3e0400 _t....:......>.. 57620: 14040000 1c7e1041 44465f4f 535f4255 .....~.ADF_OS_BU 57630: 535f5459 50455f50 43490001 10414446 S_TYPE_PCI...ADF 57640: 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN 57650: 45524943 00020006 6164665f 6f735f62 ERIC....adf_os_b 57660: 75735f74 7970655f 74000000 1c470661 us_type_t....G.a 57670: 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da 57680: 74615f74 0000001b 51040000 01980400 ta_t....Q....... 57690: 025f6164 665f6472 765f696e 666f0020 ._adf_drv_info. 576a0: 00001d5b 03647276 5f617474 61636800 ...[.drv_attach. 576b0: 00001c1d 02230003 6472765f 64657461 .....#..drv_deta 576c0: 63680000 001c2602 23040364 72765f73 ch....&.#..drv_s 576d0: 75737065 6e640000 001c4002 23080364 uspend....@.#..d 576e0: 72765f72 6573756d 65000000 1c260223 rv_resume....&.# 576f0: 0c036275 735f7479 70650000 001c7e02 ..bus_type....~. 57700: 23100362 75735f64 61746100 00001c95 #..bus_data..... 57710: 02231403 6d6f645f 6e616d65 0000001c .#..mod_name.... 57720: b0022318 0369666e 616d6500 00001cb0 ..#..ifname..... 57730: 02231c00 06616466 5f6f735f 68616e64 .#...adf_os_hand 57740: 6c655f74 00000002 3a040000 19860400 le_t....:....... 57750: 09010901 065f5f61 64665f6f 735f7369 .....__adf_os_si 57760: 7a655f74 00000009 bd140400 001daa10 ze_t............ 57770: 415f4641 4c534500 0010415f 54525545 A_FALSE...A_TRUE 57780: 00010006 615f626f 6f6c5f74 0000001d ....a_bool_t.... 57790: 90040000 18180400 065f5f61 64665f6f .........__adf_o 577a0: 735f646d 615f6d61 705f7400 00001db8 s_dma_map_t..... 577b0: 09010f61 64665f6f 735f6361 6368655f ...adf_os_cache_ 577c0: 73796e63 00040000 1e421041 44465f53 sync.....B.ADF_S 577d0: 594e435f 50524552 45414400 00104144 YNC_PREREAD...AD 577e0: 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. 577f0: 02104144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR 57800: 45414400 01104144 465f5359 4e435f50 EAD...ADF_SYNC_P 57810: 4f535457 52495445 00030006 6164665f OSTWRITE....adf_ 57820: 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. 57830: 00001dd9 09010661 64665f6f 735f7369 .......adf_os_si 57840: 7a655f74 0000001d 7b0a0000 1e5d0106 ze_t....{....].. 57850: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t 57860: 0000001d bf040000 1e760400 0a000002 .........v...... 57870: 3a010400 001dbf04 000a0000 023a0109 :............:.. 57880: 010a0000 18ab0109 01057368 6f727420 ..........short 57890: 696e7400 05020641 5f494e54 31360000 int....A_INT16.. 578a0: 001eb006 5f5f615f 696e7431 365f7400 ....__a_int16_t. 578b0: 00001ebd 06615f69 6e743136 5f740000 .....a_int16_t.. 578c0: 001eca05 7369676e 65642063 68617200 ....signed char. 578d0: 05010641 5f494e54 38000000 1eea065f ...A_INT8......_ 578e0: 5f615f69 6e74385f 74000000 1ef90661 _a_int8_t......a 578f0: 5f696e74 385f7400 00001f05 120c0000 _int8_t......... 57900: 1f7c0373 7570706f 72746564 00000019 .|.supported.... 57910: 30022300 03616476 65727469 7a656400 0.#..advertized. 57920: 00001930 02230403 73706565 64000000 ...0.#..speed... 57930: 1edb0223 08036475 706c6578 0000001f ...#..duplex.... 57940: 1502230a 03617574 6f6e6567 00000019 ..#..autoneg.... 57950: 9702230b 00070000 19970600 001f8908 ..#............. 57960: 05000261 64665f6e 65745f65 74686164 ...adf_net_ethad 57970: 64720006 00001fad 03616464 72000000 dr.......addr... 57980: 1f7c0223 0000065f 5f615f75 696e7431 .|.#...__a_uint1 57990: 365f7400 000001d3 06615f75 696e7431 6_t......a_uint1 579a0: 365f7400 00001fad 120e0000 20110365 6_t......... ..e 579b0: 74686572 5f64686f 73740000 001f7c02 ther_dhost....|. 579c0: 23000365 74686572 5f73686f 73740000 #..ether_shost.. 579d0: 001f7c02 23060365 74686572 5f747970 ..|.#..ether_typ 579e0: 65000000 1fbf0223 0c001214 000020d2 e......#...... . 579f0: 1569705f 76657273 696f6e00 00001997 .ip_version..... 57a00: 01000402 23001569 705f686c 00000019 ....#..ip_hl.... 57a10: 97010404 02230003 69705f74 6f730000 .....#..ip_tos.. 57a20: 00199702 23010369 705f6c65 6e000000 ....#..ip_len... 57a30: 1fbf0223 02036970 5f696400 00001fbf ...#..ip_id..... 57a40: 02230403 69705f66 7261675f 6f666600 .#..ip_frag_off. 57a50: 00001fbf 02230603 69705f74 746c0000 .....#..ip_ttl.. 57a60: 00199702 23080369 705f7072 6f746f00 ....#..ip_proto. 57a70: 00001997 02230903 69705f63 6865636b .....#..ip_check 57a80: 0000001f bf02230a 0369705f 73616464 ......#..ip_sadd 57a90: 72000000 19300223 0c036970 5f646164 r....0.#..ip_dad 57aa0: 64720000 00193002 23100002 6164665f dr....0.#...adf_ 57ab0: 6e65745f 766c616e 68647200 04000021 net_vlanhdr....! 57ac0: 24037470 69640000 001fbf02 23001570 $.tpid......#..p 57ad0: 72696f00 00001997 01000302 23021563 rio.........#..c 57ae0: 66690000 00199701 03010223 02157669 fi.........#..vi 57af0: 64000000 1fbf0204 0c022302 00026164 d.........#...ad 57b00: 665f6e65 745f7669 64000200 00215515 f_net_vid....!U. 57b10: 72657300 00001997 01000402 23001576 res.........#..v 57b20: 616c0000 001fbf02 040c0223 0000120c al.........#.... 57b30: 00002191 0372785f 62756673 697a6500 ..!..rx_bufsize. 57b40: 00001930 02230003 72785f6e 64657363 ...0.#..rx_ndesc 57b50: 00000019 30022304 0374785f 6e646573 ....0.#..tx_ndes 57b60: 63000000 19300223 08001208 000021b7 c....0.#......!. 57b70: 03706f6c 6c656400 00001daa 02230003 .polled......#.. 57b80: 706f6c6c 5f777400 00001930 02230400 poll_wt....0.#.. 57b90: 07000019 97400000 21c4083f 00124600 .....@..!..?..F. 57ba0: 0021ec03 69665f6e 616d6500 000021b7 .!..if_name...!. 57bb0: 02230003 6465765f 61646472 0000001f .#..dev_addr.... 57bc0: 7c022340 00140400 00222310 4144465f |.#@....."#.ADF_ 57bd0: 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI 57be0: 54000010 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M 57bf0: 41534b5f 36344249 54000100 06616466 ASK_64BIT....adf 57c00: 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. 57c10: 0021ec02 6164665f 646d615f 696e666f .!..adf_dma_info 57c20: 00080000 22700364 6d615f6d 61736b00 ...."p.dma_mask. 57c30: 00002223 02230003 73675f6e 73656773 .."#.#..sg_nsegs 57c40: 00000019 30022304 00140400 0022c610 ....0.#......".. 57c50: 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO 57c60: 4e450000 10414446 5f4e4554 5f434b53 NE...ADF_NET_CKS 57c70: 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. 57c80: 01104144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ 57c90: 5443505f 5544505f 49507636 00020006 TCP_UDP_IPv6.... 57ca0: 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty 57cb0: 70655f74 00000022 70120800 00230903 pe_t..."p....#.. 57cc0: 74785f63 6b73756d 00000022 c6022300 tx_cksum..."..#. 57cd0: 0372785f 636b7375 6d000000 22c60223 .rx_cksum..."..# 57ce0: 04000661 64665f6e 65745f63 6b73756d ...adf_net_cksum 57cf0: 5f696e66 6f5f7400 000022e0 14040000 _info_t..."..... 57d00: 23621041 44465f4e 45545f54 534f5f4e #b.ADF_NET_TSO_N 57d10: 4f4e4500 00104144 465f4e45 545f5453 ONE...ADF_NET_TS 57d20: 4f5f4950 56340001 10414446 5f4e4554 O_IPV4...ADF_NET 57d30: 5f54534f 5f414c4c 00020006 6164665f _TSO_ALL....adf_ 57d40: 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. 57d50: 00232312 10000023 b603636b 73756d5f .##....#..cksum_ 57d60: 63617000 00002309 02230003 74736f00 cap...#..#..tso. 57d70: 00002362 02230803 766c616e 5f737570 ..#b.#..vlan_sup 57d80: 706f7274 65640000 00199702 230c0012 ported......#... 57d90: 20000024 4f037478 5f706163 6b657473 ..$O.tx_packets 57da0: 00000019 30022300 0372785f 7061636b ....0.#..rx_pack 57db0: 65747300 00001930 02230403 74785f62 ets....0.#..tx_b 57dc0: 79746573 00000019 30022308 0372785f ytes....0.#..rx_ 57dd0: 62797465 73000000 19300223 0c037478 bytes....0.#..tx 57de0: 5f64726f 70706564 00000019 30022310 _dropped....0.#. 57df0: 0372785f 64726f70 70656400 00001930 .rx_dropped....0 57e00: 02231403 72785f65 72726f72 73000000 .#..rx_errors... 57e10: 19300223 18037478 5f657272 6f727300 .0.#..tx_errors. 57e20: 00001930 02231c00 06616466 5f6e6574 ...0.#...adf_net 57e30: 5f657468 61646472 5f740000 001f8916 _ethaddr_t...... 57e40: 0000244f 03000000 2474087f 00176164 ..$O....$t....ad 57e50: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 57e60: 00030400 0024ab03 6e656c65 6d000000 .....$..nelem... 57e70: 19300223 00036d63 61737400 00002466 .0.#..mcast...$f 57e80: 02230400 06616466 5f6e6574 5f636d64 .#...adf_net_cmd 57e90: 5f6c696e 6b5f696e 666f5f74 0000001f _link_info_t.... 57ea0: 23066164 665f6e65 745f636d 645f706f #.adf_net_cmd_po 57eb0: 6c6c5f69 6e666f5f 74000000 21910661 ll_info_t...!..a 57ec0: 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum 57ed0: 5f696e66 6f5f7400 00002309 06616466 _info_t...#..adf 57ee0: 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in 57ef0: 666f5f74 00000021 55066164 665f6e65 fo_t...!U.adf_ne 57f00: 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t 57f10: 00000022 3a066164 665f6e65 745f636d ...":.adf_net_cm 57f20: 645f7669 645f7400 00001fbf 06616466 d_vid_t......adf 57f30: 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload 57f40: 5f636170 5f740000 00237a06 6164665f _cap_t...#z.adf_ 57f50: 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. 57f60: 000023b6 06616466 5f6e6574 5f636d64 ..#..adf_net_cmd 57f70: 5f6d6361 6464725f 74000000 24740f61 _mcaddr_t...$t.a 57f80: 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast 57f90: 5f636170 00040000 25ed1041 44465f4e _cap....%..ADF_N 57fa0: 45545f4d 43415354 5f535550 00001041 ET_MCAST_SUP...A 57fb0: 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT 57fc0: 53555000 01000661 64665f6e 65745f63 SUP....adf_net_c 57fd0: 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. 57fe0: 0025a518 03040000 26bf036c 696e6b5f .%......&..link_ 57ff0: 696e666f 00000024 ab022300 03706f6c info...$..#..pol 58000: 6c5f696e 666f0000 0024c802 23000363 l_info...$..#..c 58010: 6b73756d 5f696e66 6f000000 24e50223 ksum_info...$..# 58020: 00037269 6e675f69 6e666f00 00002503 ..ring_info...%. 58030: 02230003 646d615f 696e666f 00000025 .#..dma_info...% 58040: 20022300 03766964 00000025 3c022300 .#..vid...%<.#. 58050: 036f6666 6c6f6164 5f636170 00000025 .offload_cap...% 58060: 53022300 03737461 74730000 00257202 S.#..stats...%r. 58070: 2300036d 63617374 5f696e66 6f000000 #..mcast_info... 58080: 258b0223 00036d63 6173745f 63617000 %..#..mcast_cap. 58090: 000025ed 02230000 14040000 27161041 ..%..#......'..A 580a0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 580b0: 5f4e4f4e 45000010 4144465f 4e425546 _NONE...ADF_NBUF 580c0: 5f52585f 434b5355 4d5f4857 00011041 _RX_CKSUM_HW...A 580d0: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 580e0: 5f554e4e 45434553 53415259 00020006 _UNNECESSARY.... 580f0: 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu 58100: 6d5f7479 70655f74 00000026 bf120800 m_type_t...&.... 58110: 00275603 72657375 6c740000 00271602 .'V.result...'.. 58120: 23000376 616c0000 00193002 23040012 #..val....0.#... 58130: 08000027 86037479 70650000 00236202 ...'..type...#b. 58140: 2300036d 73730000 001fbf02 23040368 #..mss......#..h 58150: 64725f6f 66660000 00199702 23060002 dr_off......#... 58160: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 58170: 000c0000 27c50368 65616400 00000331 ....'..head....1 58180: 02230003 7461696c 00000003 31022304 .#..tail....1.#. 58190: 03716c65 6e000000 19300223 0800065f .qlen....0.#..._ 581a0: 5f616466 5f6e6275 665f7400 00000331 _adf_nbuf_t....1 581b0: 04000019 a6040004 00001930 04000901 ...........0.... 581c0: 0a000002 10010a00 00193001 0a000019 ..........0..... 581d0: a6010a00 0019a601 04000001 e1040006 ................ 581e0: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 581f0: 5f740000 00278606 5f5f6164 665f6e62 _t...'..__adf_nb 58200: 75665f71 75657565 5f740000 00280604 uf_queue_t...(.. 58210: 0000281e 04000a00 0027c501 0a000027 ..(......'.....' 58220: c5011404 0000293e 10415f53 54415455 ......)>.A_STATU 58230: 535f4f4b 00001041 5f535441 5455535f S_OK...A_STATUS_ 58240: 4641494c 45440001 10415f53 54415455 FAILED...A_STATU 58250: 535f454e 4f454e54 00021041 5f535441 S_ENOENT...A_STA 58260: 5455535f 454e4f4d 454d0003 10415f53 TUS_ENOMEM...A_S 58270: 54415455 535f4549 4e56414c 00041041 TATUS_EINVAL...A 58280: 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR 58290: 45535300 0510415f 53544154 55535f45 ESS...A_STATUS_E 582a0: 4e4f5453 55505000 0610415f 53544154 NOTSUPP...A_STAT 582b0: 55535f45 42555359 00071041 5f535441 US_EBUSY...A_STA 582c0: 5455535f 45324249 47000810 415f5354 TUS_E2BIG...A_ST 582d0: 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA 582e0: 494c0009 10415f53 54415455 535f454e IL...A_STATUS_EN 582f0: 58494f00 0a10415f 53544154 55535f45 XIO...A_STATUS_E 58300: 4641554c 54000b10 415f5354 41545553 FAULT...A_STATUS 58310: 5f45494f 000c0006 615f7374 61747573 _EIO....a_status 58320: 5f740000 0028490a 0000293e 010a0000 _t...(I...)>.... 58330: 01f50109 01066164 665f6e62 75665f74 ......adf_nbuf_t 58340: 00000027 c5140400 0029a310 4144465f ...'.....)..ADF_ 58350: 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE 58360: 00001041 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR 58370: 4f4d5f44 45564943 45000100 06616466 OM_DEVICE....adf 58380: 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... 58390: 296c0a00 00293e01 09010661 64665f6f )l...)>....adf_o 583a0: 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. 583b0: 0000194d 04000029 c1040009 0109010a ...M...)........ 583c0: 0000295c 010a0000 27c50109 0109010a ..)\....'....... 583d0: 0000295c 010a0000 27c5010a 0000295c ..)\....'.....)\ 583e0: 010a0000 27c5010a 0000295c 01090109 ....'.....)\.... 583f0: 010a0000 1930010a 000019a6 01090109 .....0.......... 58400: 010a0000 1e5d010a 00001daa 010a0000 .....].......... 58410: 1daa0106 6164665f 6f735f73 676c6973 ....adf_os_sglis 58420: 745f7400 000019e5 0400002a 3a040009 t_t........*:... 58430: 01090109 010a0000 19a60106 6164665f ............adf_ 58440: 6e627566 5f717565 75655f74 00000028 nbuf_queue_t...( 58450: 1e040000 2a620400 09010400 00280604 ....*b.......(.. 58460: 00090109 0109010a 0000295c 010a0000 ..........)\.... 58470: 27c5010a 00001930 010a0000 1930010a '......0.....0.. 58480: 00001daa 010a0000 1daa010a 000022c6 ..............". 58490: 010a0000 19300106 6164665f 6e627566 .....0..adf_nbuf 584a0: 5f72785f 636b7375 6d5f7400 00002734 _rx_cksum_t...'4 584b0: 0400002a be040009 01090106 6164665f ...*........adf_ 584c0: 6e627566 5f74736f 5f740000 00275604 nbuf_tso_t...'V. 584d0: 00002ae2 04000901 09010661 64665f6e ..*........adf_n 584e0: 65745f68 616e646c 655f7400 0000023a et_handle_t....: 584f0: 06616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr 58500: 5f740000 0020d204 00002b17 04000a00 _t... ....+..... 58510: 00293e01 0a000029 3e010901 0901025f .)>....)>......_ 58520: 4849465f 434f4e46 49470004 00002b66 HIF_CONFIG....+f 58530: 0364756d 6d790000 0001f502 23000009 .dummy......#... 58540: 01040000 2b660400 09010400 002b6f04 ....+f.......+o. 58550: 00025f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. 58560: 0c00002b c4037365 6e645f62 75665f64 ...+..send_buf_d 58570: 6f6e6500 00002b68 02230003 72656376 one...+h.#..recv 58580: 5f627566 0000002b 71022304 03636f6e _buf...+q.#..con 58590: 74657874 00000002 3a022308 00066869 text....:.#...hi 585a0: 665f6861 6e646c65 5f740000 00023a06 f_handle_t....:. 585b0: 4849465f 434f4e46 49470000 002b4504 HIF_CONFIG...+E. 585c0: 00002bd6 04000a00 002bc401 0400002b ..+......+.....+ 585d0: ed040009 01040000 2bfa0400 06484946 ........+....HIF 585e0: 5f43414c 4c424143 4b000000 2b780400 _CALLBACK...+x.. 585f0: 002c0304 00090104 00002c1c 04000a00 .,........,..... 58600: 0001f501 0400002c 25040009 01040000 .......,%....... 58610: 2c320400 0a000001 f5010400 002c3b04 ,2...........,;. 58620: 00090104 00002c48 04000a00 0001f501 ......,H........ 58630: 0400002c 51040009 01040000 2c5e0400 ...,Q.......,^.. 58640: 02686966 5f617069 00380000 2db7035f .hif_api.8..-.._ 58650: 696e6974 0000002b f3022300 035f7368 init...+..#.._sh 58660: 7574646f 776e0000 002bfc02 2304035f utdown...+..#.._ 58670: 72656769 73746572 5f63616c 6c626163 register_callbac 58680: 6b000000 2c1e0223 08035f67 65745f74 k...,..#.._get_t 58690: 6f74616c 5f637265 6469745f 636f756e otal_credit_coun 586a0: 74000000 2c2b0223 0c035f73 74617274 t...,+.#.._start 586b0: 0000002b fc022310 035f636f 6e666967 ...+..#.._config 586c0: 5f706970 65000000 2c340223 14035f73 _pipe...,4.#.._s 586d0: 656e645f 62756666 65720000 002c4102 end_buffer...,A. 586e0: 2318035f 72657475 726e5f72 6563765f #.._return_recv_ 586f0: 62756600 00002c4a 02231c03 5f69735f buf...,J.#.._is_ 58700: 70697065 5f737570 706f7274 65640000 pipe_supported.. 58710: 002c5702 2320035f 6765745f 6d61785f .,W.# ._get_max_ 58720: 6d73675f 6c656e00 00002c57 02232403 msg_len...,W.#$. 58730: 5f676574 5f726573 65727665 645f6865 _get_reserved_he 58740: 6164726f 6f6d0000 002c2b02 2328035f adroom...,+.#(._ 58750: 6973725f 68616e64 6c657200 00002bfc isr_handler...+. 58760: 02232c03 5f676574 5f646566 61756c74 .#,._get_default 58770: 5f706970 65000000 2c600223 30037052 _pipe...,`.#0.pR 58780: 65736572 76656400 0000023a 02233400 eserved....:.#4. 58790: 0f646d61 5f656e67 696e6500 0400002e .dma_engine..... 587a0: 4010444d 415f454e 47494e45 5f525830 @.DMA_ENGINE_RX0 587b0: 00001044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 587c0: 31000110 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R 587d0: 58320002 10444d41 5f454e47 494e455f X2...DMA_ENGINE_ 587e0: 52583300 0310444d 415f454e 47494e45 RX3...DMA_ENGINE 587f0: 5f545830 00041044 4d415f45 4e47494e _TX0...DMA_ENGIN 58800: 455f5458 31000510 444d415f 454e4749 E_TX1...DMA_ENGI 58810: 4e455f4d 41580006 0006646d 615f656e NE_MAX....dma_en 58820: 67696e65 5f740000 002db70f 646d615f gine_t...-..dma_ 58830: 69667479 70650004 00002e8d 10444d41 iftype.......DMA 58840: 5f49465f 474d4143 00001044 4d415f49 _IF_GMAC...DMA_I 58850: 465f5043 49000110 444d415f 49465f50 F_PCI...DMA_IF_P 58860: 43494500 02000664 6d615f69 66747970 CIE....dma_iftyp 58870: 655f7400 00002e52 0a000001 d3010400 e_t....R........ 58880: 002e9f04 00090104 00002eac 04000901 ................ 58890: 0400002e b504000a 00000ed1 01040000 ................ 588a0: 2ebe0400 0a000001 d3010400 002ecb04 ................ 588b0: 000a0000 01d30104 00002ed8 04000a00 ................ 588c0: 00033101 0400002e e5040009 01040000 ..1............. 588d0: 2ef20400 02646d61 5f6c6962 5f617069 .....dma_lib_api 588e0: 00340000 2ff90374 785f696e 69740000 .4../..tx_init.. 588f0: 002ea502 23000374 785f7374 61727400 ....#..tx_start. 58900: 00002eae 02230403 72785f69 6e697400 .....#..rx_init. 58910: 00002ea5 02230803 72785f63 6f6e6669 .....#..rx_confi 58920: 67000000 2eb70223 0c037278 5f737461 g......#..rx_sta 58930: 72740000 002eae02 23100369 6e74725f rt......#..intr_ 58940: 73746174 75730000 002ec402 23140368 status......#..h 58950: 6172645f 786d6974 0000002e d1022318 ard_xmit......#. 58960: 03666c75 73685f78 6d697400 00002eae .flush_xmit..... 58970: 02231c03 786d6974 5f646f6e 65000000 .#..xmit_done... 58980: 2ede0223 20037265 61705f78 6d697474 ...# .reap_xmitt 58990: 65640000 002eeb02 23240372 6561705f ed......#$.reap_ 589a0: 72656376 0000002e eb022328 03726574 recv......#(.ret 589b0: 75726e5f 72656376 0000002e f402232c urn_recv......#, 589c0: 03726563 765f706b 74000000 2ede0223 .recv_pkt......# 589d0: 3000025f 5f706369 5f736f66 7463000c 0..__pci_softc.. 589e0: 00003017 03737700 00002c03 02230000 ..0..sw...,..#.. 589f0: 065f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. 58a00: 002ff904 00003017 04000901 04000030 ./....0........0 58a10: 3104000a 000001a9 01040000 303a0400 1...........0:.. 58a20: 0f686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx 58a30: 00040000 309a1048 49465f50 43495f50 ....0..HIF_PCI_P 58a40: 4950455f 54583000 00104849 465f5043 IPE_TX0...HIF_PC 58a50: 495f5049 50455f54 58310001 10484946 I_PIPE_TX1...HIF 58a60: 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX 58a70: 00020006 6869665f 7063695f 70697065 ....hif_pci_pipe 58a80: 5f74785f 74000000 30470a00 002e4001 _tx_t...0G....@. 58a90: 04000030 b104000f 6869665f 7063695f ...0....hif_pci_ 58aa0: 70697065 5f727800 04000031 37104849 pipe_rx....17.HI 58ab0: 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. 58ac0: 10484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 58ad0: 31000110 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 58ae0: 5f525832 00021048 49465f50 43495f50 _RX2...HIF_PCI_P 58af0: 4950455f 52583300 03104849 465f5043 IPE_RX3...HIF_PC 58b00: 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... 58b10: 06686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 58b20: 5f740000 0030be0a 00002e40 01040000 _t...0.....@.... 58b30: 314e0400 02686966 5f706369 5f617069 1N...hif_pci_api 58b40: 00240000 322c0370 63695f62 6f6f745f .$..2,.pci_boot_ 58b50: 696e6974 00000007 04022300 03706369 init......#..pci 58b60: 5f696e69 74000000 2bf30223 04037063 _init...+..#..pc 58b70: 695f7265 73657400 00000704 02230803 i_reset......#.. 58b80: 7063695f 656e6162 6c650000 00070402 pci_enable...... 58b90: 230c0370 63695f72 6561705f 786d6974 #..pci_reap_xmit 58ba0: 74656400 00003033 02231003 7063695f ted...03.#..pci_ 58bb0: 72656170 5f726563 76000000 30330223 reap_recv...03.# 58bc0: 14037063 695f6765 745f7069 70650000 ..pci_get_pipe.. 58bd0: 00304002 23180370 63695f67 65745f74 .0@.#..pci_get_t 58be0: 785f656e 67000000 30b70223 1c037063 x_eng...0..#..pc 58bf0: 695f6765 745f7278 5f656e67 00000031 i_get_rx_eng...1 58c00: 54022320 0002676d 61635f61 70690004 T.# ..gmac_api.. 58c10: 00003253 03676d61 635f626f 6f745f69 ..2S.gmac_boot_i 58c20: 6e697400 00000704 02230000 07000001 nit......#...... 58c30: 98060000 32600805 00025f5f 65746868 ....2`....__ethh 58c40: 6472000e 00003296 03647374 00000032 dr....2..dst...2 58c50: 53022300 03737263 00000032 53022306 S.#..src...2S.#. 58c60: 03657479 70650000 0001d302 230c0002 .etype......#... 58c70: 5f5f6174 68686472 00040000 32e41572 __athhdr....2..r 58c80: 65730000 0001a901 00020223 00157072 es.........#..pr 58c90: 6f746f00 000001a9 01020602 23000372 oto.........#..r 58ca0: 65735f6c 6f000000 01a90223 01037265 es_lo......#..re 58cb0: 735f6869 00000001 d3022302 00025f5f s_hi......#...__ 58cc0: 676d6163 5f686472 00140000 33200365 gmac_hdr....3 .e 58cd0: 74680000 00326002 23000361 74680000 th...2`.#..ath.. 58ce0: 00329602 230e0361 6c69676e 5f706164 .2..#..align_pad 58cf0: 00000001 d3022312 00065f5f 676d6163 ......#...__gmac 58d00: 5f686472 5f740000 0032e402 5f5f676d _hdr_t...2..__gm 58d10: 61635f73 6f667463 00240000 336a0368 ac_softc.$..3j.h 58d20: 64720000 00332002 23000367 72616e00 dr...3 .#..gran. 58d30: 000001d3 02231403 73770000 002c0302 .....#..sw...,.. 58d40: 2318000e 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag 58d50: 655f6368 65636b00 00010400 00336a04 e_check......3j. 58d60: 000a0000 01f50104 00003388 04000400 ..........3..... 58d70: 0009bd04 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i 58d80: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 58d90: 0001b800 0034d803 68616c5f 6c696e6b .....4..hal_link 58da0: 6167655f 63686563 6b000000 338e0223 age_check...3..# 58db0: 00037374 6172745f 62737300 00003395 ..start_bss...3. 58dc0: 02230403 6170705f 73746172 74000000 .#..app_start... 58dd0: 07040223 08036d65 6d000000 09fd0223 ...#..mem......# 58de0: 0c036d69 73630000 000b1c02 23200370 ..misc......# .p 58df0: 72696e74 66000000 07310223 44037561 rintf....1.#D.ua 58e00: 72740000 0007cf02 234c0367 6d616300 rt......#L.gmac. 58e10: 0000322c 02236c03 75736200 00001542 ..2,.#l.usb....B 58e20: 02237003 636c6f63 6b000000 108b0323 .#p.clock......# 58e30: e0010374 696d6572 0000000d 34032384 ...timer....4.#. 58e40: 0203696e 74720000 0011db03 23980203 ..intr......#... 58e50: 616c6c6f 6372616d 0000000e ec0323c4 allocram......#. 58e60: 0203726f 6d700000 000dde03 23d00203 ..romp......#... 58e70: 7764745f 74696d65 72000000 13b80323 wdt_timer......# 58e80: e0020365 65700000 0014e603 23fc0203 ...eep......#... 58e90: 73747269 6e670000 000c4003 238c0303 string....@.#... 58ea0: 7461736b 6c657400 00000fe9 0323a403 tasklet......#.. 58eb0: 00025f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF 58ec0: 49470010 0000354b 03676574 5f636f6d IG....5K.get_com 58ed0: 6d616e64 5f627566 00000003 3e022300 mand_buf....>.#. 58ee0: 03726563 765f636f 6d6d616e 64000000 .recv_command... 58ef0: 03540223 04036765 745f6576 656e745f .T.#..get_event_ 58f00: 62756600 0000033e 02230803 73656e64 buf....>.#..send 58f10: 5f657665 6e745f64 6f6e6500 00000354 _event_done....T 58f20: 02230c00 06555342 5f464946 4f5f434f .#...USB_FIFO_CO 58f30: 4e464947 00000034 d8040000 354b0400 NFIG...4....5K.. 58f40: 09010400 00356704 00027573 62666966 .....5g...usbfif 58f50: 6f5f6170 69000c00 0035bd03 5f696e69 o_api....5.._ini 58f60: 74000000 35690223 00035f65 6e61626c t...5i.#.._enabl 58f70: 655f6576 656e745f 69737200 00000704 e_event_isr..... 58f80: 02230403 70526573 65727665 64000000 .#..pReserved... 58f90: 023a0223 08000700 00199702 000035ca .:.#..........5. 58fa0: 08010002 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H 58fb0: 44520008 0000363c 03456e64 706f696e DR....6<.Endpoin 58fc0: 74494400 00001997 02230003 466c6167 tID......#..Flag 58fd0: 73000000 19970223 01035061 796c6f61 s......#..Payloa 58fe0: 644c656e 0000001f bf022302 03436f6e dLen......#..Con 58ff0: 74726f6c 42797465 73000000 35bd0223 trolBytes...5..# 59000: 0403486f 73745365 714e756d 0000001f ..HostSeqNum.... 59010: bf022306 00120200 00365503 4d657373 ..#......6U.Mess 59020: 61676549 44000000 1fbf0223 00001208 ageID......#.... 59030: 000036b8 034d6573 73616765 49440000 ..6..MessageID.. 59040: 001fbf02 23000343 72656469 74436f75 ....#..CreditCou 59050: 6e740000 001fbf02 23020343 72656469 nt......#..Credi 59060: 7453697a 65000000 1fbf0223 04034d61 tSize......#..Ma 59070: 78456e64 706f696e 74730000 00199702 xEndpoints...... 59080: 2306035f 50616431 00000019 97022307 #.._Pad1......#. 59090: 00120a00 00374f03 4d657373 61676549 .....7O.MessageI 590a0: 44000000 1fbf0223 00035365 72766963 D......#..Servic 590b0: 65494400 00001fbf 02230203 436f6e6e eID......#..Conn 590c0: 65637469 6f6e466c 61677300 00001fbf ectionFlags..... 590d0: 02230403 446f776e 4c696e6b 50697065 .#..DownLinkPipe 590e0: 49440000 00199702 23060355 704c696e ID......#..UpLin 590f0: 6b506970 65494400 00001997 02230703 kPipeID......#.. 59100: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 59110: 68000000 19970223 08035f50 61643100 h......#.._Pad1. 59120: 00001997 02230900 120a0000 37d7034d .....#......7..M 59130: 65737361 67654944 0000001f bf022300 essageID......#. 59140: 03536572 76696365 49440000 001fbf02 .ServiceID...... 59150: 23020353 74617475 73000000 19970223 #..Status......# 59160: 0403456e 64706f69 6e744944 00000019 ..EndpointID.... 59170: 97022305 034d6178 4d736753 697a6500 ..#..MaxMsgSize. 59180: 00001fbf 02230603 53657276 6963654d .....#..ServiceM 59190: 6574614c 656e6774 68000000 19970223 etaLength......# 591a0: 08035f50 61643100 00001997 02230900 .._Pad1......#.. 591b0: 12020000 37f0034d 65737361 67654944 ....7..MessageID 591c0: 0000001f bf022300 00120400 00382c03 ......#......8,. 591d0: 4d657373 61676549 44000000 1fbf0223 MessageID......# 591e0: 00035069 70654944 00000019 97022302 ..PipeID......#. 591f0: 03437265 64697443 6f756e74 00000019 .CreditCount.... 59200: 97022303 00120400 00386303 4d657373 ..#......8c.Mess 59210: 61676549 44000000 1fbf0223 00035069 ageID......#..Pi 59220: 70654944 00000019 97022302 03537461 peID......#..Sta 59230: 74757300 00001997 02230300 12020000 tus......#...... 59240: 388a0352 65636f72 64494400 00001997 8..RecordID..... 59250: 02230003 4c656e67 74680000 00199702 .#..Length...... 59260: 23010012 02000038 b403456e 64706f69 #......8..Endpoi 59270: 6e744944 00000019 97022300 03437265 ntID......#..Cre 59280: 64697473 00000019 97022301 00120400 dits......#..... 59290: 0038f503 456e6470 6f696e74 49440000 .8..EndpointID.. 592a0: 00199702 23000343 72656469 74730000 ....#..Credits.. 592b0: 00199702 23010354 67744372 65646974 ....#..TgtCredit 592c0: 5365714e 6f000000 1fbf0223 02000700 SeqNo......#.... 592d0: 00199704 00003902 08030012 06000039 ......9........9 592e0: 3e035072 6556616c 69640000 00199702 >.PreValid...... 592f0: 2300034c 6f6f6b41 68656164 00000038 #..LookAhead...8 59300: f5022301 03506f73 7456616c 69640000 ..#..PostValid.. 59310: 00199702 23050006 706f6f6c 5f68616e ....#...pool_han 59320: 646c655f 74000000 023a0a00 00393e01 dle_t....:...9>. 59330: 04000039 51040009 01040000 395e0400 ...9Q.......9^.. 59340: 14040000 39dc1050 4f4f4c5f 49445f48 ....9..POOL_ID_H 59350: 54435f43 4f4e5452 4f4c0000 10504f4f TC_CONTROL...POO 59360: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD 59370: 5f524550 4c590001 10504f4f 4c5f4944 _REPLY...POOL_ID 59380: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. 59390: 10504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX 593a0: 5f425546 00031050 4f4f4c5f 49445f4d _BUF...POOL_ID_M 593b0: 4158000a 00064255 465f504f 4f4c5f49 AX....BUF_POOL_I 593c0: 44000000 39670901 04000039 ed04000a D...9g.....9.... 593d0: 0000295c 01040000 39f60400 0a000029 ..)\....9......) 593e0: 5c010400 003a0304 00090104 00003a10 \....:........:. 593f0: 04000262 75665f70 6f6f6c5f 61706900 ...buf_pool_api. 59400: 1c00003a b2035f69 6e697400 00003957 ...:.._init...9W 59410: 02230003 5f736875 74646f77 6e000000 .#.._shutdown... 59420: 39600223 04035f63 72656174 655f706f 9`.#.._create_po 59430: 6f6c0000 0039ef02 2308035f 616c6c6f ol...9..#.._allo 59440: 635f6275 66000000 39fc0223 0c035f61 c_buf...9..#.._a 59450: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. 59460: 003a0902 2310035f 66726565 5f627566 .:..#.._free_buf 59470: 0000003a 12022314 03705265 73657276 ...:..#..pReserv 59480: 65640000 00023a02 23180002 5f485443 ed....:.#..._HTC 59490: 5f534552 56494345 001c0000 3b910370 _SERVICE....;..p 594a0: 4e657874 0000003b 91022300 0350726f Next...;..#..Pro 594b0: 63657373 52656376 4d736700 00003c46 cessRecvMsg....#..._HTC 596c0: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... 596d0: 3d1e0365 6e645f70 6f696e74 00000001 =..end_point.... 596e0: a9022300 03687463 5f666c61 67730000 ..#..htc_flags.. 596f0: 0001a902 23010006 6874635f 68616e64 ....#...htc_hand 59700: 6c655f74 00000002 3a064854 435f5345 le_t....:.HTC_SE 59710: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. 59720: 00000704 06485443 5f434f4e 46494700 .....HTC_CONFIG. 59730: 00003c71 0400003d 4b04000a 00003d1e ...#..MaxCmdRep 59b40: 6c794576 74730000 0001f502 2308034d lyEvts......#..M 59b50: 61784576 656e7445 76747300 000001f5 axEventEvts..... 59b60: 02230c00 09010400 00418b04 0006574d .#.......A....WM 59b70: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... 59b80: 418d025f 574d495f 44495350 41544348 A.._WMI_DISPATCH 59b90: 5f454e54 52590008 000041f4 0370436d _ENTRY....A..pCm 59ba0: 6448616e 646c6572 00000041 94022300 dHandler...A..#. 59bb0: 03436d64 49440000 0001d302 23040346 .CmdID......#..F 59bc0: 6c616773 00000001 d3022306 00025f57 lags......#..._W 59bd0: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 59be0: 45001000 00425503 704e6578 74000000 E....BU.pNext... 59bf0: 42550223 00037043 6f6e7465 78740000 BU.#..pContext.. 59c00: 00023a02 2304034e 756d6265 724f6645 ..:.#..NumberOfE 59c10: 6e747269 65730000 0001f502 23080370 ntries......#..p 59c20: 5461626c 65000000 42740223 0c000400 Table...Bt.#.... 59c30: 0041f404 0006574d 495f4449 53504154 .A....WMI_DISPAT 59c40: 43485f45 4e545259 00000041 a9040000 CH_ENTRY...A.... 59c50: 425c0400 04000041 f4040006 4854435f B\.....A....HTC_ 59c60: 4255465f 434f4e54 45585400 00003ce2 BUF_CONTEXT...<. 59c70: 0f574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. 59c80: 0000430c 19574d49 5f455654 5f434c41 ..C..WMI_EVT_CLA 59c90: 53535f4e 4f4e4500 ffffffff 10574d49 SS_NONE......WMI 59ca0: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E 59cb0: 56454e54 00001057 4d495f45 56545f43 VENT...WMI_EVT_C 59cc0: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. 59cd0: 10574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M 59ce0: 41580002 0006574d 495f4556 545f434c AX....WMI_EVT_CL 59cf0: 41535300 00004297 025f574d 495f4255 ASS...B.._WMI_BU 59d00: 465f434f 4e544558 54000c00 00436a03 F_CONTEXT....Cj. 59d10: 48746342 75664374 78000000 42820223 HtcBufCtx...B..# 59d20: 00034576 656e7443 6c617373 00000043 ..EventClass...C 59d30: 0c022304 03466c61 67730000 0001d302 ..#..Flags...... 59d40: 23080006 776d695f 68616e64 6c655f74 #...wmi_handle_t 59d50: 00000002 3a06574d 495f5356 435f434f ....:.WMI_SVC_CO 59d60: 4e464947 00000041 22040000 437c0400 NFIG...A"...C|.. 59d70: 0a000043 6a010400 00439704 0006574d ...Cj....C....WM 59d80: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 59d90: 00000041 f4040000 43a40400 09010400 ...A....C....... 59da0: 0043c304 000a0000 295c0104 000043cc .C......)\....C. 59db0: 04000901 04000043 d904000a 000001f5 .......C........ 59dc0: 01040000 43e20400 09010400 0043ef04 ....C........C.. 59dd0: 000a0000 01a90104 000043f8 0400025f ..........C...._ 59de0: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. 59df0: 4540035f 574d495f 496e6974 00000043 E@._WMI_Init...C 59e00: 9d022300 035f574d 495f5265 67697374 ..#.._WMI_Regist 59e10: 65724469 73706174 63685461 626c6500 erDispatchTable. 59e20: 000043c5 02230403 5f574d49 5f416c6c ..C..#.._WMI_All 59e30: 6f634576 656e7400 000043d2 02230803 ocEvent...C..#.. 59e40: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. 59e50: 0043db02 230c035f 574d495f 47657450 .C..#.._WMI_GetP 59e60: 656e6469 6e674576 656e7473 436f756e endingEventsCoun 59e70: 74000000 43e80223 10035f57 4d495f53 t...C..#.._WMI_S 59e80: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl 59e90: 65720000 003c4f02 2314035f 574d495f er....#.._enable 5d970: 5f657665 6e745f69 73720000 0003c402 _event_isr...... 5d980: 23040370 52657365 72766564 00000002 #..pReserved.... 5d990: 2c022308 00070000 166c0200 00329f08 ,.#......l...2.. 5d9a0: 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD 5d9b0: 52000800 00331103 456e6470 6f696e74 R....3..Endpoint 5d9c0: 49440000 00166c02 23000346 6c616773 ID....l.#..Flags 5d9d0: 00000016 6c022301 03506179 6c6f6164 ....l.#..Payload 5d9e0: 4c656e00 00001c94 02230203 436f6e74 Len......#..Cont 5d9f0: 726f6c42 79746573 00000032 92022304 rolBytes...2..#. 5da00: 03486f73 74536571 4e756d00 00001c94 .HostSeqNum..... 5da10: 02230600 12020000 332a034d 65737361 .#......3*.Messa 5da20: 67654944 0000001c 94022300 00120800 geID......#..... 5da30: 00338d03 4d657373 61676549 44000000 .3..MessageID... 5da40: 1c940223 00034372 65646974 436f756e ...#..CreditCoun 5da50: 74000000 1c940223 02034372 65646974 t......#..Credit 5da60: 53697a65 0000001c 94022304 034d6178 Size......#..Max 5da70: 456e6470 6f696e74 73000000 166c0223 Endpoints....l.# 5da80: 06035f50 61643100 0000166c 02230700 .._Pad1....l.#.. 5da90: 120a0000 3424034d 65737361 67654944 ....4$.MessageID 5daa0: 0000001c 94022300 03536572 76696365 ......#..Service 5dab0: 49440000 001c9402 23020343 6f6e6e65 ID......#..Conne 5dac0: 6374696f 6e466c61 67730000 001c9402 ctionFlags...... 5dad0: 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI 5dae0: 44000000 166c0223 06035570 4c696e6b D....l.#..UpLink 5daf0: 50697065 49440000 00166c02 23070353 PipeID....l.#..S 5db00: 65727669 63654d65 74614c65 6e677468 erviceMetaLength 5db10: 00000016 6c022308 035f5061 64310000 ....l.#.._Pad1.. 5db20: 00166c02 23090012 0a000034 ac034d65 ..l.#......4..Me 5db30: 73736167 65494400 00001c94 02230003 ssageID......#.. 5db40: 53657276 69636549 44000000 1c940223 ServiceID......# 5db50: 02035374 61747573 00000016 6c022304 ..Status....l.#. 5db60: 03456e64 706f696e 74494400 0000166c .EndpointID....l 5db70: 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. 5db80: 001c9402 23060353 65727669 63654d65 ....#..ServiceMe 5db90: 74614c65 6e677468 00000016 6c022308 taLength....l.#. 5dba0: 035f5061 64310000 00166c02 23090012 ._Pad1....l.#... 5dbb0: 02000034 c5034d65 73736167 65494400 ...4..MessageID. 5dbc0: 00001c94 02230000 12040000 3501034d .....#......5..M 5dbd0: 65737361 67654944 0000001c 94022300 essageID......#. 5dbe0: 03506970 65494400 0000166c 02230203 .PipeID....l.#.. 5dbf0: 43726564 6974436f 756e7400 0000166c CreditCount....l 5dc00: 02230300 12040000 3538034d 65737361 .#......58.Messa 5dc10: 67654944 0000001c 94022300 03506970 geID......#..Pip 5dc20: 65494400 0000166c 02230203 53746174 eID....l.#..Stat 5dc30: 75730000 00166c02 23030012 02000035 us....l.#......5 5dc40: 5f035265 636f7264 49440000 00166c02 _.RecordID....l. 5dc50: 2300034c 656e6774 68000000 166c0223 #..Length....l.# 5dc60: 01001202 00003589 03456e64 706f696e ......5..Endpoin 5dc70: 74494400 0000166c 02230003 43726564 tID....l.#..Cred 5dc80: 69747300 0000166c 02230100 12040000 its....l.#...... 5dc90: 35ca0345 6e64706f 696e7449 44000000 5..EndpointID... 5dca0: 166c0223 00034372 65646974 73000000 .l.#..Credits... 5dcb0: 166c0223 01035467 74437265 64697453 .l.#..TgtCreditS 5dcc0: 65714e6f 0000001c 94022302 00070000 eqNo......#..... 5dcd0: 166c0400 0035d708 03001206 00003613 .l...5........6. 5dce0: 03507265 56616c69 64000000 166c0223 .PreValid....l.# 5dcf0: 00034c6f 6f6b4168 65616400 000035ca ..LookAhead...5. 5dd00: 02230103 506f7374 56616c69 64000000 .#..PostValid... 5dd10: 166c0223 05000670 6f6f6c5f 68616e64 .l.#...pool_hand 5dd20: 6c655f74 00000002 2c0a0000 36130104 le_t....,...6... 5dd30: 00003626 04000901 04000036 33040014 ..6&.......63... 5dd40: 04000036 b110504f 4f4c5f49 445f4854 ...6..POOL_ID_HT 5dd50: 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL 5dd60: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ 5dd70: 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ 5dd80: 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... 5dd90: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ 5dda0: 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA 5ddb0: 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID 5ddc0: 00000036 3c090104 000036c2 04000a00 ...6<.....6..... 5ddd0: 00263101 04000036 cb04000a 00002631 .&1....6......&1 5dde0: 01040000 36d80400 09010400 0036e504 ....6........6.. 5ddf0: 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. 5de00: 00003787 035f696e 69740000 00362c02 ..7.._init...6,. 5de10: 2300035f 73687574 646f776e 00000036 #.._shutdown...6 5de20: 35022304 035f6372 65617465 5f706f6f 5.#.._create_poo 5de30: 6c000000 36c40223 08035f61 6c6c6f63 l...6..#.._alloc 5de40: 5f627566 00000036 d102230c 035f616c _buf...6..#.._al 5de50: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... 5de60: 36de0223 10035f66 7265655f 62756600 6..#.._free_buf. 5de70: 000036e7 02231403 70526573 65727665 ..6..#..pReserve 5de80: 64000000 022c0223 1800025f 4854435f d....,.#..._HTC_ 5de90: 53455256 49434500 1c000038 6603704e SERVICE....8f.pN 5dea0: 65787400 00003866 02230003 50726f63 ext...8f.#..Proc 5deb0: 65737352 6563764d 73670000 00391b02 essRecvMsg...9.. 5dec0: 23040350 726f6365 73735365 6e644275 #..ProcessSendBu 5ded0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 5dee0: 24022308 0350726f 63657373 436f6e6e $.#..ProcessConn 5def0: 65637400 00003938 02230c03 53657276 ect...98.#..Serv 5df00: 69636549 44000000 01c50223 10035365 iceID......#..Se 5df10: 72766963 65466c61 67730000 0001c502 rviceFlags...... 5df20: 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize 5df30: 00000001 c5022314 03547261 696c6572 ......#..Trailer 5df40: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... 5df50: 01c50223 16035365 72766963 65437478 ...#..ServiceCtx 5df60: 00000002 2c022318 00040000 37870400 ....,.#.....7... 5df70: 14040000 39041945 4e44504f 494e545f ....9..ENDPOINT_ 5df80: 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP 5df90: 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT 5dfa0: 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... 5dfb0: 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP 5dfc0: 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT 5dfd0: 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... 5dfe0: 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP 5dff0: 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT 5e000: 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP 5e010: 4f494e54 5f494400 0000386d 09010400 OINT_ID...8m.... 5e020: 00391904 00090104 00003922 04000400 .9........9".... 5e030: 0001e704 000a0000 019b0104 00003932 ..............92 5e040: 04000400 00378704 00025f48 54435f43 .....7...._HTC_C 5e050: 4f4e4649 47001400 0039b703 43726564 ONFIG....9..Cred 5e060: 69745369 7a650000 0001e702 23000343 itSize......#..C 5e070: 72656469 744e756d 62657200 000001e7 reditNumber..... 5e080: 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... 5e090: 30022308 03484946 48616e64 6c650000 0.#..HIFHandle.. 5e0a0: 00289902 230c0350 6f6f6c48 616e646c .(..#..PoolHandl 5e0b0: 65000000 36130223 1000025f 4854435f e...6..#..._HTC_ 5e0c0: 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 5e0d0: f303656e 645f706f 696e7400 0000019b ..end_point..... 5e0e0: 02230003 6874635f 666c6167 73000000 .#..htc_flags... 5e0f0: 019b0223 01000668 74635f68 616e646c ...#...htc_handl 5e100: 655f7400 0000022c 06485443 5f534554 e_t....,.HTC_SET 5e110: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. 5e120: 0003c406 4854435f 434f4e46 49470000 ....HTC_CONFIG.. 5e130: 00394604 00003a20 04000a00 0039f301 .9F...: .....9.. 5e140: 0400003a 37040009 01040000 3a440400 ...:7.......:D.. 5e150: 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 5e160: 87040000 3a4d0400 09010400 003a6504 ....:M.......:e. 5e170: 00090104 00003a6e 04000901 0400003a ......:n.......: 5e180: 7704000a 000001e7 01040000 3a800400 w...........:... 5e190: 02687463 5f617069 73003400 003bfd03 .htc_apis.4..;.. 5e1a0: 5f485443 5f496e69 74000000 3a3d0223 _HTC_Init...:=.# 5e1b0: 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. 5e1c0: 00003a46 02230403 5f485443 5f526567 ..:F.#.._HTC_Reg 5e1d0: 69737465 72536572 76696365 0000003a isterService...: 5e1e0: 67022308 035f4854 435f5265 61647900 g.#.._HTC_Ready. 5e1f0: 00003a46 02230c03 5f485443 5f526574 ..:F.#.._HTC_Ret 5e200: 75726e42 75666665 72730000 003a7002 urnBuffers...:p. 5e210: 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu 5e220: 66666572 734c6973 74000000 3a790223 ffersList...:y.# 5e230: 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. 5e240: 003a7002 2318035f 4854435f 47657452 .:p.#.._HTC_GetR 5e250: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. 5e260: 00003a86 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg 5e270: 52656376 48616e64 6c657200 00002846 RecvHandler...(F 5e280: 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon 5e290: 6548616e 646c6572 00000028 3d022324 eHandler...(=.#$ 5e2a0: 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 5e2b0: 50726f63 6573734d 73670000 00391b02 ProcessMsg...9.. 5e2c0: 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS 5e2d0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom 5e2e0: 706c6574 65000000 39240223 2c037052 plete...9$.#,.pR 5e2f0: 65736572 76656400 0000022c 02233000 eserved....,.#0. 5e300: 02686f73 745f6170 705f6172 65615f73 .host_app_area_s 5e310: 00040000 3c2d0377 6d695f70 726f746f ....<-.wmi_proto 5e320: 636f6c5f 76657200 00001605 02230000 col_ver......#.. 5e330: 120e0000 3c640364 73744d61 63000000 ....` 5e510: 03487463 48616e64 6c650000 0039f302 .HtcHandle...9.. 5e520: 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... 5e530: 36130223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl 5e540: 79457674 73000000 01e70223 08034d61 yEvts......#..Ma 5e550: 78457665 6e744576 74730000 0001e702 xEventEvts...... 5e560: 230c0009 01040000 3e600400 06574d49 #.......>`...WMI 5e570: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> 5e580: 62025f57 4d495f44 49535041 5443485f b._WMI_DISPATCH_ 5e590: 454e5452 59000800 003ec903 70436d64 ENTRY....>..pCmd 5e5a0: 48616e64 6c657200 00003e69 02230003 Handler...>i.#.. 5e5b0: 436d6449 44000000 01c50223 0403466c CmdID......#..Fl 5e5c0: 61677300 000001c5 02230600 025f574d ags......#..._WM 5e5d0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 5e5e0: 00100000 3f2a0370 4e657874 0000003f ....?*.pNext...? 5e5f0: 2a022300 0370436f 6e746578 74000000 *.#..pContext... 5e600: 022c0223 04034e75 6d626572 4f66456e .,.#..NumberOfEn 5e610: 74726965 73000000 01e70223 08037054 tries......#..pT 5e620: 61626c65 0000003f 4902230c 00040000 able...?I.#..... 5e630: 3ec90400 06574d49 5f444953 50415443 >....WMI_DISPATC 5e640: 485f454e 54525900 00003e7e 0400003f H_ENTRY...>~...? 5e650: 31040004 00003ec9 04000648 54435f42 1.....>....HTC_B 5e660: 55465f43 4f4e5445 58540000 0039b70f UF_CONTEXT...9.. 5e670: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... 5e680: 003fe119 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS 5e690: 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ 5e6a0: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV 5e6b0: 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL 5e6c0: 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... 5e6d0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA 5e6e0: 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA 5e6f0: 53530000 003f6c02 5f574d49 5f425546 SS...?l._WMI_BUF 5e700: 5f434f4e 54455854 000c0000 403f0348 _CONTEXT....@?.H 5e710: 74634275 66437478 0000003f 57022300 tcBufCtx...?W.#. 5e720: 03457665 6e74436c 61737300 00003fe1 .EventClass...?. 5e730: 02230403 466c6167 73000000 01c50223 .#..Flags......# 5e740: 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. 5e750: 0000022c 06574d49 5f535643 5f434f4e ...,.WMI_SVC_CON 5e760: 46494700 00003df7 04000040 5104000a FIG...=....@Q... 5e770: 0000403f 01040000 406c0400 06574d49 ..@?....@l...WMI 5e780: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 5e790: 00003ec9 04000040 79040009 01040000 ..>....@y....... 5e7a0: 40980400 0a000026 31010400 0040a104 @......&1....@.. 5e7b0: 00090104 000040ae 04000a00 0001e701 ......@......... 5e7c0: 04000040 b7040009 01040000 40c40400 ...@........@... 5e7d0: 0a000001 9b010400 0040cd04 00025f77 .........@...._w 5e7e0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B 5e7f0: 15035f57 4d495f49 6e697400 00004072 .._WMI_Init...@r 5e800: 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe 5e810: 72446973 70617463 68546162 6c650000 rDispatchTable.. 5e820: 00409a02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo 5e830: 63457665 6e740000 0040a702 2308035f cEvent...@..#.._ 5e840: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... 5e850: 40b00223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe 5e860: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount 5e870: 00000040 bd022310 035f574d 495f5365 ...@..#.._WMI_Se 5e880: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle 5e890: 72000000 39240223 14035f57 4d495f47 r...9$.#.._WMI_G 5e8a0: 6574436f 6e74726f 6c457000 000040bd etControlEp...@. 5e8b0: 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow 5e8c0: 6e000000 40c60223 1c035f57 4d495f52 n...@..#.._WMI_R 5e8d0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle 5e8e0: 72000000 391b0223 20035f57 4d495f53 r...9..# ._WMI_S 5e8f0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... 5e900: 40d30223 24037052 65736572 76656400 @..#$.pReserved. 5e910: 0000022c 02232800 027a7344 6d614465 ...,.#(..zsDmaDe 5e920: 73630014 00004297 03637472 6c000000 sc....B..ctrl... 5e930: 01af0223 00037374 61747573 00000001 ...#..status.... 5e940: af022302 03746f74 616c4c65 6e000000 ..#..totalLen... 5e950: 01af0223 04036461 74615369 7a650000 ...#..dataSize.. 5e960: 0001af02 2306036c 61737441 64647200 ....#..lastAddr. 5e970: 00004297 02230803 64617461 41646472 ..B..#..dataAddr 5e980: 00000004 3602230c 036e6578 74416464 ....6.#..nextAdd 5e990: 72000000 42970223 10000400 00421504 r...B..#.....B.. 5e9a0: 00040000 42150400 027a7344 6d615175 ....B....zsDmaQu 5e9b0: 65756500 08000042 d7036865 61640000 eue....B..head.. 5e9c0: 00429e02 23000374 65726d69 6e61746f .B..#..terminato 5e9d0: 72000000 429e0223 0400027a 73547844 r...B..#...zsTxD 5e9e0: 6d615175 65756500 10000043 3b036865 maQueue....C;.he 5e9f0: 61640000 00429e02 23000374 65726d69 ad...B..#..termi 5ea00: 6e61746f 72000000 429e0223 0403786d nator...B..#..xm 5ea10: 69746564 5f627566 5f686561 64000000 ited_buf_head... 5ea20: 03230223 0803786d 69746564 5f627566 .#.#..xmited_buf 5ea30: 5f746169 6c000000 03230223 0c000901 _tail....#.#.... 5ea40: 04000043 3b040004 000042a5 04000901 ...C;.....B..... 5ea50: 04000043 4b040004 000042d7 04000901 ...CK.....B..... 5ea60: 04000043 5b040009 01040000 43640400 ...C[.......Cd.. 5ea70: 09010400 00436d04 000a0000 03230104 .....Cm......#.. 5ea80: 00004376 04000901 04000043 8304000a ..Cv.......C.... 5ea90: 00000323 01040000 438c0400 09010400 ...#....C....... 5eaa0: 00439904 000a0000 01e70104 000043a2 .C............C. 5eab0: 04000a00 00429e01 04000043 af040009 .....B.....C.... 5eac0: 01040000 43bc0400 02646d61 5f656e67 ....C....dma_eng 5ead0: 696e655f 61706900 40000045 32035f69 ine_api.@..E2._i 5eae0: 6e697400 0000433d 02230003 5f696e69 nit...C=.#.._ini 5eaf0: 745f7278 5f717565 75650000 00434d02 t_rx_queue...CM. 5eb00: 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu 5eb10: 65000000 435d0223 08035f63 6f6e6669 e...C].#.._confi 5eb20: 675f7278 5f717565 75650000 00436602 g_rx_queue...Cf. 5eb30: 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C 5eb40: 6f022310 035f666c 7573685f 786d6974 o.#.._flush_xmit 5eb50: 00000043 4d022314 035f7265 61705f72 ...CM.#.._reap_r 5eb60: 6563765f 62756600 0000437c 02231803 ecv_buf...C|.#.. 5eb70: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf 5eb80: 00000043 8502231c 035f7265 61705f78 ...C..#.._reap_x 5eb90: 6d697465 645f6275 66000000 43920223 mited_buf...C..# 5eba0: 20035f73 7761705f 64617461 00000043 ._swap_data...C 5ebb0: 9b022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ 5ebc0: 7061636b 65747300 000043a8 02232803 packets...C..#(. 5ebd0: 5f646573 635f6475 6d700000 00434d02 _desc_dump...CM. 5ebe0: 232c035f 6765745f 7061636b 65740000 #,._get_packet.. 5ebf0: 0043b502 2330035f 7265636c 61696d5f .C..#0._reclaim_ 5ec00: 7061636b 65740000 0043be02 2334035f packet...C..#4._ 5ec10: 7075745f 7061636b 65740000 0043be02 put_packet...C.. 5ec20: 23380370 52657365 72766564 00000002 #8.pReserved.... 5ec30: 2c02233c 00065f41 5f636d6e 6f735f69 ,.#<.._A_cmnos_i 5ec40: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 5ec50: 5f740000 00307106 574d495f 5356435f _t...0q.WMI_SVC_ 5ec60: 41504953 00000040 da175f41 5f6d6167 APIS...@.._A_mag 5ec70: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 5ec80: 7461626c 6500034c 00004660 03636d6e table..L..F`.cmn 5ec90: 6f730000 00453202 23000364 62670000 os...E2.#..dbg.. 5eca0: 00065f03 23b80303 68696600 0000293c .._.#...hif...)< 5ecb0: 0323c003 03687463 0000003a 8d0323f8 .#...htc...:..#. 5ecc0: 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... 5ecd0: 45540323 ac040375 73626669 666f5f61 ET.#...usbfifo_a 5ece0: 70690000 00324503 23d80403 6275665f pi...2E.#...buf_ 5ecf0: 706f6f6c 00000036 ee0323e4 04037662 pool...6..#...vb 5ed00: 75660000 00034d03 23800503 76646573 uf....M.#...vdes 5ed10: 63000000 022f0323 94050361 6c6c6f63 c..../.#...alloc 5ed20: 72616d00 00000bc1 0323a805 03646d61 ram......#...dma 5ed30: 5f656e67 696e6500 000043c5 0323b405 _engine...C..#.. 5ed40: 03646d61 5f6c6962 0000002b d00323f4 .dma_lib...+..#. 5ed50: 05036869 665f7063 69000000 2e300323 ..hif_pci....0.# 5ed60: a8060002 56425546 5f434f4e 54455854 ....VBUF_CONTEXT 5ed70: 000c0000 46ad0366 7265655f 6275665f ....F..free_buf_ 5ed80: 68656164 00000003 23022300 036e5662 head....#.#..nVb 5ed90: 75664e75 6d000000 01e70223 04037052 ufNum......#..pR 5eda0: 65736572 76656400 0000022c 02230800 eserved....,.#.. 5edb0: 1a675f76 62756643 74780000 00466005 .g_vbufCtx...F`. 5edc0: 0300500a f801065f 415f6d61 67706965 ..P...._A_magpie 5edd0: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 5ede0: 6c655f74 00000045 66040000 034d0400 le_t...Ef....M.. 5edf0: 09011b01 1d5f7662 75665f69 6e697400 ....._vbuf_init. 5ee00: 01010392 01200290 00008e4e f0008e4f ..... .....N...O 5ee10: 2b000047 321c011d 6e427566 00000001 +..G2...nBuf.... 5ee20: e701521d 76627566 00000003 231d6900 ..R.vbuf....#.i. 5ee30: 000001e7 001e0138 5f766275 665f616c .......8_vbuf_al 5ee40: 6c6f635f 76627566 00000003 23010103 loc_vbuf....#... 5ee50: 92012002 9000008e 4f2c008e 4f4a0000 .. .....O,..OJ.. 5ee60: 476e1d61 6c6c6f63 42756600 00000323 Gn.allocBuf....# 5ee70: 001b0148 5f766275 665f6672 65655f76 ...H_vbuf_free_v 5ee80: 62756600 01010392 01200290 00008e4f buf...... .....O 5ee90: 4c008e4f 60000047 a41c0148 62756600 L..O`..G...Hbuf. 5eea0: 00000323 0152001f 01547662 75665f6d ...#.R...Tvbuf_m 5eeb0: 6f64756c 655f696e 7374616c 6c000101 odule_install... 5eec0: 03920120 02900000 8e4f6000 8e4f741c ... .....O`..Ot. 5eed0: 01546170 69730000 0046e601 52000000 .Tapis...F..R... 5eee0: 0000483f 00020000 227c0401 2f726f6f ..H?...."|../roo 5eef0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 5ef00: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 5ef10: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image 5ef20: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. 5ef30: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi 5ef40: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 5ef50: 7372632f 76646573 632e6300 2f726f6f src/vdesc.c./roo 5ef60: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 5ef70: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 5ef80: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v 5ef90: 64657363 0078742d 78636320 666f7220 desc.xt-xcc for 5efa0: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align 5efb0: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 5efc0: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp 5efd0: 61636500 01000001 52b2025f 56444553 ace.....R.._VDES 5efe0: 43002400 00018603 6e657874 5f646573 C.$.....next_des 5eff0: 63000000 01860223 00036275 665f6164 c......#..buf_ad 5f000: 64720000 0001ab02 23040362 75665f73 dr......#..buf_s 5f010: 697a6500 000001c8 02230803 64617461 ize......#..data 5f020: 5f6f6666 73657400 000001c8 02230a03 _offset......#.. 5f030: 64617461 5f73697a 65000000 01c80223 data_size......# 5f040: 0c03636f 6e74726f 6c000000 01c80223 ..control......# 5f050: 0e036877 5f646573 635f6275 66000000 ..hw_desc_buf... 5f060: 01d60223 10000400 0000fa04 0005756e ...#..........un 5f070: 7369676e 65642063 68617200 07010641 signed char....A 5f080: 5f55494e 54380000 00018d04 0000019e _UINT8.......... 5f090: 04000573 686f7274 20756e73 69676e65 ...short unsigne 5f0a0: 6420696e 74000702 06415f55 494e5431 d int....A_UINT1 5f0b0: 36000000 01b20700 00019e14 000001e3 6............... 5f0c0: 08130004 000000fa 04000569 6e740005 ...........int.. 5f0d0: 04090104 000001f1 04000656 44455343 ...........VDESC 5f0e0: 00000000 fa040000 01fa0400 0a000002 ................ 5f0f0: 05010400 00020c04 000a0000 01ab0104 ................ 5f100: 00000219 04000901 04000002 2604000b ............&... 5f110: 04000276 64657363 5f617069 00140000 ...vdesc_api.... 5f120: 02a1035f 696e6974 00000001 f3022300 ..._init......#. 5f130: 035f616c 6c6f635f 76646573 63000000 ._alloc_vdesc... 5f140: 02120223 04035f67 65745f68 775f6465 ...#.._get_hw_de 5f150: 73630000 00021f02 2308035f 73776170 sc......#.._swap 5f160: 5f766465 73630000 00022802 230c0370 _vdesc....(.#..p 5f170: 52657365 72766564 00000002 2f022310 Reserved..../.#. 5f180: 00090104 000002a1 04000563 68617200 ...........char. 5f190: 07010c00 0002aa0c 000002aa 04000002 ................ 5f1a0: b704000a 000001ea 01040000 02c30400 ................ 5f1b0: 02707269 6e74665f 61706900 08000003 .printf_api..... 5f1c0: 07035f70 72696e74 665f696e 69740000 .._printf_init.. 5f1d0: 0002a302 2300035f 7072696e 74660000 ....#.._printf.. 5f1e0: 0002c902 23040006 75696e74 31365f74 ....#...uint16_t 5f1f0: 00000001 b2056c6f 6e672075 6e736967 ......long unsig 5f200: 6e656420 696e7400 07040675 696e7433 ned int....uint3 5f210: 325f7400 00000315 02756172 745f6669 2_t......uart_fi 5f220: 666f0008 00000383 03737461 72745f69 fo.......start_i 5f230: 6e646578 00000003 07022300 03656e64 ndex......#..end 5f240: 5f696e64 65780000 00030702 2302036f _index......#..o 5f250: 76657272 756e5f65 72720000 00032a02 verrun_err....*. 5f260: 23040002 75617274 5f617069 00200000 #...uart_api. .. 5f270: 043c035f 75617274 5f696e69 74000000 .<._uart_init... 5f280: 04930223 00035f75 6172745f 63686172 ...#.._uart_char 5f290: 5f707574 00000004 a9022304 035f7561 _put......#.._ua 5f2a0: 72745f63 6861725f 67657400 000004bd rt_char_get..... 5f2b0: 02230803 5f756172 745f7374 725f6f75 .#.._uart_str_ou 5f2c0: 74000000 04c60223 0c035f75 6172745f t......#.._uart_ 5f2d0: 7461736b 00000002 a3022310 035f7561 task......#.._ua 5f2e0: 72745f73 74617475 73000000 04930223 rt_status......# 5f2f0: 14035f75 6172745f 636f6e66 69670000 .._uart_config.. 5f300: 0004cf02 2318035f 75617274 5f687769 ....#.._uart_hwi 5f310: 6e697400 000004d8 02231c00 04000003 nit......#...... 5f320: 83040002 75617274 5f626c6b 00100000 ....uart_blk.... 5f330: 048d0364 65627567 5f6d6f64 65000000 ...debug_mode... 5f340: 03070223 00036261 75640000 00030702 ...#..baud...... 5f350: 2302035f 75617274 00000004 3c022304 #.._uart....<.#. 5f360: 035f7478 00000003 38022308 000a0000 ._tx....8.#..... 5f370: 032a0104 0000048d 04000675 696e7438 .*.........uint8 5f380: 5f740000 00018d09 01040000 04a70400 _t.............. 5f390: 04000004 9a04000a 00000307 01040000 ................ 5f3a0: 04b70400 09010400 0004c404 00090104 ................ 5f3b0: 000004cd 04000901 04000004 d6040004 ................ 5f3c0: 000002aa 04000a00 0001ea01 04000004 ................ 5f3d0: e6040002 44425f43 4f4d4d41 4e445f53 ....DB_COMMAND_S 5f3e0: 54525543 54000c00 00053e03 636d645f TRUCT.....>.cmd_ 5f3f0: 73747200 000004df 02230003 68656c70 str......#..help 5f400: 5f737472 00000004 df022304 03636d64 _str......#..cmd 5f410: 5f66756e 63000000 04ec0223 08000264 _func......#...d 5f420: 62675f61 70690008 00000571 035f6462 bg_api.....q._db 5f430: 675f696e 69740000 0002a302 2300035f g_init......#.._ 5f440: 6462675f 7461736b 00000002 a3022304 dbg_task......#. 5f450: 0005756e 7369676e 65642069 6e740007 ..unsigned int.. 5f460: 040a0000 022f0104 00000581 04000d0d ...../.......... 5f470: 04000005 8f04000a 0000022f 01040000 .........../.... 5f480: 05970400 0a000001 ea010400 0005a404 ................ 5f490: 00026d65 6d5f6170 69001400 00061303 ..mem_api....... 5f4a0: 5f6d656d 5f696e69 74000000 02a30223 _mem_init......# 5f4b0: 00035f6d 656d7365 74000000 05870223 .._memset......# 5f4c0: 04035f6d 656d6370 79000000 059d0223 .._memcpy......# 5f4d0: 08035f6d 656d6d6f 76650000 00059d02 .._memmove...... 5f4e0: 230c035f 6d656d63 6d700000 0005aa02 #.._memcmp...... 5f4f0: 2310000e 72656769 73746572 5f64756d #...register_dum 5f500: 705f7300 00010400 00061304 00090104 p_s............. 5f510: 0000062d 04000901 04000006 3604000a ...-........6... 5f520: 000001ea 01040000 063f0400 0f686f73 .........?...hos 5f530: 7469665f 73000400 00069b10 4849465f tif_s.......HIF_ 5f540: 55534200 00104849 465f5043 49450001 USB...HIF_PCIE.. 5f550: 10484946 5f474d41 43000210 4849465f .HIF_GMAC...HIF_ 5f560: 50434900 03104849 465f4e55 4d000410 PCI...HIF_NUM... 5f570: 4849465f 4e4f4e45 00050006 415f484f HIF_NONE....A_HO 5f580: 53544946 00000006 4c0a0000 069b0104 STIF....L....... 5f590: 000006a9 04000a00 00049a01 04000006 ................ 5f5a0: b604000a 00000307 01040000 06c30400 ................ 5f5b0: 026d6973 635f6170 69002400 0007b303 .misc_api.$..... 5f5c0: 5f737973 74656d5f 72657365 74000000 _system_reset... 5f5d0: 02a30223 00035f6d 61635f72 65736574 ...#.._mac_reset 5f5e0: 00000002 a3022304 035f6173 73666169 ......#.._assfai 5f5f0: 6c000000 062f0223 08035f6d 6973616c l..../.#.._misal 5f600: 69676e65 645f6c6f 61645f68 616e646c igned_load_handl 5f610: 65720000 00062f02 230c035f 7265706f er..../.#.._repo 5f620: 72745f66 61696c75 72655f74 6f5f686f rt_failure_to_ho 5f630: 73740000 00063802 2310035f 74617267 st....8.#.._targ 5f640: 65745f69 645f6765 74000000 06450223 et_id_get....E.# 5f650: 14035f69 735f686f 73745f70 72657365 .._is_host_prese 5f660: 6e740000 0006af02 2318035f 6b626869 nt......#.._kbhi 5f670: 74000000 06bc0223 1c035f72 6f6d5f76 t......#.._rom_v 5f680: 65727369 6f6e5f67 65740000 0006c902 ersion_get...... 5f690: 2320000a 000004df 01040000 07b30400 # .............. 5f6a0: 0a000004 df010400 0007c004 000a0000 ................ 5f6b0: 01ea0104 000007cd 04000a00 0001ea01 ................ 5f6c0: 04000007 da04000a 000001ea 01040000 ................ 5f6d0: 07e70400 02737472 696e675f 61706900 .....string_api. 5f6e0: 18000008 6d035f73 7472696e 675f696e ....m._string_in 5f6f0: 69740000 0002a302 2300035f 73747263 it......#.._strc 5f700: 70790000 0007b902 2304035f 7374726e py......#.._strn 5f710: 63707900 000007c6 02230803 5f737472 cpy......#.._str 5f720: 6c656e00 000007d3 02230c03 5f737472 len......#.._str 5f730: 636d7000 000007e0 02231003 5f737472 cmp......#.._str 5f740: 6e636d70 00000007 ed022314 00070000 ncmp......#..... 5f750: 05711400 00087a08 0400065f 415f5449 .q....z...._A_TI 5f760: 4d45525f 53504143 45000000 086d0641 MER_SPACE....m.A 5f770: 5f74696d 65725f74 00000008 7a040000 _timer_t....z... 5f780: 088e0400 09010400 0008a404 00090104 ................ 5f790: 000008ad 04000641 5f48414e 444c4500 .......A_HANDLE. 5f7a0: 00000571 09010641 5f54494d 45525f46 ...q...A_TIMER_F 5f7b0: 554e4300 000008c4 04000008 c6040009 UNC............. 5f7c0: 01040000 08df0400 0274696d 65725f61 .........timer_a 5f7d0: 70690014 0000095e 035f7469 6d65725f pi.....^._timer_ 5f7e0: 696e6974 00000002 a3022300 035f7469 init......#.._ti 5f7f0: 6d65725f 61726d00 000008a6 02230403 mer_arm......#.. 5f800: 5f74696d 65725f64 69736172 6d000000 _timer_disarm... 5f810: 08af0223 08035f74 696d6572 5f736574 ...#.._timer_set 5f820: 666e0000 0008e102 230c035f 74696d65 fn......#.._time 5f830: 725f7275 6e000000 02a30223 10000642 r_run......#...B 5f840: 4f4f4c45 414e0000 0003070a 0000095e OOLEAN.........^ 5f850: 01040000 096b0400 0a000009 5e010400 .....k......^... 5f860: 00097804 000a0000 095e0104 00000985 ..x......^...... 5f870: 04000272 6f6d705f 61706900 10000009 ...romp_api..... 5f880: f7035f72 6f6d705f 696e6974 00000002 .._romp_init.... 5f890: a3022300 035f726f 6d705f64 6f776e6c ..#.._romp_downl 5f8a0: 6f616400 00000971 02230403 5f726f6d oad....q.#.._rom 5f8b0: 705f696e 7374616c 6c000000 097e0223 p_install....~.# 5f8c0: 08035f72 6f6d705f 6465636f 64650000 .._romp_decode.. 5f8d0: 00098b02 230c0002 726f6d5f 70617463 ....#...rom_patc 5f8e0: 685f7374 00100000 0a530363 72633136 h_st.....S.crc16 5f8f0: 00000003 07022300 036c656e 00000003 ......#..len.... 5f900: 07022302 036c645f 61646472 00000003 ..#..ld_addr.... 5f910: 2a022304 0366756e 5f616464 72000000 *.#..fun_addr... 5f920: 032a0223 08037066 756e0000 0004b002 .*.#..pfun...... 5f930: 230c0002 6565705f 72656469 725f6164 #...eep_redir_ad 5f940: 64720004 00000a85 036f6666 73657400 dr.......offset. 5f950: 00000307 02230003 73697a65 00000003 .....#..size.... 5f960: 07022302 0006415f 55494e54 33320000 ..#...A_UINT32.. 5f970: 0005710a 0000022f 01040000 0a930400 ..q..../........ 5f980: 02616c6c 6f637261 6d5f6170 69000c00 .allocram_api... 5f990: 000b0403 636d6e6f 735f616c 6c6f6372 ....cmnos_allocr 5f9a0: 616d5f69 6e697400 00000a99 02230003 am_init......#.. 5f9b0: 636d6e6f 735f616c 6c6f6372 616d0000 cmnos_allocram.. 5f9c0: 000a9902 23040363 6d6e6f73 5f616c6c ....#..cmnos_all 5f9d0: 6f637261 6d5f6465 62756700 000002a3 ocram_debug..... 5f9e0: 02230800 09010400 000b0404 0006415f .#............A_ 5f9f0: 5441534b 4c45545f 46554e43 0000000b TASKLET_FUNC.... 5fa00: 06025f74 61736b6c 65740010 00000b65 .._tasklet.....e 5fa10: 0366756e 63000000 0b0d0223 00036172 .func......#..ar 5fa20: 67000000 022f0223 04037374 61746500 g..../.#..state. 5fa30: 000001ea 02230803 6e657874 0000000b .....#..next.... 5fa40: 6502230c 00040000 0b210400 0400000b e.#......!...... 5fa50: 21040006 415f7461 736b6c65 745f7400 !...A_tasklet_t. 5fa60: 00000b21 0400000b 73040009 01040000 ...!....s....... 5fa70: 0b8b0400 09010400 000b9404 00027461 ..............ta 5fa80: 736b6c65 745f6170 69001400 000c2903 sklet_api.....). 5fa90: 5f746173 6b6c6574 5f696e69 74000000 _tasklet_init... 5faa0: 02a30223 00035f74 61736b6c 65745f69 ...#.._tasklet_i 5fab0: 6e69745f 7461736b 0000000b 8d022304 nit_task......#. 5fac0: 035f7461 736b6c65 745f6469 7361626c ._tasklet_disabl 5fad0: 65000000 0b960223 08035f74 61736b6c e......#.._taskl 5fae0: 65745f73 63686564 756c6500 00000b96 et_schedule..... 5faf0: 02230c03 5f746173 6b6c6574 5f72756e .#.._tasklet_run 5fb00: 00000002 a3022310 00090104 00000c29 ......#........) 5fb10: 04000a00 000a8501 0400000c 32040002 ............2... 5fb20: 636c6f63 6b5f6170 69002400 000d1803 clock_api.$..... 5fb30: 5f636c6f 636b5f69 6e697400 00000c2b _clock_init....+ 5fb40: 02230003 5f636c6f 636b7265 67735f69 .#.._clockregs_i 5fb50: 6e697400 000002a3 02230403 5f756172 nit......#.._uar 5fb60: 745f6672 65717565 6e637900 00000c38 t_frequency....8 5fb70: 02230803 5f64656c 61795f75 73000000 .#.._delay_us... 5fb80: 01f30223 0c035f77 6c616e5f 62616e64 ...#.._wlan_band 5fb90: 5f736574 00000001 f3022310 035f7265 _set......#.._re 5fba0: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. 5fbb0: 000c3802 2314035f 6d696c6c 69736563 ..8.#.._millisec 5fbc0: 6f6e6473 0000000c 38022318 035f7379 onds....8.#.._sy 5fbd0: 73636c6b 5f636861 6e676500 000002a3 sclk_change..... 5fbe0: 02231c03 5f636c6f 636b5f74 69636b00 .#.._clock_tick. 5fbf0: 000002a3 02232000 0a000003 2a010400 .....# .....*... 5fc00: 000d1804 0006415f 6f6c645f 696e7472 ......A_old_intr 5fc10: 5f740000 00032a0a 00000d25 01040000 _t....*....%.... 5fc20: 0d370400 09010400 000d4404 00090104 .7........D..... 5fc30: 00000d4d 04000a00 00032a01 0400000d ...M......*..... 5fc40: 56040006 415f6973 725f7400 00000d5c V...A_isr_t....\ 5fc50: 09010400 000d7004 000a0000 05710104 ......p......q.. 5fc60: 00000d79 04000901 0400000d 86040002 ...y............ 5fc70: 696e7472 5f617069 002c0000 0ea8035f intr_api.,....._ 5fc80: 696e7472 5f696e69 74000000 02a30223 intr_init......# 5fc90: 00035f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i 5fca0: 73720000 000d1e02 2304035f 696e7472 sr......#.._intr 5fcb0: 5f646973 61626c65 0000000d 3d022308 _disable....=.#. 5fcc0: 035f696e 74725f72 6573746f 72650000 ._intr_restore.. 5fcd0: 000d4602 230c035f 696e7472 5f6d6173 ..F.#.._intr_mas 5fce0: 6b5f696e 756d0000 000d4f02 2310035f k_inum....O.#.._ 5fcf0: 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum 5fd00: 0000000d 4f022314 035f696e 74725f61 ....O.#.._intr_a 5fd10: 74746163 685f6973 72000000 0d720223 ttach_isr....r.# 5fd20: 18035f67 65745f69 6e747265 6e61626c .._get_intrenabl 5fd30: 65000000 0d7f0223 1c035f73 65745f69 e......#.._set_i 5fd40: 6e747265 6e61626c 65000000 0d880223 ntrenable......# 5fd50: 20035f67 65745f69 6e747270 656e6469 ._get_intrpendi 5fd60: 6e670000 000d7f02 2324035f 756e626c ng......#$._unbl 5fd70: 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. 5fd80: 000002a3 02232800 11040000 0ece0374 .....#(........t 5fd90: 696d656f 75740000 00032a02 23000361 imeout....*.#..a 5fda0: 6374696f 6e000000 032a0223 00001208 ction....*.#.... 5fdb0: 00000ee9 03636d64 00000003 2a022300 .....cmd....*.#. 5fdc0: 1300000e a8022304 0006545f 5744545f ......#...T_WDT_ 5fdd0: 434d4400 00000ece 09010400 000ef804 CMD............. 5fde0: 00140400 000f4e10 454e554d 5f574454 ......N.ENUM_WDT 5fdf0: 5f424f4f 54000110 454e554d 5f434f4c _BOOT...ENUM_COL 5fe00: 445f424f 4f540002 10454e55 4d5f5355 D_BOOT...ENUM_SU 5fe10: 53505f42 4f4f5400 0310454e 554d5f55 SP_BOOT...ENUM_U 5fe20: 4e4b4e4f 574e5f42 4f4f5400 04000654 NKNOWN_BOOT....T 5fe30: 5f424f4f 545f5459 50450000 000f010a _BOOT_TYPE...... 5fe40: 00000f4e 01040000 0f5f0400 02776474 ...N....._...wdt 5fe50: 5f617069 001c0000 1003035f 7764745f _api......._wdt_ 5fe60: 696e6974 00000002 a3022300 035f7764 init......#.._wd 5fe70: 745f656e 61626c65 00000002 a3022304 t_enable......#. 5fe80: 035f7764 745f6469 7361626c 65000000 ._wdt_disable... 5fe90: 02a30223 08035f77 64745f73 65740000 ...#.._wdt_set.. 5fea0: 000efa02 230c035f 7764745f 7461736b ....#.._wdt_task 5feb0: 00000002 a3022310 035f7764 745f7265 ......#.._wdt_re 5fec0: 73657400 000002a3 02231403 5f776474 set......#.._wdt 5fed0: 5f6c6173 745f626f 6f740000 000f6502 _last_boot....e. 5fee0: 23180014 04000010 6a105245 545f5355 #.......j.RET_SU 5fef0: 43434553 53000010 5245545f 4e4f545f CCESS...RET_NOT_ 5ff00: 494e4954 00011052 45545f4e 4f545f45 INIT...RET_NOT_E 5ff10: 58495354 00021052 45545f45 45505f43 XIST...RET_EEP_C 5ff20: 4f525255 50540003 10524554 5f454550 ORRUPT...RET_EEP 5ff30: 5f4f5645 52464c4f 57000410 5245545f _OVERFLOW...RET_ 5ff40: 554e4b4e 4f574e00 05000654 5f454550 UNKNOWN....T_EEP 5ff50: 5f524554 00000010 03040000 03070400 _RET............ 5ff60: 0a000010 6a010400 00108004 000a0000 ....j........... 5ff70: 106a0104 0000108d 04000265 65705f61 .j.........eep_a 5ff80: 70690010 000010f6 035f6565 705f696e pi......._eep_in 5ff90: 69740000 0002a302 2300035f 6565705f it......#.._eep_ 5ffa0: 72656164 00000010 86022304 035f6565 read......#.._ee 5ffb0: 705f7772 69746500 00001086 02230803 p_write......#.. 5ffc0: 5f656570 5f69735f 65786973 74000000 _eep_is_exist... 5ffd0: 10930223 0c000275 73625f61 70690070 ...#...usb_api.p 5ffe0: 000013a3 035f7573 625f696e 69740000 ....._usb_init.. 5fff0: 0002a302 2300035f 7573625f 726f6d5f ....#.._usb_rom_ 60000: 7461736b 00000002 a3022304 035f7573 task......#.._us 60010: 625f6677 5f746173 6b000000 02a30223 b_fw_task......# 60020: 08035f75 73625f69 6e69745f 70687900 .._usb_init_phy. 60030: 000002a3 02230c03 5f757362 5f657030 .....#.._usb_ep0 60040: 5f736574 75700000 0002a302 2310035f _setup......#.._ 60050: 7573625f 6570305f 74780000 0002a302 usb_ep0_tx...... 60060: 2314035f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. 60070: 0002a302 2318035f 7573625f 6765745f ....#.._usb_get_ 60080: 696e7465 72666163 65000000 097e0223 interface....~.# 60090: 1c035f75 73625f73 65745f69 6e746572 .._usb_set_inter 600a0: 66616365 00000009 7e022320 035f7573 face....~.# ._us 600b0: 625f6765 745f636f 6e666967 75726174 b_get_configurat 600c0: 696f6e00 0000097e 02232403 5f757362 ion....~.#$._usb 600d0: 5f736574 5f636f6e 66696775 72617469 _set_configurati 600e0: 6f6e0000 00097e02 2328035f 7573625f on....~.#(._usb_ 600f0: 7374616e 64617264 5f636d64 00000009 standard_cmd.... 60100: 7e02232c 035f7573 625f7665 6e646f72 ~.#,._usb_vendor 60110: 5f636d64 00000002 a3022330 035f7573 _cmd......#0._us 60120: 625f706f 7765725f 6f666600 000002a3 b_power_off..... 60130: 02233403 5f757362 5f726573 65745f66 .#4._usb_reset_f 60140: 69666f00 000002a3 02233803 5f757362 ifo......#8._usb 60150: 5f67656e 5f776474 00000002 a302233c _gen_wdt......#< 60160: 035f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. 60170: 000002a3 02234003 5f757362 5f636c72 .....#@._usb_clr 60180: 5f666561 74757265 00000009 7e022344 _feature....~.#D 60190: 035f7573 625f7365 745f6665 61747572 ._usb_set_featur 601a0: 65000000 097e0223 48035f75 73625f73 e....~.#H._usb_s 601b0: 65745f61 64647265 73730000 00097e02 et_address....~. 601c0: 234c035f 7573625f 6765745f 64657363 #L._usb_get_desc 601d0: 72697074 6f720000 00097e02 2350035f riptor....~.#P._ 601e0: 7573625f 6765745f 73746174 75730000 usb_get_status.. 601f0: 00097e02 2354035f 7573625f 73657475 ..~.#T._usb_setu 60200: 705f6465 73630000 0002a302 2358035f p_desc......#X._ 60210: 7573625f 7265675f 6f757400 000002a3 usb_reg_out..... 60220: 02235c03 5f757362 5f737461 7475735f .#\._usb_status_ 60230: 696e0000 0002a302 2360035f 7573625f in......#`._usb_ 60240: 6570305f 74785f64 61746100 000002a3 ep0_tx_data..... 60250: 02236403 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ 60260: 64617461 00000002 a3022368 035f7573 data......#h._us 60270: 625f636c 6b5f696e 69740000 0002a302 b_clk_init...... 60280: 236c0002 5f564255 46002000 00140303 #l.._VBUF. ..... 60290: 64657363 5f6c6973 74000000 02050223 desc_list......# 602a0: 00036e65 78745f62 75660000 00140302 ..next_buf...... 602b0: 23040362 75665f6c 656e6774 68000000 #..buf_length... 602c0: 01c80223 08037265 73657276 65640000 ...#..reserved.. 602d0: 00140a02 230a0363 74780000 0001d602 ....#..ctx...... 602e0: 230c0004 000013a3 04000700 00019e02 #............... 602f0: 00001417 08010004 000013a3 04000656 ...............V 60300: 42554600 000013a3 04000014 1e04000a BUF............. 60310: 00001428 01040000 142f0400 0a000014 ...(...../...... 60320: 28010400 00143c04 00090104 00001449 (.....<........I 60330: 04000276 6275665f 61706900 14000014 ...vbuf_api..... 60340: c7035f69 6e697400 000001f3 02230003 .._init......#.. 60350: 5f616c6c 6f635f76 62756600 00001435 _alloc_vbuf....5 60360: 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 60370: 77697468 5f73697a 65000000 14420223 with_size....B.# 60380: 08035f66 7265655f 76627566 00000014 .._free_vbuf.... 60390: 4b02230c 03705265 73657276 65640000 K.#..pReserved.. 603a0: 00022f02 23100002 5f5f6164 665f6465 ../.#...__adf_de 603b0: 76696365 00040000 14e90364 756d6d79 vice.......dummy 603c0: 00000001 ea022300 00040000 0a850400 ......#......... 603d0: 025f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. 603e0: 00001530 03627566 00000014 28022300 ...0.buf....(.#. 603f0: 0364735f 61646472 00000014 e9022304 .ds_addr......#. 60400: 0364735f 6c656e00 000001c8 02230800 .ds_len......#.. 60410: 120c0000 156a035f 5f76615f 73746b00 .....j.__va_stk. 60420: 000004df 02230003 5f5f7661 5f726567 .....#..__va_reg 60430: 00000004 df022304 035f5f76 615f6e64 ......#..__va_nd 60440: 78000000 01ea0223 0800065f 5f616466 x......#...__adf 60450: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. 60460: 000a8506 6164665f 6f735f64 6d615f61 ....adf_os_dma_a 60470: 6464725f 74000000 156a065f 5f616466 ddr_t....j.__adf 60480: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. 60490: 000a8506 6164665f 6f735f64 6d615f73 ....adf_os_dma_s 604a0: 697a655f 74000000 159a025f 5f646d61 ize_t......__dma 604b0: 5f736567 73000800 0015f603 70616464 _segs.......padd 604c0: 72000000 15830223 00036c65 6e000000 r......#..len... 604d0: 15b30223 0400065f 5f615f75 696e7433 ...#...__a_uint3 604e0: 325f7400 00000a85 06615f75 696e7433 2_t......a_uint3 604f0: 325f7400 000015f6 07000015 ca080000 2_t............. 60500: 16250800 00026164 665f6f73 5f646d61 .%....adf_os_dma 60510: 6d61705f 696e666f 000c0000 165e036e map_info.....^.n 60520: 73656773 00000016 08022300 03646d61 segs......#..dma 60530: 5f736567 73000000 16180223 0400065f _segs......#..._ 60540: 5f615f75 696e7438 5f740000 00019e06 _a_uint8_t...... 60550: 615f7569 6e74385f 74000000 165e0400 a_uint8_t....^.. 60560: 00166f04 00025f5f 73675f73 65677300 ..o...__sg_segs. 60570: 08000016 b0037661 64647200 0000167e ......vaddr....~ 60580: 02230003 6c656e00 00001608 02230400 .#..len......#.. 60590: 07000016 85200000 16bd0803 00026164 ..... ........ad 605a0: 665f6f73 5f73676c 69737400 24000016 f_os_sglist.$... 605b0: f0036e73 65677300 00001608 02230003 ..nsegs......#.. 605c0: 73675f73 65677300 000016b0 02230400 sg_segs......#.. 605d0: 12100000 17390376 656e646f 72000000 .....9.vendor... 605e0: 16080223 00036465 76696365 00000016 ...#..device.... 605f0: 08022304 03737562 76656e64 6f720000 ..#..subvendor.. 60600: 00160802 23080373 75626465 76696365 ....#..subdevice 60610: 00000016 0802230c 00056c6f 6e67206c ......#...long l 60620: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 60630: 00070806 415f5549 4e543634 00000017 ....A_UINT64.... 60640: 39065f5f 615f7569 6e743634 5f740000 9.__a_uint64_t.. 60650: 00175306 615f7569 6e743634 5f740000 ..S.a_uint64_t.. 60660: 00176114 04000017 bf104144 465f4f53 ..a.......ADF_OS 60670: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M 60680: 454d0000 10414446 5f4f535f 5245534f EM...ADF_OS_RESO 60690: 55524345 5f545950 455f494f 00010006 URCE_TYPE_IO.... 606a0: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 606b0: 74797065 5f740000 00178312 18000018 type_t.......... 606c0: 09037374 61727400 00001773 02230003 ..start....s.#.. 606d0: 656e6400 00001773 02230803 74797065 end....s.#..type 606e0: 00000017 bf022310 00066164 665f6f73 ......#...adf_os 606f0: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... 60700: 16f00400 00180904 00110400 00184803 ..............H. 60710: 70636900 00001822 02230003 72617700 pci....".#..raw. 60720: 0000022f 02230000 11100000 18670370 .../.#.......g.p 60730: 63690000 00180902 23000372 61770000 ci......#..raw.. 60740: 00022f02 23000006 6164665f 6472765f ../.#...adf_drv_ 60750: 68616e64 6c655f74 00000002 2f066164 handle_t..../.ad 60760: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. 60770: 000017db 04000018 7d040006 6164665f ........}...adf_ 60780: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t 60790: 00000018 48040000 189b0400 04000014 ....H........... 607a0: c7040006 5f5f6164 665f6f73 5f646576 ....__adf_os_dev 607b0: 6963655f 74000000 18bc0661 64665f6f ice_t......adf_o 607c0: 735f6465 76696365 5f740000 0018c30a s_device_t...... 607d0: 00001867 01040000 18ef0400 09010400 ...g............ 607e0: 0018fc04 00066164 665f6f73 5f706d5f ......adf_os_pm_ 607f0: 74000000 022f0901 04000019 16040014 t..../.......... 60800: 04000019 56104144 465f4f53 5f425553 ....V.ADF_OS_BUS 60810: 5f545950 455f5043 49000110 4144465f _TYPE_PCI...ADF_ 60820: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE 60830: 52494300 02000661 64665f6f 735f6275 RIC....adf_os_bu 60840: 735f7479 70655f74 00000019 1f066164 s_type_t......ad 60850: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat 60860: 615f7400 00001829 04000001 8d040002 a_t....)........ 60870: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 60880: 001a3303 6472765f 61747461 63680000 ..3.drv_attach.. 60890: 0018f502 23000364 72765f64 65746163 ....#..drv_detac 608a0: 68000000 18fe0223 04036472 765f7375 h......#..drv_su 608b0: 7370656e 64000000 19180223 08036472 spend......#..dr 608c0: 765f7265 73756d65 00000018 fe02230c v_resume......#. 608d0: 03627573 5f747970 65000000 19560223 .bus_type....V.# 608e0: 10036275 735f6461 74610000 00196d02 ..bus_data....m. 608f0: 2314036d 6f645f6e 616d6500 00001988 #..mod_name..... 60900: 02231803 69666e61 6d650000 00198802 .#..ifname...... 60910: 231c0006 6164665f 6f735f68 616e646c #...adf_os_handl 60920: 655f7400 0000022f 04000016 5e040009 e_t..../....^... 60930: 01090106 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 60940: 655f7400 00000571 14040000 1a821041 e_t....q.......A 60950: 5f46414c 53450000 10415f54 52554500 _FALSE...A_TRUE. 60960: 01000661 5f626f6f 6c5f7400 00001a68 ...a_bool_t....h 60970: 04000014 f0040006 5f5f6164 665f6f73 ........__adf_os 60980: 5f646d61 5f6d6170 5f740000 001a9009 _dma_map_t...... 60990: 010f6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 609a0: 796e6300 0400001b 1a104144 465f5359 ync.......ADF_SY 609b0: 4e435f50 52455245 41440000 10414446 NC_PREREAD...ADF 609c0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 609d0: 10414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 609e0: 41440001 10414446 5f53594e 435f504f AD...ADF_SYNC_PO 609f0: 53545752 49544500 03000661 64665f6f STWRITE....adf_o 60a00: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 60a10: 001ab109 01066164 665f6f73 5f73697a ......adf_os_siz 60a20: 655f7400 00001a53 0a00001b 35010661 e_t....S....5..a 60a30: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 60a40: 00001a97 0400001b 4e04000a 0000022f ........N....../ 60a50: 01040000 1a970400 0a000002 2f010901 ............/... 60a60: 0a000015 83010901 0573686f 72742069 .........short i 60a70: 6e740005 0206415f 494e5431 36000000 nt....A_INT16... 60a80: 1b88065f 5f615f69 6e743136 5f740000 ...__a_int16_t.. 60a90: 001b9506 615f696e 7431365f 74000000 ....a_int16_t... 60aa0: 1ba20573 69676e65 64206368 61720005 ...signed char.. 60ab0: 0106415f 494e5438 0000001b c2065f5f ..A_INT8......__ 60ac0: 615f696e 74385f74 0000001b d106615f a_int8_t......a_ 60ad0: 696e7438 5f740000 001bdd12 0c00001c int8_t.......... 60ae0: 54037375 70706f72 74656400 00001608 T.supported..... 60af0: 02230003 61647665 7274697a 65640000 .#..advertized.. 60b00: 00160802 23040373 70656564 0000001b ....#..speed.... 60b10: b3022308 03647570 6c657800 00001bed ..#..duplex..... 60b20: 02230a03 6175746f 6e656700 0000166f .#..autoneg....o 60b30: 02230b00 07000016 6f060000 1c610805 .#......o....a.. 60b40: 00026164 665f6e65 745f6574 68616464 ..adf_net_ethadd 60b50: 72000600 001c8503 61646472 0000001c r.......addr.... 60b60: 54022300 00065f5f 615f7569 6e743136 T.#...__a_uint16 60b70: 5f740000 0001c806 615f7569 6e743136 _t......a_uint16 60b80: 5f740000 001c8512 0e00001c e9036574 _t............et 60b90: 6865725f 64686f73 74000000 1c540223 her_dhost....T.# 60ba0: 00036574 6865725f 73686f73 74000000 ..ether_shost... 60bb0: 1c540223 06036574 6865725f 74797065 .T.#..ether_type 60bc0: 0000001c 9702230c 00121400 001daa15 ......#......... 60bd0: 69705f76 65727369 6f6e0000 00166f01 ip_version....o. 60be0: 00040223 00156970 5f686c00 0000166f ...#..ip_hl....o 60bf0: 01040402 23000369 705f746f 73000000 ....#..ip_tos... 60c00: 166f0223 01036970 5f6c656e 0000001c .o.#..ip_len.... 60c10: 97022302 0369705f 69640000 001c9702 ..#..ip_id...... 60c20: 23040369 705f6672 61675f6f 66660000 #..ip_frag_off.. 60c30: 001c9702 23060369 705f7474 6c000000 ....#..ip_ttl... 60c40: 166f0223 08036970 5f70726f 746f0000 .o.#..ip_proto.. 60c50: 00166f02 23090369 705f6368 65636b00 ..o.#..ip_check. 60c60: 00001c97 02230a03 69705f73 61646472 .....#..ip_saddr 60c70: 00000016 0802230c 0369705f 64616464 ......#..ip_dadd 60c80: 72000000 16080223 10000261 64665f6e r......#...adf_n 60c90: 65745f76 6c616e68 64720004 00001dfc et_vlanhdr...... 60ca0: 03747069 64000000 1c970223 00157072 .tpid......#..pr 60cb0: 696f0000 00166f01 00030223 02156366 io....o....#..cf 60cc0: 69000000 166f0103 01022302 15766964 i....o....#..vid 60cd0: 0000001c 9702040c 02230200 02616466 .........#...adf 60ce0: 5f6e6574 5f766964 00020000 1e2d1572 _net_vid.....-.r 60cf0: 65730000 00166f01 00040223 00157661 es....o....#..va 60d00: 6c000000 1c970204 0c022300 00120c00 l.........#..... 60d10: 001e6903 72785f62 75667369 7a650000 ..i.rx_bufsize.. 60d20: 00160802 23000372 785f6e64 65736300 ....#..rx_ndesc. 60d30: 00001608 02230403 74785f6e 64657363 .....#..tx_ndesc 60d40: 00000016 08022308 00120800 001e8f03 ......#......... 60d50: 706f6c6c 65640000 001a8202 23000370 polled......#..p 60d60: 6f6c6c5f 77740000 00160802 23040007 oll_wt......#... 60d70: 0000166f 4000001e 9c083f00 12460000 ...o@.....?..F.. 60d80: 1ec40369 665f6e61 6d650000 001e8f02 ...if_name...... 60d90: 23000364 65765f61 64647200 00001c54 #..dev_addr....T 60da0: 02234000 14040000 1efb1041 44465f4f .#@........ADF_O 60db0: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT 60dc0: 00001041 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 60dd0: 534b5f36 34424954 00010006 6164665f SK_64BIT....adf_ 60de0: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... 60df0: 1ec40261 64665f64 6d615f69 6e666f00 ...adf_dma_info. 60e00: 0800001f 4803646d 615f6d61 736b0000 ....H.dma_mask.. 60e10: 001efb02 23000373 675f6e73 65677300 ....#..sg_nsegs. 60e20: 00001608 02230400 14040000 1f9e1041 .....#.........A 60e30: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON 60e40: 45000010 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU 60e50: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. 60e60: 10414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 60e70: 43505f55 44505f49 50763600 02000661 CP_UDP_IPv6....a 60e80: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ 60e90: 655f7400 00001f48 12080000 1fe10374 e_t....H.......t 60ea0: 785f636b 73756d00 00001f9e 02230003 x_cksum......#.. 60eb0: 72785f63 6b73756d 0000001f 9e022304 rx_cksum......#. 60ec0: 00066164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 60ed0: 696e666f 5f740000 001fb814 04000020 info_t......... 60ee0: 3a104144 465f4e45 545f5453 4f5f4e4f :.ADF_NET_TSO_NO 60ef0: 4e450000 10414446 5f4e4554 5f54534f NE...ADF_NET_TSO 60f00: 5f495056 34000110 4144465f 4e45545f _IPV4...ADF_NET_ 60f10: 54534f5f 414c4c00 02000661 64665f6e TSO_ALL....adf_n 60f20: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... 60f30: 1ffb1210 0000208e 03636b73 756d5f63 ...... ..cksum_c 60f40: 61700000 001fe102 23000374 736f0000 ap......#..tso.. 60f50: 00203a02 23080376 6c616e5f 73757070 . :.#..vlan_supp 60f60: 6f727465 64000000 166f0223 0c001220 orted....o.#... 60f70: 00002127 0374785f 7061636b 65747300 ..!'.tx_packets. 60f80: 00001608 02230003 72785f70 61636b65 .....#..rx_packe 60f90: 74730000 00160802 23040374 785f6279 ts......#..tx_by 60fa0: 74657300 00001608 02230803 72785f62 tes......#..rx_b 60fb0: 79746573 00000016 0802230c 0374785f ytes......#..tx_ 60fc0: 64726f70 70656400 00001608 02231003 dropped......#.. 60fd0: 72785f64 726f7070 65640000 00160802 rx_dropped...... 60fe0: 23140372 785f6572 726f7273 00000016 #..rx_errors.... 60ff0: 08022318 0374785f 6572726f 72730000 ..#..tx_errors.. 61000: 00160802 231c0006 6164665f 6e65745f ....#...adf_net_ 61010: 65746861 6464725f 74000000 1c611600 ethaddr_t....a.. 61020: 00212703 00000021 4c087f00 17616466 .!'....!L....adf 61030: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. 61040: 03040000 2183036e 656c656d 00000016 ....!..nelem.... 61050: 08022300 036d6361 73740000 00213e02 ..#..mcast...!>. 61060: 23040006 6164665f 6e65745f 636d645f #...adf_net_cmd_ 61070: 6c696e6b 5f696e66 6f5f7400 00001bfb link_info_t..... 61080: 06616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol 61090: 6c5f696e 666f5f74 0000001e 69066164 l_info_t....i.ad 610a0: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ 610b0: 696e666f 5f740000 001fe106 6164665f info_t......adf_ 610c0: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf 610d0: 6f5f7400 00001e2d 06616466 5f6e6574 o_t....-.adf_net 610e0: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. 610f0: 00001f12 06616466 5f6e6574 5f636d64 .....adf_net_cmd 61100: 5f766964 5f740000 001c9706 6164665f _vid_t......adf_ 61110: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ 61120: 6361705f 74000000 20520661 64665f6e cap_t... R.adf_n 61130: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. 61140: 00208e06 6164665f 6e65745f 636d645f . ..adf_net_cmd_ 61150: 6d636164 64725f74 00000021 4c0f6164 mcaddr_t...!L.ad 61160: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 61170: 63617000 04000022 c5104144 465f4e45 cap...."..ADF_NE 61180: 545f4d43 4153545f 53555000 00104144 T_MCAST_SUP...AD 61190: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS 611a0: 55500001 00066164 665f6e65 745f636d UP....adf_net_cm 611b0: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... 611c0: 227d1803 04000023 97036c69 6e6b5f69 "}.....#..link_i 611d0: 6e666f00 00002183 02230003 706f6c6c nfo...!..#..poll 611e0: 5f696e66 6f000000 21a00223 0003636b _info...!..#..ck 611f0: 73756d5f 696e666f 00000021 bd022300 sum_info...!..#. 61200: 0372696e 675f696e 666f0000 0021db02 .ring_info...!.. 61210: 23000364 6d615f69 6e666f00 000021f8 #..dma_info...!. 61220: 02230003 76696400 00002214 02230003 .#..vid..."..#.. 61230: 6f66666c 6f61645f 63617000 0000222b offload_cap..."+ 61240: 02230003 73746174 73000000 224a0223 .#..stats..."J.# 61250: 00036d63 6173745f 696e666f 00000022 ..mcast_info..." 61260: 63022300 036d6361 73745f63 61700000 c.#..mcast_cap.. 61270: 0022c502 23000014 04000023 ee104144 ."..#......#..AD 61280: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 61290: 4e4f4e45 00001041 44465f4e 4255465f NONE...ADF_NBUF_ 612a0: 52585f43 4b53554d 5f485700 01104144 RX_CKSUM_HW...AD 612b0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 612c0: 554e4e45 43455353 41525900 02000661 UNNECESSARY....a 612d0: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 612e0: 5f747970 655f7400 00002397 12080000 _type_t...#..... 612f0: 242e0372 6573756c 74000000 23ee0223 $..result...#..# 61300: 00037661 6c000000 16080223 04001208 ..val......#.... 61310: 0000245e 03747970 65000000 203a0223 ..$^.type... :.# 61320: 00036d73 73000000 1c970223 04036864 ..mss......#..hd 61330: 725f6f66 66000000 166f0223 0600025f r_off....o.#..._ 61340: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. 61350: 0c000024 9d036865 61640000 00142802 ...$..head....(. 61360: 23000374 61696c00 00001428 02230403 #..tail....(.#.. 61370: 716c656e 00000016 08022308 00065f5f qlen......#...__ 61380: 6164665f 6e627566 5f740000 00142804 adf_nbuf_t....(. 61390: 0000167e 04000400 00160804 0009010a ...~............ 613a0: 00000205 010a0000 1608010a 0000167e ...............~ 613b0: 010a0000 167e0104 000001d6 0400065f .....~........._ 613c0: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ 613d0: 74000000 245e065f 5f616466 5f6e6275 t...$^.__adf_nbu 613e0: 665f7175 6575655f 74000000 24de0400 f_queue_t...$... 613f0: 0024f604 000a0000 249d010a 0000249d .$......$.....$. 61400: 01140400 00261610 415f5354 41545553 .....&..A_STATUS 61410: 5f4f4b00 0010415f 53544154 55535f46 _OK...A_STATUS_F 61420: 41494c45 44000110 415f5354 41545553 AILED...A_STATUS 61430: 5f454e4f 454e5400 0210415f 53544154 _ENOENT...A_STAT 61440: 55535f45 4e4f4d45 4d000310 415f5354 US_ENOMEM...A_ST 61450: 41545553 5f45494e 56414c00 0410415f ATUS_EINVAL...A_ 61460: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE 61470: 53530005 10415f53 54415455 535f454e SS...A_STATUS_EN 61480: 4f545355 50500006 10415f53 54415455 OTSUPP...A_STATU 61490: 535f4542 55535900 0710415f 53544154 S_EBUSY...A_STAT 614a0: 55535f45 32424947 00081041 5f535441 US_E2BIG...A_STA 614b0: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI 614c0: 4c000910 415f5354 41545553 5f454e58 L...A_STATUS_ENX 614d0: 494f000a 10415f53 54415455 535f4546 IO...A_STATUS_EF 614e0: 41554c54 000b1041 5f535441 5455535f AULT...A_STATUS_ 614f0: 45494f00 0c000661 5f737461 7475735f EIO....a_status_ 61500: 74000000 25210a00 00261601 0a000001 t...%!...&...... 61510: ea010901 06616466 5f6e6275 665f7400 .....adf_nbuf_t. 61520: 0000249d 14040000 267b1041 44465f4f ..$.....&{.ADF_O 61530: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. 61540: 00104144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO 61550: 4d5f4445 56494345 00010006 6164665f M_DEVICE....adf_ 61560: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& 61570: 440a0000 26160109 01066164 665f6f73 D...&.....adf_os 61580: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. 61590: 00162504 00002699 04000901 09010a00 ..%...&......... 615a0: 00263401 0a000024 9d010901 09010a00 .&4....$........ 615b0: 00263401 0a000024 9d010a00 00263401 .&4....$.....&4. 615c0: 0a000024 9d010a00 00263401 09010901 ...$.....&4..... 615d0: 0a000016 08010a00 00167e01 09010901 ..........~..... 615e0: 0a00001b 35010a00 001a8201 0a00001a ....5........... 615f0: 82010661 64665f6f 735f7367 6c697374 ...adf_os_sglist 61600: 5f740000 0016bd04 00002712 04000901 _t........'..... 61610: 09010901 0a000016 7e010661 64665f6e ........~..adf_n 61620: 6275665f 71756575 655f7400 000024f6 buf_queue_t...$. 61630: 04000027 3a040009 01040000 24de0400 ...':.......$... 61640: 09010901 09010a00 00263401 0a000024 .........&4....$ 61650: 9d010a00 00160801 0a000016 08010a00 ................ 61660: 001a8201 0a00001a 82010a00 001f9e01 ................ 61670: 0a000016 08010661 64665f6e 6275665f .......adf_nbuf_ 61680: 72785f63 6b73756d 5f740000 00240c04 rx_cksum_t...$.. 61690: 00002796 04000901 09010661 64665f6e ..'........adf_n 616a0: 6275665f 74736f5f 74000000 242e0400 buf_tso_t...$... 616b0: 0027ba04 00090109 01066164 665f6e65 .'........adf_ne 616c0: 745f6861 6e646c65 5f740000 00022f06 t_handle_t..../. 616d0: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ 616e0: 74000000 1daa0400 0027ef04 000a0000 t........'...... 616f0: 2616010a 00002616 01090109 01025f48 &.....&......._H 61700: 49465f43 4f4e4649 47000400 00283e03 IF_CONFIG....(>. 61710: 64756d6d 79000000 01ea0223 00000901 dummy......#.... 61720: 04000028 3e040009 01040000 28470400 ...(>.......(G.. 61730: 025f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. 61740: 0000289c 0373656e 645f6275 665f646f ..(..send_buf_do 61750: 6e650000 00284002 23000372 6563765f ne...(@.#..recv_ 61760: 62756600 00002849 02230403 636f6e74 buf...(I.#..cont 61770: 65787400 0000022f 02230800 06686966 ext..../.#...hif 61780: 5f68616e 646c655f 74000000 022f0648 _handle_t..../.H 61790: 49465f43 4f4e4649 47000000 281d0400 IF_CONFIG...(... 617a0: 0028ae04 000a0000 289c0104 000028c5 .(......(.....(. 617b0: 04000901 04000028 d2040006 4849465f .......(....HIF_ 617c0: 43414c4c 4241434b 00000028 50040000 CALLBACK...(P... 617d0: 28db0400 09010400 0028f404 000a0000 (........(...... 617e0: 01ea0104 000028fd 04000901 04000029 ......(........) 617f0: 0a04000a 000001ea 01040000 29130400 ............)... 61800: 09010400 00292004 000a0000 01ea0104 .....) ......... 61810: 00002929 04000901 04000029 36040002 ..)).......)6... 61820: 6869665f 61706900 3800002a 8f035f69 hif_api.8..*.._i 61830: 6e697400 000028cb 02230003 5f736875 nit...(..#.._shu 61840: 74646f77 6e000000 28d40223 04035f72 tdown...(..#.._r 61850: 65676973 7465725f 63616c6c 6261636b egister_callback 61860: 00000028 f6022308 035f6765 745f746f ...(..#.._get_to 61870: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count 61880: 00000029 0302230c 035f7374 61727400 ...)..#.._start. 61890: 000028d4 02231003 5f636f6e 6669675f ..(..#.._config_ 618a0: 70697065 00000029 0c022314 035f7365 pipe...)..#.._se 618b0: 6e645f62 75666665 72000000 29190223 nd_buffer...)..# 618c0: 18035f72 65747572 6e5f7265 63765f62 .._return_recv_b 618d0: 75660000 00292202 231c035f 69735f70 uf...)".#.._is_p 618e0: 6970655f 73757070 6f727465 64000000 ipe_supported... 618f0: 292f0223 20035f67 65745f6d 61785f6d )/.# ._get_max_m 61900: 73675f6c 656e0000 00292f02 2324035f sg_len...)/.#$._ 61910: 6765745f 72657365 72766564 5f686561 get_reserved_hea 61920: 64726f6f 6d000000 29030223 28035f69 droom...)..#(._i 61930: 73725f68 616e646c 65720000 0028d402 sr_handler...(.. 61940: 232c035f 6765745f 64656661 756c745f #,._get_default_ 61950: 70697065 00000029 38022330 03705265 pipe...)8.#0.pRe 61960: 73657276 65640000 00022f02 2334000f served..../.#4.. 61970: 646d615f 656e6769 6e650004 00002b18 dma_engine....+. 61980: 10444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. 61990: 0010444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 619a0: 00011044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 619b0: 32000210 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R 619c0: 58330003 10444d41 5f454e47 494e455f X3...DMA_ENGINE_ 619d0: 54583000 0410444d 415f454e 47494e45 TX0...DMA_ENGINE 619e0: 5f545831 00051044 4d415f45 4e47494e _TX1...DMA_ENGIN 619f0: 455f4d41 58000600 06646d61 5f656e67 E_MAX....dma_eng 61a00: 696e655f 74000000 2a8f0f64 6d615f69 ine_t...*..dma_i 61a10: 66747970 65000400 002b6510 444d415f ftype....+e.DMA_ 61a20: 49465f47 4d414300 0010444d 415f4946 IF_GMAC...DMA_IF 61a30: 5f504349 00011044 4d415f49 465f5043 _PCI...DMA_IF_PC 61a40: 49450002 0006646d 615f6966 74797065 IE....dma_iftype 61a50: 5f740000 002b2a0a 000001c8 01040000 _t...+*......... 61a60: 2b770400 09010400 002b8404 00090104 +w.......+...... 61a70: 00002b8d 04000a00 000a8501 0400002b ..+............+ 61a80: 9604000a 000001c8 01040000 2ba30400 ............+... 61a90: 0a000001 c8010400 002bb004 000a0000 .........+...... 61aa0: 14280104 00002bbd 04000901 0400002b .(....+........+ 61ab0: ca040002 646d615f 6c69625f 61706900 ....dma_lib_api. 61ac0: 3400002c d1037478 5f696e69 74000000 4..,..tx_init... 61ad0: 2b7d0223 00037478 5f737461 72740000 +}.#..tx_start.. 61ae0: 002b8602 23040372 785f696e 69740000 .+..#..rx_init.. 61af0: 002b7d02 23080372 785f636f 6e666967 .+}.#..rx_config 61b00: 0000002b 8f02230c 0372785f 73746172 ...+..#..rx_star 61b10: 74000000 2b860223 1003696e 74725f73 t...+..#..intr_s 61b20: 74617475 73000000 2b9c0223 14036861 tatus...+..#..ha 61b30: 72645f78 6d697400 00002ba9 02231803 rd_xmit...+..#.. 61b40: 666c7573 685f786d 69740000 002b8602 flush_xmit...+.. 61b50: 231c0378 6d69745f 646f6e65 0000002b #..xmit_done...+ 61b60: b6022320 03726561 705f786d 69747465 ..# .reap_xmitte 61b70: 64000000 2bc30223 24037265 61705f72 d...+..#$.reap_r 61b80: 65637600 00002bc3 02232803 72657475 ecv...+..#(.retu 61b90: 726e5f72 65637600 00002bcc 02232c03 rn_recv...+..#,. 61ba0: 72656376 5f706b74 0000002b b6022330 recv_pkt...+..#0 61bb0: 00025f5f 7063695f 736f6674 63000c00 ..__pci_softc... 61bc0: 002cef03 73770000 0028db02 23000006 .,..sw...(..#... 61bd0: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... 61be0: 2cd10400 002cef04 00090104 00002d09 ,....,........-. 61bf0: 04000a00 00019e01 0400002d 1204000f ...........-.... 61c00: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. 61c10: 0400002d 72104849 465f5043 495f5049 ...-r.HIF_PCI_PI 61c20: 50455f54 58300000 10484946 5f504349 PE_TX0...HIF_PCI 61c30: 5f504950 455f5458 31000110 4849465f _PIPE_TX1...HIF_ 61c40: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. 61c50: 02000668 69665f70 63695f70 6970655f ...hif_pci_pipe_ 61c60: 74785f74 0000002d 1f0a0000 2b180104 tx_t...-....+... 61c70: 00002d89 04000f68 69665f70 63695f70 ..-....hif_pci_p 61c80: 6970655f 72780004 00002e0f 10484946 ipe_rx.......HIF 61c90: 5f504349 5f504950 455f5258 30000010 _PCI_PIPE_RX0... 61ca0: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 61cb0: 00011048 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 61cc0: 52583200 02104849 465f5043 495f5049 RX2...HIF_PCI_PI 61cd0: 50455f52 58330003 10484946 5f504349 PE_RX3...HIF_PCI 61ce0: 5f504950 455f5258 5f4d4158 00040006 _PIPE_RX_MAX.... 61cf0: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ 61d00: 74000000 2d960a00 002b1801 0400002e t...-....+...... 61d10: 26040002 6869665f 7063695f 61706900 &...hif_pci_api. 61d20: 2400002f 04037063 695f626f 6f745f69 $../..pci_boot_i 61d30: 6e697400 000002a3 02230003 7063695f nit......#..pci_ 61d40: 696e6974 00000028 cb022304 03706369 init...(..#..pci 61d50: 5f726573 65740000 0002a302 23080370 _reset......#..p 61d60: 63695f65 6e61626c 65000000 02a30223 ci_enable......# 61d70: 0c037063 695f7265 61705f78 6d697474 ..pci_reap_xmitt 61d80: 65640000 002d0b02 23100370 63695f72 ed...-..#..pci_r 61d90: 6561705f 72656376 0000002d 0b022314 eap_recv...-..#. 61da0: 03706369 5f676574 5f706970 65000000 .pci_get_pipe... 61db0: 2d180223 18037063 695f6765 745f7478 -..#..pci_get_tx 61dc0: 5f656e67 0000002d 8f02231c 03706369 _eng...-..#..pci 61dd0: 5f676574 5f72785f 656e6700 00002e2c _get_rx_eng...., 61de0: 02232000 02676d61 635f6170 69000400 .# ..gmac_api... 61df0: 002f2b03 676d6163 5f626f6f 745f696e ./+.gmac_boot_in 61e00: 69740000 0002a302 23000007 0000018d it......#....... 61e10: 0600002f 38080500 025f5f65 74686864 .../8....__ethhd 61e20: 72000e00 002f6e03 64737400 00002f2b r..../n.dst.../+ 61e30: 02230003 73726300 00002f2b 02230603 .#..src.../+.#.. 61e40: 65747970 65000000 01c80223 0c00025f etype......#..._ 61e50: 5f617468 68647200 0400002f bc157265 _athhdr..../..re 61e60: 73000000 019e0100 02022300 1570726f s.........#..pro 61e70: 746f0000 00019e01 02060223 00037265 to.........#..re 61e80: 735f6c6f 00000001 9e022301 03726573 s_lo......#..res 61e90: 5f686900 000001c8 02230200 025f5f67 _hi......#...__g 61ea0: 6d61635f 68647200 1400002f f8036574 mac_hdr..../..et 61eb0: 68000000 2f380223 00036174 68000000 h.../8.#..ath... 61ec0: 2f6e0223 0e03616c 69676e5f 70616400 /n.#..align_pad. 61ed0: 000001c8 02231200 065f5f67 6d61635f .....#...__gmac_ 61ee0: 6864725f 74000000 2fbc025f 5f676d61 hdr_t.../..__gma 61ef0: 635f736f 66746300 24000030 42036864 c_softc.$..0B.hd 61f00: 72000000 2ff80223 00036772 616e0000 r.../..#..gran.. 61f10: 0001c802 23140373 77000000 28db0223 ....#..sw...(..# 61f20: 18000e5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage 61f30: 5f636865 636b0000 01040000 30420400 _check......0B.. 61f40: 0a000001 ea010400 00306004 00040000 .........0`..... 61f50: 05710400 175f415f 636d6e6f 735f696e .q..._A_cmnos_in 61f60: 64697265 6374696f 6e5f7461 626c6500 direction_table. 61f70: 01b80000 31b00368 616c5f6c 696e6b61 ....1..hal_linka 61f80: 67655f63 6865636b 00000030 66022300 ge_check...0f.#. 61f90: 03737461 72745f62 73730000 00306d02 .start_bss...0m. 61fa0: 23040361 70705f73 74617274 00000002 #..app_start.... 61fb0: a3022308 036d656d 00000005 b102230c ..#..mem......#. 61fc0: 036d6973 63000000 06d00223 20037072 .misc......# .pr 61fd0: 696e7466 00000002 d0022344 03756172 intf......#D.uar 61fe0: 74000000 03830223 4c03676d 61630000 t......#L.gmac.. 61ff0: 002f0402 236c0375 73620000 0010f602 ./..#l.usb...... 62000: 23700363 6c6f636b 0000000c 3f0323e0 #p.clock....?.#. 62010: 01037469 6d657200 000008e8 03238402 ..timer......#.. 62020: 03696e74 72000000 0d8f0323 98020361 .intr......#...a 62030: 6c6c6f63 72616d00 00000aa0 0323c402 llocram......#.. 62040: 03726f6d 70000000 09920323 d0020377 .romp......#...w 62050: 64745f74 696d6572 0000000f 6c0323e0 dt_timer....l.#. 62060: 02036565 70000000 109a0323 fc020373 ..eep......#...s 62070: 7472696e 67000000 07f40323 8c030374 tring......#...t 62080: 61736b6c 65740000 000b9d03 23a40300 asklet......#... 62090: 025f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI 620a0: 47001000 00322303 6765745f 636f6d6d G....2#.get_comm 620b0: 616e645f 62756600 00001435 02230003 and_buf....5.#.. 620c0: 72656376 5f636f6d 6d616e64 00000014 recv_command.... 620d0: 4b022304 03676574 5f657665 6e745f62 K.#..get_event_b 620e0: 75660000 00143502 23080373 656e645f uf....5.#..send_ 620f0: 6576656e 745f646f 6e650000 00144b02 event_done....K. 62100: 230c0006 5553425f 4649464f 5f434f4e #...USB_FIFO_CON 62110: 46494700 000031b0 04000032 23040009 FIG...1....2#... 62120: 01040000 323f0400 02757362 6669666f ....2?...usbfifo 62130: 5f617069 000c0000 3295035f 696e6974 _api....2.._init 62140: 00000032 41022300 035f656e 61626c65 ...2A.#.._enable 62150: 5f657665 6e745f69 73720000 0002a302 _event_isr...... 62160: 23040370 52657365 72766564 00000002 #..pReserved.... 62170: 2f022308 00070000 166f0200 0032a208 /.#......o...2.. 62180: 0100025f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD 62190: 52000800 00331403 456e6470 6f696e74 R....3..Endpoint 621a0: 49440000 00166f02 23000346 6c616773 ID....o.#..Flags 621b0: 00000016 6f022301 03506179 6c6f6164 ....o.#..Payload 621c0: 4c656e00 00001c97 02230203 436f6e74 Len......#..Cont 621d0: 726f6c42 79746573 00000032 95022304 rolBytes...2..#. 621e0: 03486f73 74536571 4e756d00 00001c97 .HostSeqNum..... 621f0: 02230600 12020000 332d034d 65737361 .#......3-.Messa 62200: 67654944 0000001c 97022300 00120800 geID......#..... 62210: 00339003 4d657373 61676549 44000000 .3..MessageID... 62220: 1c970223 00034372 65646974 436f756e ...#..CreditCoun 62230: 74000000 1c970223 02034372 65646974 t......#..Credit 62240: 53697a65 0000001c 97022304 034d6178 Size......#..Max 62250: 456e6470 6f696e74 73000000 166f0223 Endpoints....o.# 62260: 06035f50 61643100 0000166f 02230700 .._Pad1....o.#.. 62270: 120a0000 3427034d 65737361 67654944 ....4'.MessageID 62280: 0000001c 97022300 03536572 76696365 ......#..Service 62290: 49440000 001c9702 23020343 6f6e6e65 ID......#..Conne 622a0: 6374696f 6e466c61 67730000 001c9702 ctionFlags...... 622b0: 23040344 6f776e4c 696e6b50 69706549 #..DownLinkPipeI 622c0: 44000000 166f0223 06035570 4c696e6b D....o.#..UpLink 622d0: 50697065 49440000 00166f02 23070353 PipeID....o.#..S 622e0: 65727669 63654d65 74614c65 6e677468 erviceMetaLength 622f0: 00000016 6f022308 035f5061 64310000 ....o.#.._Pad1.. 62300: 00166f02 23090012 0a000034 af034d65 ..o.#......4..Me 62310: 73736167 65494400 00001c97 02230003 ssageID......#.. 62320: 53657276 69636549 44000000 1c970223 ServiceID......# 62330: 02035374 61747573 00000016 6f022304 ..Status....o.#. 62340: 03456e64 706f696e 74494400 0000166f .EndpointID....o 62350: 02230503 4d61784d 73675369 7a650000 .#..MaxMsgSize.. 62360: 001c9702 23060353 65727669 63654d65 ....#..ServiceMe 62370: 74614c65 6e677468 00000016 6f022308 taLength....o.#. 62380: 035f5061 64310000 00166f02 23090012 ._Pad1....o.#... 62390: 02000034 c8034d65 73736167 65494400 ...4..MessageID. 623a0: 00001c97 02230000 12040000 3504034d .....#......5..M 623b0: 65737361 67654944 0000001c 97022300 essageID......#. 623c0: 03506970 65494400 0000166f 02230203 .PipeID....o.#.. 623d0: 43726564 6974436f 756e7400 0000166f CreditCount....o 623e0: 02230300 12040000 353b034d 65737361 .#......5;.Messa 623f0: 67654944 0000001c 97022300 03506970 geID......#..Pip 62400: 65494400 0000166f 02230203 53746174 eID....o.#..Stat 62410: 75730000 00166f02 23030012 02000035 us....o.#......5 62420: 62035265 636f7264 49440000 00166f02 b.RecordID....o. 62430: 2300034c 656e6774 68000000 166f0223 #..Length....o.# 62440: 01001202 0000358c 03456e64 706f696e ......5..Endpoin 62450: 74494400 0000166f 02230003 43726564 tID....o.#..Cred 62460: 69747300 0000166f 02230100 12040000 its....o.#...... 62470: 35cd0345 6e64706f 696e7449 44000000 5..EndpointID... 62480: 166f0223 00034372 65646974 73000000 .o.#..Credits... 62490: 166f0223 01035467 74437265 64697453 .o.#..TgtCreditS 624a0: 65714e6f 0000001c 97022302 00070000 eqNo......#..... 624b0: 166f0400 0035da08 03001206 00003616 .o...5........6. 624c0: 03507265 56616c69 64000000 166f0223 .PreValid....o.# 624d0: 00034c6f 6f6b4168 65616400 000035cd ..LookAhead...5. 624e0: 02230103 506f7374 56616c69 64000000 .#..PostValid... 624f0: 166f0223 05000670 6f6f6c5f 68616e64 .o.#...pool_hand 62500: 6c655f74 00000002 2f0a0000 36160104 le_t..../...6... 62510: 00003629 04000901 04000036 36040014 ..6).......66... 62520: 04000036 b410504f 4f4c5f49 445f4854 ...6..POOL_ID_HT 62530: 435f434f 4e54524f 4c000010 504f4f4c C_CONTROL...POOL 62540: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ 62550: 5245504c 59000110 504f4f4c 5f49445f REPLY...POOL_ID_ 62560: 574d495f 5356435f 4556454e 54000210 WMI_SVC_EVENT... 62570: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ 62580: 42554600 0310504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA 62590: 58000a00 06425546 5f504f4f 4c5f4944 X....BUF_POOL_ID 625a0: 00000036 3f090104 000036c5 04000a00 ...6?.....6..... 625b0: 00263401 04000036 ce04000a 00002634 .&4....6......&4 625c0: 01040000 36db0400 09010400 0036e804 ....6........6.. 625d0: 00026275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. 625e0: 0000378a 035f696e 69740000 00362f02 ..7.._init...6/. 625f0: 2300035f 73687574 646f776e 00000036 #.._shutdown...6 62600: 38022304 035f6372 65617465 5f706f6f 8.#.._create_poo 62610: 6c000000 36c70223 08035f61 6c6c6f63 l...6..#.._alloc 62620: 5f627566 00000036 d402230c 035f616c _buf...6..#.._al 62630: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... 62640: 36e10223 10035f66 7265655f 62756600 6..#.._free_buf. 62650: 000036ea 02231403 70526573 65727665 ..6..#..pReserve 62660: 64000000 022f0223 1800025f 4854435f d..../.#..._HTC_ 62670: 53455256 49434500 1c000038 6903704e SERVICE....8i.pN 62680: 65787400 00003869 02230003 50726f63 ext...8i.#..Proc 62690: 65737352 6563764d 73670000 00391e02 essRecvMsg...9.. 626a0: 23040350 726f6365 73735365 6e644275 #..ProcessSendBu 626b0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 626c0: 27022308 0350726f 63657373 436f6e6e '.#..ProcessConn 626d0: 65637400 0000393b 02230c03 53657276 ect...9;.#..Serv 626e0: 69636549 44000000 01c80223 10035365 iceID......#..Se 626f0: 72766963 65466c61 67730000 0001c802 rviceFlags...... 62700: 2312034d 61785376 634d7367 53697a65 #..MaxSvcMsgSize 62710: 00000001 c8022314 03547261 696c6572 ......#..Trailer 62720: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... 62730: 01c80223 16035365 72766963 65437478 ...#..ServiceCtx 62740: 00000002 2f022318 00040000 378a0400 ..../.#.....7... 62750: 14040000 39071945 4e44504f 494e545f ....9..ENDPOINT_ 62760: 554e5553 454400ff ffffff10 454e4450 UNUSED......ENDP 62770: 4f494e54 30000010 454e4450 4f494e54 OINT0...ENDPOINT 62780: 31000110 454e4450 4f494e54 32000210 1...ENDPOINT2... 62790: 454e4450 4f494e54 33000310 454e4450 ENDPOINT3...ENDP 627a0: 4f494e54 34000410 454e4450 4f494e54 OINT4...ENDPOINT 627b0: 35000510 454e4450 4f494e54 36000610 5...ENDPOINT6... 627c0: 454e4450 4f494e54 37000710 454e4450 ENDPOINT7...ENDP 627d0: 4f494e54 38000810 454e4450 4f494e54 OINT8...ENDPOINT 627e0: 5f4d4158 00160006 4854435f 454e4450 _MAX....HTC_ENDP 627f0: 4f494e54 5f494400 00003870 09010400 OINT_ID...8p.... 62800: 00391c04 00090104 00003925 04000400 .9........9%.... 62810: 0001ea04 000a0000 019e0104 00003935 ..............95 62820: 04000400 00378a04 00025f48 54435f43 .....7...._HTC_C 62830: 4f4e4649 47001400 0039ba03 43726564 ONFIG....9..Cred 62840: 69745369 7a650000 0001ea02 23000343 itSize......#..C 62850: 72656469 744e756d 62657200 000001ea reditNumber..... 62860: 02230403 4f534861 6e646c65 0000001a .#..OSHandle.... 62870: 33022308 03484946 48616e64 6c650000 3.#..HIFHandle.. 62880: 00289c02 230c0350 6f6f6c48 616e646c .(..#..PoolHandl 62890: 65000000 36160223 1000025f 4854435f e...6..#..._HTC_ 628a0: 4255465f 434f4e54 45585400 02000039 BUF_CONTEXT....9 628b0: f603656e 645f706f 696e7400 0000019e ..end_point..... 628c0: 02230003 6874635f 666c6167 73000000 .#..htc_flags... 628d0: 019e0223 01000668 74635f68 616e646c ...#...htc_handl 628e0: 655f7400 0000022f 06485443 5f534554 e_t..../.HTC_SET 628f0: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. 62900: 0002a306 4854435f 434f4e46 49470000 ....HTC_CONFIG.. 62910: 00394904 00003a23 04000a00 0039f601 .9I...:#.....9.. 62920: 0400003a 3a040009 01040000 3a470400 ...::.......:G.. 62930: 06485443 5f534552 56494345 00000037 .HTC_SERVICE...7 62940: 8a040000 3a500400 09010400 003a6804 ....:P.......:h. 62950: 00090104 00003a71 04000901 0400003a ......:q.......: 62960: 7a04000a 000001ea 01040000 3a830400 z...........:... 62970: 02687463 5f617069 73003400 003c0003 .htc_apis.4..<.. 62980: 5f485443 5f496e69 74000000 3a400223 _HTC_Init...:@.# 62990: 00035f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. 629a0: 00003a49 02230403 5f485443 5f526567 ..:I.#.._HTC_Reg 629b0: 69737465 72536572 76696365 0000003a isterService...: 629c0: 6a022308 035f4854 435f5265 61647900 j.#.._HTC_Ready. 629d0: 00003a49 02230c03 5f485443 5f526574 ..:I.#.._HTC_Ret 629e0: 75726e42 75666665 72730000 003a7302 urnBuffers...:s. 629f0: 2310035f 4854435f 52657475 726e4275 #.._HTC_ReturnBu 62a00: 66666572 734c6973 74000000 3a7c0223 ffersList...:|.# 62a10: 14035f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. 62a20: 003a7302 2318035f 4854435f 47657452 .:s.#.._HTC_GetR 62a30: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. 62a40: 00003a89 02231c03 5f485443 5f4d7367 ..:..#.._HTC_Msg 62a50: 52656376 48616e64 6c657200 00002849 RecvHandler...(I 62a60: 02232003 5f485443 5f53656e 64446f6e .# ._HTC_SendDon 62a70: 6548616e 646c6572 00000028 40022324 eHandler...(@.#$ 62a80: 035f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 62a90: 50726f63 6573734d 73670000 00391e02 ProcessMsg...9.. 62aa0: 2328035f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS 62ab0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom 62ac0: 706c6574 65000000 39270223 2c037052 plete...9'.#,.pR 62ad0: 65736572 76656400 0000022f 02233000 eserved..../.#0. 62ae0: 02686f73 745f6170 705f6172 65615f73 .host_app_area_s 62af0: 00040000 3c300377 6d695f70 726f746f ....<0.wmi_proto 62b00: 636f6c5f 76657200 00001608 02230000 col_ver......#.. 62b10: 120e0000 3c670364 73744d61 63000000 ....c 62cf0: 03487463 48616e64 6c650000 0039f602 .HtcHandle...9.. 62d00: 23000350 6f6f6c48 616e646c 65000000 #..PoolHandle... 62d10: 36160223 04034d61 78436d64 5265706c 6..#..MaxCmdRepl 62d20: 79457674 73000000 01ea0223 08034d61 yEvts......#..Ma 62d30: 78457665 6e744576 74730000 0001ea02 xEventEvts...... 62d40: 230c0009 01040000 3e630400 06574d49 #.......>c...WMI 62d50: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> 62d60: 65025f57 4d495f44 49535041 5443485f e._WMI_DISPATCH_ 62d70: 454e5452 59000800 003ecc03 70436d64 ENTRY....>..pCmd 62d80: 48616e64 6c657200 00003e6c 02230003 Handler...>l.#.. 62d90: 436d6449 44000000 01c80223 0403466c CmdID......#..Fl 62da0: 61677300 000001c8 02230600 025f574d ags......#..._WM 62db0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 62dc0: 00100000 3f2d0370 4e657874 0000003f ....?-.pNext...? 62dd0: 2d022300 0370436f 6e746578 74000000 -.#..pContext... 62de0: 022f0223 04034e75 6d626572 4f66456e ./.#..NumberOfEn 62df0: 74726965 73000000 01ea0223 08037054 tries......#..pT 62e00: 61626c65 0000003f 4c02230c 00040000 able...?L.#..... 62e10: 3ecc0400 06574d49 5f444953 50415443 >....WMI_DISPATC 62e20: 485f454e 54525900 00003e81 0400003f H_ENTRY...>....? 62e30: 34040004 00003ecc 04000648 54435f42 4.....>....HTC_B 62e40: 55465f43 4f4e5445 58540000 0039ba0f UF_CONTEXT...9.. 62e50: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... 62e60: 003fe419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS 62e70: 535f4e4f 4e4500ff ffffff10 574d495f S_NONE......WMI_ 62e80: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV 62e90: 454e5400 0010574d 495f4556 545f434c ENT...WMI_EVT_CL 62ea0: 4153535f 434d445f 5245504c 59000110 ASS_CMD_REPLY... 62eb0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA 62ec0: 58000200 06574d49 5f455654 5f434c41 X....WMI_EVT_CLA 62ed0: 53530000 003f6f02 5f574d49 5f425546 SS...?o._WMI_BUF 62ee0: 5f434f4e 54455854 000c0000 40420348 _CONTEXT....@B.H 62ef0: 74634275 66437478 0000003f 5a022300 tcBufCtx...?Z.#. 62f00: 03457665 6e74436c 61737300 00003fe4 .EventClass...?. 62f10: 02230403 466c6167 73000000 01c80223 .#..Flags......# 62f20: 08000677 6d695f68 616e646c 655f7400 ...wmi_handle_t. 62f30: 0000022f 06574d49 5f535643 5f434f4e .../.WMI_SVC_CON 62f40: 46494700 00003dfa 04000040 5404000a FIG...=....@T... 62f50: 00004042 01040000 406f0400 06574d49 ..@B....@o...WMI 62f60: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 62f70: 00003ecc 04000040 7c040009 01040000 ..>....@|....... 62f80: 409b0400 0a000026 34010400 0040a404 @......&4....@.. 62f90: 00090104 000040b1 04000a00 0001ea01 ......@......... 62fa0: 04000040 ba040009 01040000 40c70400 ...@........@... 62fb0: 0a000001 9e010400 0040d004 00025f77 .........@...._w 62fc0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B 62fd0: 18035f57 4d495f49 6e697400 00004075 .._WMI_Init...@u 62fe0: 02230003 5f574d49 5f526567 69737465 .#.._WMI_Registe 62ff0: 72446973 70617463 68546162 6c650000 rDispatchTable.. 63000: 00409d02 2304035f 574d495f 416c6c6f .@..#.._WMI_Allo 63010: 63457665 6e740000 0040aa02 2308035f cEvent...@..#.._ 63020: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... 63030: 40b30223 0c035f57 4d495f47 65745065 @..#.._WMI_GetPe 63040: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount 63050: 00000040 c0022310 035f574d 495f5365 ...@..#.._WMI_Se 63060: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle 63070: 72000000 39270223 14035f57 4d495f47 r...9'.#.._WMI_G 63080: 6574436f 6e74726f 6c457000 000040c0 etControlEp...@. 63090: 02231803 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow 630a0: 6e000000 40c90223 1c035f57 4d495f52 n...@..#.._WMI_R 630b0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle 630c0: 72000000 391e0223 20035f57 4d495f53 r...9..# ._WMI_S 630d0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... 630e0: 40d60223 24037052 65736572 76656400 @..#$.pReserved. 630f0: 0000022f 02232800 027a7344 6d614465 .../.#(..zsDmaDe 63100: 73630014 0000429a 03637472 6c000000 sc....B..ctrl... 63110: 01b20223 00037374 61747573 00000001 ...#..status.... 63120: b2022302 03746f74 616c4c65 6e000000 ..#..totalLen... 63130: 01b20223 04036461 74615369 7a650000 ...#..dataSize.. 63140: 0001b202 2306036c 61737441 64647200 ....#..lastAddr. 63150: 0000429a 02230803 64617461 41646472 ..B..#..dataAddr 63160: 00000003 1502230c 036e6578 74416464 ......#..nextAdd 63170: 72000000 429a0223 10000400 00421804 r...B..#.....B.. 63180: 00040000 42180400 027a7344 6d615175 ....B....zsDmaQu 63190: 65756500 08000042 da036865 61640000 eue....B..head.. 631a0: 0042a102 23000374 65726d69 6e61746f .B..#..terminato 631b0: 72000000 42a10223 0400027a 73547844 r...B..#...zsTxD 631c0: 6d615175 65756500 10000043 3e036865 maQueue....C>.he 631d0: 61640000 0042a102 23000374 65726d69 ad...B..#..termi 631e0: 6e61746f 72000000 42a10223 0403786d nator...B..#..xm 631f0: 69746564 5f627566 5f686561 64000000 ited_buf_head... 63200: 14280223 0803786d 69746564 5f627566 .(.#..xmited_buf 63210: 5f746169 6c000000 14280223 0c000901 _tail....(.#.... 63220: 04000043 3e040004 000042a8 04000901 ...C>.....B..... 63230: 04000043 4e040004 000042da 04000901 ...CN.....B..... 63240: 04000043 5e040009 01040000 43670400 ...C^.......Cg.. 63250: 09010400 00437004 000a0000 14280104 .....Cp......(.. 63260: 00004379 04000901 04000043 8604000a ..Cy.......C.... 63270: 00001428 01040000 438f0400 09010400 ...(....C....... 63280: 00439c04 000a0000 01ea0104 000043a5 .C............C. 63290: 04000a00 0042a101 04000043 b2040009 .....B.....C.... 632a0: 01040000 43bf0400 02646d61 5f656e67 ....C....dma_eng 632b0: 696e655f 61706900 40000045 35035f69 ine_api.@..E5._i 632c0: 6e697400 00004340 02230003 5f696e69 nit...C@.#.._ini 632d0: 745f7278 5f717565 75650000 00435002 t_rx_queue...CP. 632e0: 2304035f 696e6974 5f74785f 71756575 #.._init_tx_queu 632f0: 65000000 43600223 08035f63 6f6e6669 e...C`.#.._confi 63300: 675f7278 5f717565 75650000 00436902 g_rx_queue...Ci. 63310: 230c035f 786d6974 5f627566 00000043 #.._xmit_buf...C 63320: 72022310 035f666c 7573685f 786d6974 r.#.._flush_xmit 63330: 00000043 50022314 035f7265 61705f72 ...CP.#.._reap_r 63340: 6563765f 62756600 0000437f 02231803 ecv_buf...C..#.. 63350: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf 63360: 00000043 8802231c 035f7265 61705f78 ...C..#.._reap_x 63370: 6d697465 645f6275 66000000 43950223 mited_buf...C..# 63380: 20035f73 7761705f 64617461 00000043 ._swap_data...C 63390: 9e022324 035f6861 735f636f 6d706c5f ..#$._has_compl_ 633a0: 7061636b 65747300 000043ab 02232803 packets...C..#(. 633b0: 5f646573 635f6475 6d700000 00435002 _desc_dump...CP. 633c0: 232c035f 6765745f 7061636b 65740000 #,._get_packet.. 633d0: 0043b802 2330035f 7265636c 61696d5f .C..#0._reclaim_ 633e0: 7061636b 65740000 0043c102 2334035f packet...C..#4._ 633f0: 7075745f 7061636b 65740000 0043c102 put_packet...C.. 63400: 23380370 52657365 72766564 00000002 #8.pReserved.... 63410: 2f02233c 00065f41 5f636d6e 6f735f69 /.#<.._A_cmnos_i 63420: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 63430: 5f740000 00307406 574d495f 5356435f _t...0t.WMI_SVC_ 63440: 41504953 00000040 dd175f41 5f6d6167 APIS...@.._A_mag 63450: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 63460: 7461626c 6500034c 00004663 03636d6e table..L..Fc.cmn 63470: 6f730000 00453502 23000364 62670000 os...E5.#..dbg.. 63480: 00053e03 23b80303 68696600 0000293f ..>.#...hif...)? 63490: 0323c003 03687463 0000003a 900323f8 .#...htc...:..#. 634a0: 0303776d 695f7376 635f6170 69000000 ..wmi_svc_api... 634b0: 45570323 ac040375 73626669 666f5f61 EW.#...usbfifo_a 634c0: 70690000 00324803 23d80403 6275665f pi...2H.#...buf_ 634d0: 706f6f6c 00000036 f10323e4 04037662 pool...6..#...vb 634e0: 75660000 00145203 23800503 76646573 uf....R.#...vdes 634f0: 63000000 02320323 94050361 6c6c6f63 c....2.#...alloc 63500: 72616d00 00000aa0 0323a805 03646d61 ram......#...dma 63510: 5f656e67 696e6500 000043c8 0323b405 _engine...C..#.. 63520: 03646d61 5f6c6962 0000002b d30323f4 .dma_lib...+..#. 63530: 05036869 665f7063 69000000 2e330323 ..hif_pci....3.# 63540: a8060002 56444553 435f434f 4e544558 ....VDESC_CONTEX 63550: 54000800 0046a203 66726565 5f766465 T....F..free_vde 63560: 73635f68 65616400 00000205 02230003 sc_head......#.. 63570: 70526573 65727665 64000000 022f0223 pReserved..../.# 63580: 04001a67 5f766465 73634374 78000000 ...g_vdescCtx... 63590: 46630503 00500b04 01065f41 5f6d6167 Fc...P...._A_mag 635a0: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 635b0: 7461626c 655f7400 00004569 04000002 table_t...Ei.... 635c0: 32040009 01040000 020c0400 1b01225f 2............."_ 635d0: 76646573 635f696e 69740001 01039201 vdesc_init...... 635e0: 20029000 008e4f74 008e4faf 00004732 .....Ot..O...G2 635f0: 1c01226e 44657363 00000001 ea01521d .."nDesc......R. 63600: 76646573 63000000 02051d69 00000001 vdesc......i.... 63610: ea001e01 865f7664 6573635f 616c6c6f ....._vdesc_allo 63620: 635f6465 73630000 00020501 03920120 c_desc......... 63630: 02900000 8e4fb000 8e4fc800 00476f1d .....O...O...Go. 63640: 616c6c6f 63446573 63000000 0205001f allocDesc....... 63650: 01a15f76 64657363 5f676574 5f68775f .._vdesc_get_hw_ 63660: 64657363 00000001 ab010103 92012002 desc.......... . 63670: 9000008e 4fc8008e 4fd00000 47ad1c01 ....O...O...G... 63680: a1646573 63000000 02050152 001b01b1 .desc......R.... 63690: 5f766465 73635f73 7761705f 76646573 _vdesc_swap_vdes 636a0: 63000101 03920120 02900000 8e4fd000 c...... .....O.. 636b0: 8e500d00 0048091c 01b16465 73740000 .P...H....dest.. 636c0: 00020501 521c01b1 73726300 00000205 ....R...src..... 636d0: 01531d74 6d700000 000a851d 746d7041 .S.tmp......tmpA 636e0: 64647200 000001ab 002001cd 76646573 ddr...... ..vdes 636f0: 635f6d6f 64756c65 5f696e73 74616c6c c_module_install 63700: 00010103 92012002 9000008e 5010008e ...... .....P... 63710: 50291c01 cd617069 73000000 46dc0152 P)...apis...F..R 63720: 00000000 00589c00 02000023 ff04012f .....X.....#.../ 63730: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 63740: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 63750: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 63760: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 63770: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 63780: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc 63790: 2f737263 2f687463 2e63002f 726f6f74 /src/htc.c./root 637a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 637b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 637c0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 637d0: 63007874 2d786363 20666f72 20372e31 c.xt-xcc for 7.1 637e0: 2e30202d 4f50543a 616c6967 6e5f696e .0 -OPT:align_in 637f0: 73747275 6374696f 6e733d33 32202d4f structions=32 -O 63800: 32202d67 33202d4f 50543a73 70616365 2 -g3 -OPT:space 63810: 00010000 01611802 01030000 00f40400 .....a.......... 63820: 04696e74 00050404 63686172 00070105 .int....char.... 63830: 00000104 05000001 04030000 01110400 ................ 63840: 06000000 fd010300 00011d04 00077072 ..............pr 63850: 696e7466 5f617069 00080000 0161085f intf_api.....a._ 63860: 7072696e 74665f69 6e697400 000000f6 printf_init..... 63870: 02230008 5f707269 6e746600 00000123 .#.._printf....# 63880: 02230400 0473686f 72742075 6e736967 .#...short unsig 63890: 6e656420 696e7400 07020975 696e7431 ned int....uint1 638a0: 365f7400 00000161 046c6f6e 6720756e 6_t....a.long un 638b0: 7369676e 65642069 6e740007 04097569 signed int....ui 638c0: 6e743332 5f740000 00018507 75617274 nt32_t......uart 638d0: 5f666966 6f000800 0001f308 73746172 _fifo.......star 638e0: 745f696e 64657800 00000177 02230008 t_index....w.#.. 638f0: 656e645f 696e6465 78000000 01770223 end_index....w.# 63900: 02086f76 65727275 6e5f6572 72000000 ..overrun_err... 63910: 019a0223 04000775 6172745f 61706900 ...#...uart_api. 63920: 20000002 ac085f75 6172745f 696e6974 ....._uart_init 63930: 00000003 03022300 085f7561 72745f63 ......#.._uart_c 63940: 6861725f 70757400 0000032a 02230408 har_put....*.#.. 63950: 5f756172 745f6368 61725f67 65740000 _uart_char_get.. 63960: 00033e02 2308085f 75617274 5f737472 ..>.#.._uart_str 63970: 5f6f7574 00000003 4702230c 085f7561 _out....G.#.._ua 63980: 72745f74 61736b00 000000f6 02231008 rt_task......#.. 63990: 5f756172 745f7374 61747573 00000003 _uart_status.... 639a0: 03022314 085f7561 72745f63 6f6e6669 ..#.._uart_confi 639b0: 67000000 03500223 18085f75 6172745f g....P.#.._uart_ 639c0: 6877696e 69740000 00035902 231c0003 hwinit....Y.#... 639d0: 000001f3 04000775 6172745f 626c6b00 .......uart_blk. 639e0: 10000002 fd086465 6275675f 6d6f6465 ......debug_mode 639f0: 00000001 77022300 08626175 64000000 ....w.#..baud... 63a00: 01770223 02085f75 61727400 000002ac .w.#.._uart..... 63a10: 02230408 5f747800 000001a8 02230800 .#.._tx......#.. 63a20: 06000001 9a010300 0002fd04 0004756e ..............un 63a30: 7369676e 65642063 68617200 07010975 signed char....u 63a40: 696e7438 5f740000 00030a02 01030000 int8_t.......... 63a50: 03280400 03000003 1b040006 00000177 .(.............w 63a60: 01030000 03380400 02010300 00034504 .....8........E. 63a70: 00020103 0000034e 04000201 03000003 .......N........ 63a80: 57040003 00000104 04000600 0000fd01 W............... 63a90: 03000003 67040007 44425f43 4f4d4d41 ....g...DB_COMMA 63aa0: 4e445f53 54525543 54000c00 0003bf08 ND_STRUCT....... 63ab0: 636d645f 73747200 00000360 02230008 cmd_str....`.#.. 63ac0: 68656c70 5f737472 00000003 60022304 help_str....`.#. 63ad0: 08636d64 5f66756e 63000000 036d0223 .cmd_func....m.# 63ae0: 08000764 62675f61 70690008 000003f2 ...dbg_api...... 63af0: 085f6462 675f696e 69740000 0000f602 ._dbg_init...... 63b00: 2300085f 6462675f 7461736b 00000000 #.._dbg_task.... 63b10: f6022304 000a0400 04756e73 69676e65 ..#......unsigne 63b20: 6420696e 74000704 06000003 f2010300 d int........... 63b30: 00040504 000b0b03 00000413 04000600 ................ 63b40: 0003f201 03000004 1b040006 000000fd ................ 63b50: 01030000 04280400 076d656d 5f617069 .....(...mem_api 63b60: 00140000 0497085f 6d656d5f 696e6974 ......._mem_init 63b70: 00000000 f6022300 085f6d65 6d736574 ......#.._memset 63b80: 00000004 0b022304 085f6d65 6d637079 ......#.._memcpy 63b90: 00000004 21022308 085f6d65 6d6d6f76 ....!.#.._memmov 63ba0: 65000000 04210223 0c085f6d 656d636d e....!.#.._memcm 63bb0: 70000000 042e0223 10000c72 65676973 p......#...regis 63bc0: 7465725f 64756d70 5f730000 01030000 ter_dump_s...... 63bd0: 04970400 02010300 0004b104 00020103 ................ 63be0: 000004ba 04000600 0000fd01 03000004 ................ 63bf0: c304000d 686f7374 69665f73 00040000 ....hostif_s.... 63c00: 051f0e48 49465f55 53420000 0e484946 ...HIF_USB...HIF 63c10: 5f504349 4500010e 4849465f 474d4143 _PCIE...HIF_GMAC 63c20: 00020e48 49465f50 43490003 0e484946 ...HIF_PCI...HIF 63c30: 5f4e554d 00040e48 49465f4e 4f4e4500 _NUM...HIF_NONE. 63c40: 05000941 5f484f53 54494600 000004d0 ...A_HOSTIF..... 63c50: 06000005 1f010300 00052d04 00060000 ..........-..... 63c60: 031b0103 0000053a 04000600 00017701 .......:......w. 63c70: 03000005 47040007 6d697363 5f617069 ....G...misc_api 63c80: 00240000 0637085f 73797374 656d5f72 .$...7._system_r 63c90: 65736574 00000000 f6022300 085f6d61 eset......#.._ma 63ca0: 635f7265 73657400 000000f6 02230408 c_reset......#.. 63cb0: 5f617373 6661696c 00000004 b3022308 _assfail......#. 63cc0: 085f6d69 73616c69 676e6564 5f6c6f61 ._misaligned_loa 63cd0: 645f6861 6e646c65 72000000 04b30223 d_handler......# 63ce0: 0c085f72 65706f72 745f6661 696c7572 .._report_failur 63cf0: 655f746f 5f686f73 74000000 04bc0223 e_to_host......# 63d00: 10085f74 61726765 745f6964 5f676574 .._target_id_get 63d10: 00000004 c9022314 085f6973 5f686f73 ......#.._is_hos 63d20: 745f7072 6573656e 74000000 05330223 t_present....3.# 63d30: 18085f6b 62686974 00000005 4002231c .._kbhit....@.#. 63d40: 085f726f 6d5f7665 7273696f 6e5f6765 ._rom_version_ge 63d50: 74000000 054d0223 20000600 00036001 t....M.# .....`. 63d60: 03000006 37040006 00000360 01030000 ....7......`.... 63d70: 06440400 06000000 fd010300 00065104 .D............Q. 63d80: 00060000 00fd0103 0000065e 04000600 ...........^.... 63d90: 0000fd01 03000006 6b040007 73747269 ........k...stri 63da0: 6e675f61 70690018 000006f1 085f7374 ng_api......._st 63db0: 72696e67 5f696e69 74000000 00f60223 ring_init......# 63dc0: 00085f73 74726370 79000000 063d0223 .._strcpy....=.# 63dd0: 04085f73 74726e63 70790000 00064a02 .._strncpy....J. 63de0: 2308085f 7374726c 656e0000 00065702 #.._strlen....W. 63df0: 230c085f 73747263 6d700000 00066402 #.._strcmp....d. 63e00: 2310085f 7374726e 636d7000 00000671 #.._strncmp....q 63e10: 02231400 0f000003 f5140000 06fe1004 .#.............. 63e20: 00095f41 5f54494d 45525f53 50414345 .._A_TIMER_SPACE 63e30: 00000006 f109415f 74696d65 725f7400 ......A_timer_t. 63e40: 000006fe 03000007 12040002 01030000 ................ 63e50: 07280400 02010300 00073104 0009415f .(........1...A_ 63e60: 48414e44 4c450000 0003f502 0109415f HANDLE........A_ 63e70: 54494d45 525f4655 4e430000 00074803 TIMER_FUNC....H. 63e80: 0000074a 04000201 03000007 63040007 ...J........c... 63e90: 74696d65 725f6170 69001400 0007e208 timer_api....... 63ea0: 5f74696d 65725f69 6e697400 000000f6 _timer_init..... 63eb0: 02230008 5f74696d 65725f61 726d0000 .#.._timer_arm.. 63ec0: 00072a02 2304085f 74696d65 725f6469 ..*.#.._timer_di 63ed0: 7361726d 00000007 33022308 085f7469 sarm....3.#.._ti 63ee0: 6d65725f 73657466 6e000000 07650223 mer_setfn....e.# 63ef0: 0c085f74 696d6572 5f72756e 00000000 .._timer_run.... 63f00: f6022310 0009424f 4f4c4541 4e000000 ..#...BOOLEAN... 63f10: 01770600 0007e201 03000007 ef040006 .w.............. 63f20: 000007e2 01030000 07fc0400 06000007 ................ 63f30: e2010300 00080904 0007726f 6d705f61 ..........romp_a 63f40: 70690010 0000087b 085f726f 6d705f69 pi.....{._romp_i 63f50: 6e697400 000000f6 02230008 5f726f6d nit......#.._rom 63f60: 705f646f 776e6c6f 61640000 0007f502 p_download...... 63f70: 2304085f 726f6d70 5f696e73 74616c6c #.._romp_install 63f80: 00000008 02022308 085f726f 6d705f64 ......#.._romp_d 63f90: 65636f64 65000000 080f0223 0c000772 ecode......#...r 63fa0: 6f6d5f70 61746368 5f737400 10000008 om_patch_st..... 63fb0: d7086372 63313600 00000177 02230008 ..crc16....w.#.. 63fc0: 6c656e00 00000177 02230208 6c645f61 len....w.#..ld_a 63fd0: 64647200 0000019a 02230408 66756e5f ddr......#..fun_ 63fe0: 61646472 00000001 9a022308 08706675 addr......#..pfu 63ff0: 6e000000 03310223 0c000765 65705f72 n....1.#...eep_r 64000: 65646972 5f616464 72000400 00090908 edir_addr....... 64010: 6f666673 65740000 00017702 23000873 offset....w.#..s 64020: 697a6500 00000177 02230200 09415f55 ize....w.#...A_U 64030: 494e5433 32000000 03f50600 0003f201 INT32........... 64040: 03000009 17040007 616c6c6f 6372616d ........allocram 64050: 5f617069 000c0000 09880863 6d6e6f73 _api.......cmnos 64060: 5f616c6c 6f637261 6d5f696e 69740000 _allocram_init.. 64070: 00091d02 23000863 6d6e6f73 5f616c6c ....#..cmnos_all 64080: 6f637261 6d000000 091d0223 0408636d ocram......#..cm 64090: 6e6f735f 616c6c6f 6372616d 5f646562 nos_allocram_deb 640a0: 75670000 0000f602 23080002 01030000 ug......#....... 640b0: 09880400 09415f54 41534b4c 45545f46 .....A_TASKLET_F 640c0: 554e4300 0000098a 075f7461 736b6c65 UNC......_taskle 640d0: 74001000 0009e908 66756e63 00000009 t.......func.... 640e0: 91022300 08617267 00000003 f2022304 ..#..arg......#. 640f0: 08737461 74650000 0000fd02 2308086e .state......#..n 64100: 65787400 000009e9 02230c00 03000009 ext......#...... 64110: a5040003 000009a5 04000941 5f746173 ...........A_tas 64120: 6b6c6574 5f740000 0009a503 000009f7 klet_t.......... 64130: 04000201 0300000a 0f040002 01030000 ................ 64140: 0a180400 07746173 6b6c6574 5f617069 .....tasklet_api 64150: 00140000 0aad085f 7461736b 6c65745f ......._tasklet_ 64160: 696e6974 00000000 f6022300 085f7461 init......#.._ta 64170: 736b6c65 745f696e 69745f74 61736b00 sklet_init_task. 64180: 00000a11 02230408 5f746173 6b6c6574 .....#.._tasklet 64190: 5f646973 61626c65 0000000a 1a022308 _disable......#. 641a0: 085f7461 736b6c65 745f7363 68656475 ._tasklet_schedu 641b0: 6c650000 000a1a02 230c085f 7461736b le......#.._task 641c0: 6c65745f 72756e00 000000f6 02231000 let_run......#.. 641d0: 02010300 000aad04 00060000 09090103 ................ 641e0: 00000ab6 04000201 0300000a c3040007 ................ 641f0: 636c6f63 6b5f6170 69002400 000ba508 clock_api.$..... 64200: 5f636c6f 636b5f69 6e697400 00000aaf _clock_init..... 64210: 02230008 5f636c6f 636b7265 67735f69 .#.._clockregs_i 64220: 6e697400 000000f6 02230408 5f756172 nit......#.._uar 64230: 745f6672 65717565 6e637900 00000abc t_frequency..... 64240: 02230808 5f64656c 61795f75 73000000 .#.._delay_us... 64250: 0ac50223 0c085f77 6c616e5f 62616e64 ...#.._wlan_band 64260: 5f736574 0000000a c5022310 085f7265 _set......#.._re 64270: 66636c6b 5f737065 65645f67 65740000 fclk_speed_get.. 64280: 000abc02 2314085f 6d696c6c 69736563 ....#.._millisec 64290: 6f6e6473 0000000a bc022318 085f7379 onds......#.._sy 642a0: 73636c6b 5f636861 6e676500 000000f6 sclk_change..... 642b0: 02231c08 5f636c6f 636b5f74 69636b00 .#.._clock_tick. 642c0: 000000f6 02232000 06000001 9a010300 .....# ......... 642d0: 000ba504 0009415f 6f6c645f 696e7472 ......A_old_intr 642e0: 5f740000 00019a06 00000bb2 01030000 _t.............. 642f0: 0bc40400 02010300 000bd104 00020103 ................ 64300: 00000bda 04000600 00019a01 0300000b ................ 64310: e3040009 415f6973 725f7400 00000be9 ....A_isr_t..... 64320: 02010300 000bfd04 00060000 03f50103 ................ 64330: 00000c06 04000201 0300000c 13040007 ................ 64340: 696e7472 5f617069 002c0000 0d35085f intr_api.,...5._ 64350: 696e7472 5f696e69 74000000 00f60223 intr_init......# 64360: 00085f69 6e74725f 696e766f 6b655f69 .._intr_invoke_i 64370: 73720000 000bab02 2304085f 696e7472 sr......#.._intr 64380: 5f646973 61626c65 0000000b ca022308 _disable......#. 64390: 085f696e 74725f72 6573746f 72650000 ._intr_restore.. 643a0: 000bd302 230c085f 696e7472 5f6d6173 ....#.._intr_mas 643b0: 6b5f696e 756d0000 000bdc02 2310085f k_inum......#.._ 643c0: 696e7472 5f756e6d 61736b5f 696e756d intr_unmask_inum 643d0: 0000000b dc022314 085f696e 74725f61 ......#.._intr_a 643e0: 74746163 685f6973 72000000 0bff0223 ttach_isr......# 643f0: 18085f67 65745f69 6e747265 6e61626c .._get_intrenabl 64400: 65000000 0c0c0223 1c085f73 65745f69 e......#.._set_i 64410: 6e747265 6e61626c 65000000 0c150223 ntrenable......# 64420: 20085f67 65745f69 6e747270 656e6469 ._get_intrpendi 64430: 6e670000 000c0c02 2324085f 756e626c ng......#$._unbl 64440: 6f636b5f 616c6c5f 696e7472 6c766c00 ock_all_intrlvl. 64450: 000000f6 02232800 11040000 0d5b0874 .....#(......[.t 64460: 696d656f 75740000 00019a02 23000861 imeout......#..a 64470: 6374696f 6e000000 019a0223 00001208 ction......#.... 64480: 00000d76 08636d64 00000001 9a022300 ...v.cmd......#. 64490: 1300000d 35022304 0009545f 5744545f ....5.#...T_WDT_ 644a0: 434d4400 00000d5b 02010300 000d8504 CMD....[........ 644b0: 00140400 000ddb0e 454e554d 5f574454 ........ENUM_WDT 644c0: 5f424f4f 5400010e 454e554d 5f434f4c _BOOT...ENUM_COL 644d0: 445f424f 4f540002 0e454e55 4d5f5355 D_BOOT...ENUM_SU 644e0: 53505f42 4f4f5400 030e454e 554d5f55 SP_BOOT...ENUM_U 644f0: 4e4b4e4f 574e5f42 4f4f5400 04000954 NKNOWN_BOOT....T 64500: 5f424f4f 545f5459 50450000 000d8e06 _BOOT_TYPE...... 64510: 00000ddb 01030000 0dec0400 07776474 .............wdt 64520: 5f617069 001c0000 0e90085f 7764745f _api......._wdt_ 64530: 696e6974 00000000 f6022300 085f7764 init......#.._wd 64540: 745f656e 61626c65 00000000 f6022304 t_enable......#. 64550: 085f7764 745f6469 7361626c 65000000 ._wdt_disable... 64560: 00f60223 08085f77 64745f73 65740000 ...#.._wdt_set.. 64570: 000d8702 230c085f 7764745f 7461736b ....#.._wdt_task 64580: 00000000 f6022310 085f7764 745f7265 ......#.._wdt_re 64590: 73657400 000000f6 02231408 5f776474 set......#.._wdt 645a0: 5f6c6173 745f626f 6f740000 000df202 _last_boot...... 645b0: 23180014 0400000e f70e5245 545f5355 #.........RET_SU 645c0: 43434553 5300000e 5245545f 4e4f545f CCESS...RET_NOT_ 645d0: 494e4954 00010e52 45545f4e 4f545f45 INIT...RET_NOT_E 645e0: 58495354 00020e52 45545f45 45505f43 XIST...RET_EEP_C 645f0: 4f525255 50540003 0e524554 5f454550 ORRUPT...RET_EEP 64600: 5f4f5645 52464c4f 5700040e 5245545f _OVERFLOW...RET_ 64610: 554e4b4e 4f574e00 05000954 5f454550 UNKNOWN....T_EEP 64620: 5f524554 0000000e 90030000 01770400 _RET.........w.. 64630: 0600000e f7010300 000f0d04 00060000 ................ 64640: 0ef70103 00000f1a 04000765 65705f61 ...........eep_a 64650: 70690010 00000f83 085f6565 705f696e pi......._eep_in 64660: 69740000 0000f602 2300085f 6565705f it......#.._eep_ 64670: 72656164 0000000f 13022304 085f6565 read......#.._ee 64680: 705f7772 69746500 00000f13 02230808 p_write......#.. 64690: 5f656570 5f69735f 65786973 74000000 _eep_is_exist... 646a0: 0f200223 0c000775 73625f61 70690070 . .#...usb_api.p 646b0: 00001230 085f7573 625f696e 69740000 ...0._usb_init.. 646c0: 0000f602 2300085f 7573625f 726f6d5f ....#.._usb_rom_ 646d0: 7461736b 00000000 f6022304 085f7573 task......#.._us 646e0: 625f6677 5f746173 6b000000 00f60223 b_fw_task......# 646f0: 08085f75 73625f69 6e69745f 70687900 .._usb_init_phy. 64700: 000000f6 02230c08 5f757362 5f657030 .....#.._usb_ep0 64710: 5f736574 75700000 0000f602 2310085f _setup......#.._ 64720: 7573625f 6570305f 74780000 0000f602 usb_ep0_tx...... 64730: 2314085f 7573625f 6570305f 72780000 #.._usb_ep0_rx.. 64740: 0000f602 2318085f 7573625f 6765745f ....#.._usb_get_ 64750: 696e7465 72666163 65000000 08020223 interface......# 64760: 1c085f75 73625f73 65745f69 6e746572 .._usb_set_inter 64770: 66616365 00000008 02022320 085f7573 face......# ._us 64780: 625f6765 745f636f 6e666967 75726174 b_get_configurat 64790: 696f6e00 00000802 02232408 5f757362 ion......#$._usb 647a0: 5f736574 5f636f6e 66696775 72617469 _set_configurati 647b0: 6f6e0000 00080202 2328085f 7573625f on......#(._usb_ 647c0: 7374616e 64617264 5f636d64 00000008 standard_cmd.... 647d0: 0202232c 085f7573 625f7665 6e646f72 ..#,._usb_vendor 647e0: 5f636d64 00000000 f6022330 085f7573 _cmd......#0._us 647f0: 625f706f 7765725f 6f666600 000000f6 b_power_off..... 64800: 02233408 5f757362 5f726573 65745f66 .#4._usb_reset_f 64810: 69666f00 000000f6 02233808 5f757362 ifo......#8._usb 64820: 5f67656e 5f776474 00000000 f602233c _gen_wdt......#< 64830: 085f7573 625f6a75 6d705f62 6f6f7400 ._usb_jump_boot. 64840: 000000f6 02234008 5f757362 5f636c72 .....#@._usb_clr 64850: 5f666561 74757265 00000008 02022344 _feature......#D 64860: 085f7573 625f7365 745f6665 61747572 ._usb_set_featur 64870: 65000000 08020223 48085f75 73625f73 e......#H._usb_s 64880: 65745f61 64647265 73730000 00080202 et_address...... 64890: 234c085f 7573625f 6765745f 64657363 #L._usb_get_desc 648a0: 72697074 6f720000 00080202 2350085f riptor......#P._ 648b0: 7573625f 6765745f 73746174 75730000 usb_get_status.. 648c0: 00080202 2354085f 7573625f 73657475 ....#T._usb_setu 648d0: 705f6465 73630000 0000f602 2358085f p_desc......#X._ 648e0: 7573625f 7265675f 6f757400 000000f6 usb_reg_out..... 648f0: 02235c08 5f757362 5f737461 7475735f .#\._usb_status_ 64900: 696e0000 0000f602 2360085f 7573625f in......#`._usb_ 64910: 6570305f 74785f64 61746100 000000f6 ep0_tx_data..... 64920: 02236408 5f757362 5f657030 5f72785f .#d._usb_ep0_rx_ 64930: 64617461 00000000 f6022368 085f7573 data......#h._us 64940: 625f636c 6b5f696e 69740000 0000f602 b_clk_init...... 64950: 236c0007 5f564445 53430024 000012bc #l.._VDESC.$.... 64960: 086e6578 745f6465 73630000 0012bc02 .next_desc...... 64970: 23000862 75665f61 64647200 000012d0 #..buf_addr..... 64980: 02230408 6275665f 73697a65 00000012 .#..buf_size.... 64990: d7022308 08646174 615f6f66 66736574 ..#..data_offset 649a0: 00000012 d702230a 08646174 615f7369 ......#..data_si 649b0: 7a650000 0012d702 230c0863 6f6e7472 ze......#..contr 649c0: 6f6c0000 0012d702 230e0868 775f6465 ol......#..hw_de 649d0: 73635f62 75660000 0012e502 23100003 sc_buf......#... 649e0: 00001230 04000941 5f55494e 54380000 ...0...A_UINT8.. 649f0: 00030a03 000012c3 04000941 5f55494e ...........A_UIN 64a00: 54313600 00000161 0f000012 c3140000 T16....a........ 64a10: 12f21013 00030000 12300400 09564445 .........0...VDE 64a20: 53430000 00123003 000012f9 04000600 SC....0......... 64a30: 00130401 03000013 0b040006 000012d0 ................ 64a40: 01030000 13180400 02010300 00132504 ..............%. 64a50: 00077664 6573635f 61706900 14000013 ..vdesc_api..... 64a60: 9d085f69 6e697400 00000ac5 02230008 .._init......#.. 64a70: 5f616c6c 6f635f76 64657363 00000013 _alloc_vdesc.... 64a80: 11022304 085f6765 745f6877 5f646573 ..#.._get_hw_des 64a90: 63000000 131e0223 08085f73 7761705f c......#.._swap_ 64aa0: 76646573 63000000 13270223 0c087052 vdesc....'.#..pR 64ab0: 65736572 76656400 000003f2 02231000 eserved......#.. 64ac0: 075f5642 55460020 000013fd 08646573 ._VBUF. .....des 64ad0: 635f6c69 73740000 00130402 2300086e c_list......#..n 64ae0: 6578745f 62756600 000013fd 02230408 ext_buf......#.. 64af0: 6275665f 6c656e67 74680000 0012d702 buf_length...... 64b00: 23080872 65736572 76656400 00001404 #..reserved..... 64b10: 02230a08 63747800 000012e5 02230c00 .#..ctx......#.. 64b20: 03000013 9d04000f 000012c3 02000014 ................ 64b30: 11100100 03000013 9d040009 56425546 ............VBUF 64b40: 00000013 9d030000 14180400 06000014 ................ 64b50: 22010300 00142904 00060000 14220103 ".....)......".. 64b60: 00001436 04000201 03000014 43040007 ...6........C... 64b70: 76627566 5f617069 00140000 14c1085f vbuf_api......._ 64b80: 696e6974 0000000a c5022300 085f616c init......#.._al 64b90: 6c6f635f 76627566 00000014 2f022304 loc_vbuf..../.#. 64ba0: 085f616c 6c6f635f 76627566 5f776974 ._alloc_vbuf_wit 64bb0: 685f7369 7a650000 00143c02 2308085f h_size....<.#.._ 64bc0: 66726565 5f766275 66000000 14450223 free_vbuf....E.# 64bd0: 0c087052 65736572 76656400 000003f2 ..pReserved..... 64be0: 02231000 075f5f61 64665f64 65766963 .#...__adf_devic 64bf0: 65000400 0014e308 64756d6d 79000000 e.......dummy... 64c00: 00fd0223 00000300 00090904 00075f5f ...#..........__ 64c10: 6164665f 646d615f 6d617000 0c000015 adf_dma_map..... 64c20: 2a086275 66000000 14220223 00086473 *.buf....".#..ds 64c30: 5f616464 72000000 14e30223 04086473 _addr......#..ds 64c40: 5f6c656e 00000012 d7022308 00120c00 _len......#..... 64c50: 00156408 5f5f7661 5f73746b 00000003 ..d.__va_stk.... 64c60: 60022300 085f5f76 615f7265 67000000 `.#..__va_reg... 64c70: 03600223 04085f5f 76615f6e 64780000 .`.#..__va_ndx.. 64c80: 0000fd02 23080009 5f5f6164 665f6f73 ....#...__adf_os 64c90: 5f646d61 5f616464 725f7400 00000909 _dma_addr_t..... 64ca0: 09616466 5f6f735f 646d615f 61646472 .adf_os_dma_addr 64cb0: 5f740000 00156409 5f5f6164 665f6f73 _t....d.__adf_os 64cc0: 5f646d61 5f73697a 655f7400 00000909 _dma_size_t..... 64cd0: 09616466 5f6f735f 646d615f 73697a65 .adf_os_dma_size 64ce0: 5f740000 00159407 5f5f646d 615f7365 _t......__dma_se 64cf0: 67730008 000015f0 08706164 64720000 gs.......paddr.. 64d00: 00157d02 2300086c 656e0000 0015ad02 ..}.#..len...... 64d10: 23040009 5f5f615f 75696e74 33325f74 #...__a_uint32_t 64d20: 00000009 0909615f 75696e74 33325f74 ......a_uint32_t 64d30: 00000015 f00f0000 15c40800 00161f10 ................ 64d40: 00000761 64665f6f 735f646d 616d6170 ...adf_os_dmamap 64d50: 5f696e66 6f000c00 00165808 6e736567 _info.....X.nseg 64d60: 73000000 16020223 0008646d 615f7365 s......#..dma_se 64d70: 67730000 00161202 23040009 5f5f615f gs......#...__a_ 64d80: 75696e74 385f7400 000012c3 09615f75 uint8_t......a_u 64d90: 696e7438 5f740000 00165803 00001669 int8_t....X....i 64da0: 0400075f 5f73675f 73656773 00080000 ...__sg_segs.... 64db0: 16aa0876 61646472 00000016 78022300 ...vaddr....x.#. 64dc0: 086c656e 00000016 02022304 000f0000 .len......#..... 64dd0: 167f2000 0016b710 03000761 64665f6f .. ........adf_o 64de0: 735f7367 6c697374 00240000 16ea086e s_sglist.$.....n 64df0: 73656773 00000016 02022300 0873675f segs......#..sg_ 64e00: 73656773 00000016 aa022304 00121000 segs......#..... 64e10: 00173308 76656e64 6f720000 00160202 ..3.vendor...... 64e20: 23000864 65766963 65000000 16020223 #..device......# 64e30: 04087375 6276656e 646f7200 00001602 ..subvendor..... 64e40: 02230808 73756264 65766963 65000000 .#..subdevice... 64e50: 16020223 0c00046c 6f6e6720 6c6f6e67 ...#...long long 64e60: 20756e73 69676e65 6420696e 74000708 unsigned int... 64e70: 09415f55 494e5436 34000000 1733095f .A_UINT64....3._ 64e80: 5f615f75 696e7436 345f7400 0000174d _a_uint64_t....M 64e90: 09615f75 696e7436 345f7400 0000175b .a_uint64_t....[ 64ea0: 14040000 17b90e41 44465f4f 535f5245 .......ADF_OS_RE 64eb0: 534f5552 43455f54 5950455f 4d454d00 SOURCE_TYPE_MEM. 64ec0: 000e4144 465f4f53 5f524553 4f555243 ..ADF_OS_RESOURC 64ed0: 455f5459 50455f49 4f000100 09616466 E_TYPE_IO....adf 64ee0: 5f6f735f 7265736f 75726365 5f747970 _os_resource_typ 64ef0: 655f7400 0000177d 12180000 18030873 e_t....}.......s 64f00: 74617274 00000017 6d022300 08656e64 tart....m.#..end 64f10: 00000017 6d022308 08747970 65000000 ....m.#..type... 64f20: 17b90223 10000961 64665f6f 735f7063 ...#...adf_os_pc 64f30: 695f6465 765f6964 5f740000 0016ea03 i_dev_id_t...... 64f40: 00001803 04001104 00001842 08706369 ...........B.pci 64f50: 00000018 1c022300 08726177 00000003 ......#..raw.... 64f60: f2022300 00111000 00186108 70636900 ..#.......a.pci. 64f70: 00001803 02230008 72617700 000003f2 .....#..raw..... 64f80: 02230000 09616466 5f647276 5f68616e .#...adf_drv_han 64f90: 646c655f 74000000 03f20961 64665f6f dle_t......adf_o 64fa0: 735f7265 736f7572 63655f74 00000017 s_resource_t.... 64fb0: d5030000 18770400 09616466 5f6f735f .....w...adf_os_ 64fc0: 61747461 63685f64 6174615f 74000000 attach_data_t... 64fd0: 18420300 00189504 00030000 14c10400 .B.............. 64fe0: 095f5f61 64665f6f 735f6465 76696365 .__adf_os_device 64ff0: 5f740000 0018b609 6164665f 6f735f64 _t......adf_os_d 65000: 65766963 655f7400 000018bd 06000018 evice_t......... 65010: 61010300 0018e904 00020103 000018f6 a............... 65020: 04000961 64665f6f 735f706d 5f740000 ...adf_os_pm_t.. 65030: 0003f202 01030000 19100400 14040000 ................ 65040: 19500e41 44465f4f 535f4255 535f5459 .P.ADF_OS_BUS_TY 65050: 50455f50 43490001 0e414446 5f4f535f PE_PCI...ADF_OS_ 65060: 4255535f 54595045 5f47454e 45524943 BUS_TYPE_GENERIC 65070: 00020009 6164665f 6f735f62 75735f74 ....adf_os_bus_t 65080: 7970655f 74000000 19190961 64665f6f ype_t......adf_o 65090: 735f6275 735f7265 675f6461 74615f74 s_bus_reg_data_t 650a0: 00000018 23030000 030a0400 075f6164 ....#........_ad 650b0: 665f6472 765f696e 666f0020 00001a2d f_drv_info. ...- 650c0: 08647276 5f617474 61636800 000018ef .drv_attach..... 650d0: 02230008 6472765f 64657461 63680000 .#..drv_detach.. 650e0: 0018f802 23040864 72765f73 75737065 ....#..drv_suspe 650f0: 6e640000 00191202 23080864 72765f72 nd......#..drv_r 65100: 6573756d 65000000 18f80223 0c086275 esume......#..bu 65110: 735f7479 70650000 00195002 23100862 s_type....P.#..b 65120: 75735f64 61746100 00001967 02231408 us_data....g.#.. 65130: 6d6f645f 6e616d65 00000019 82022318 mod_name......#. 65140: 0869666e 616d6500 00001982 02231c00 .ifname......#.. 65150: 09616466 5f6f735f 68616e64 6c655f74 .adf_os_handle_t 65160: 00000003 f2030000 16580400 02010201 .........X...... 65170: 095f5f61 64665f6f 735f7369 7a655f74 .__adf_os_size_t 65180: 00000003 f5140400 001a7c0e 415f4641 ..........|.A_FA 65190: 4c534500 000e415f 54525545 00010009 LSE...A_TRUE.... 651a0: 615f626f 6f6c5f74 0000001a 62030000 a_bool_t....b... 651b0: 14ea0400 095f5f61 64665f6f 735f646d .....__adf_os_dm 651c0: 615f6d61 705f7400 00001a8a 02010d61 a_map_t........a 651d0: 64665f6f 735f6361 6368655f 73796e63 df_os_cache_sync 651e0: 00040000 1b140e41 44465f53 594e435f .......ADF_SYNC_ 651f0: 50524552 45414400 000e4144 465f5359 PREREAD...ADF_SY 65200: 4e435f50 52455752 49544500 020e4144 NC_PREWRITE...AD 65210: 465f5359 4e435f50 4f535452 45414400 F_SYNC_POSTREAD. 65220: 010e4144 465f5359 4e435f50 4f535457 ..ADF_SYNC_POSTW 65230: 52495445 00030009 6164665f 6f735f63 RITE....adf_os_c 65240: 61636865 5f73796e 635f7400 00001aab ache_sync_t..... 65250: 02010961 64665f6f 735f7369 7a655f74 ...adf_os_size_t 65260: 0000001a 4d060000 1b2f0109 6164665f ....M..../..adf_ 65270: 6f735f64 6d615f6d 61705f74 0000001a os_dma_map_t.... 65280: 91030000 1b480400 06000003 f2010300 .....H.......... 65290: 001a9104 00060000 03f20102 01060000 ................ 652a0: 157d0102 01047368 6f727420 696e7400 .}....short int. 652b0: 05020941 5f494e54 31360000 001b8209 ...A_INT16...... 652c0: 5f5f615f 696e7431 365f7400 00001b8f __a_int16_t..... 652d0: 09615f69 6e743136 5f740000 001b9c04 .a_int16_t...... 652e0: 7369676e 65642063 68617200 05010941 signed char....A 652f0: 5f494e54 38000000 1bbc095f 5f615f69 _INT8......__a_i 65300: 6e74385f 74000000 1bcb0961 5f696e74 nt8_t......a_int 65310: 385f7400 00001bd7 120c0000 1c4e0873 8_t..........N.s 65320: 7570706f 72746564 00000016 02022300 upported......#. 65330: 08616476 65727469 7a656400 00001602 .advertized..... 65340: 02230408 73706565 64000000 1bad0223 .#..speed......# 65350: 08086475 706c6578 0000001b e702230a ..duplex......#. 65360: 08617574 6f6e6567 00000016 6902230b .autoneg....i.#. 65370: 000f0000 16690600 001c5b10 05000761 .....i....[....a 65380: 64665f6e 65745f65 74686164 64720006 df_net_ethaddr.. 65390: 00001c7f 08616464 72000000 1c4e0223 .....addr....N.# 653a0: 0000095f 5f615f75 696e7431 365f7400 ...__a_uint16_t. 653b0: 000012d7 09615f75 696e7431 365f7400 .....a_uint16_t. 653c0: 00001c7f 120e0000 1ce30865 74686572 ...........ether 653d0: 5f64686f 73740000 001c4e02 23000865 _dhost....N.#..e 653e0: 74686572 5f73686f 73740000 001c4e02 ther_shost....N. 653f0: 23060865 74686572 5f747970 65000000 #..ether_type... 65400: 1c910223 0c001214 00001da4 1569705f ...#.........ip_ 65410: 76657273 696f6e00 00001669 01000402 version....i.... 65420: 23001569 705f686c 00000016 69010404 #..ip_hl....i... 65430: 02230008 69705f74 6f730000 00166902 .#..ip_tos....i. 65440: 23010869 705f6c65 6e000000 1c910223 #..ip_len......# 65450: 02086970 5f696400 00001c91 02230408 ..ip_id......#.. 65460: 69705f66 7261675f 6f666600 00001c91 ip_frag_off..... 65470: 02230608 69705f74 746c0000 00166902 .#..ip_ttl....i. 65480: 23080869 705f7072 6f746f00 00001669 #..ip_proto....i 65490: 02230908 69705f63 6865636b 0000001c .#..ip_check.... 654a0: 9102230a 0869705f 73616464 72000000 ..#..ip_saddr... 654b0: 16020223 0c086970 5f646164 64720000 ...#..ip_daddr.. 654c0: 00160202 23100007 6164665f 6e65745f ....#...adf_net_ 654d0: 766c616e 68647200 0400001d f6087470 vlanhdr.......tp 654e0: 69640000 001c9102 23001570 72696f00 id......#..prio. 654f0: 00001669 01000302 23021563 66690000 ...i....#..cfi.. 65500: 00166901 03010223 02157669 64000000 ..i....#..vid... 65510: 1c910204 0c022302 00076164 665f6e65 ......#...adf_ne 65520: 745f7669 64000200 001e2715 72657300 t_vid.....'.res. 65530: 00001669 01000402 23001576 616c0000 ...i....#..val.. 65540: 001c9102 040c0223 0000120c 00001e63 .......#.......c 65550: 0872785f 62756673 697a6500 00001602 .rx_bufsize..... 65560: 02230008 72785f6e 64657363 00000016 .#..rx_ndesc.... 65570: 02022304 0874785f 6e646573 63000000 ..#..tx_ndesc... 65580: 16020223 08001208 00001e89 08706f6c ...#.........pol 65590: 6c656400 00001a7c 02230008 706f6c6c led....|.#..poll 655a0: 5f777400 00001602 02230400 0f000016 _wt......#...... 655b0: 69400000 1e96103f 00124600 001ebe08 i@.....?..F..... 655c0: 69665f6e 616d6500 00001e89 02230008 if_name......#.. 655d0: 6465765f 61646472 0000001c 4e022340 dev_addr....N.#@ 655e0: 00140400 001ef50e 4144465f 4f535f44 ........ADF_OS_D 655f0: 4d415f4d 41534b5f 33324249 5400000e MA_MASK_32BIT... 65600: 4144465f 4f535f44 4d415f4d 41534b5f ADF_OS_DMA_MASK_ 65610: 36344249 54000100 09616466 5f6f735f 64BIT....adf_os_ 65620: 646d615f 6d61736b 5f740000 001ebe07 dma_mask_t...... 65630: 6164665f 646d615f 696e666f 00080000 adf_dma_info.... 65640: 1f420864 6d615f6d 61736b00 00001ef5 .B.dma_mask..... 65650: 02230008 73675f6e 73656773 00000016 .#..sg_nsegs.... 65660: 02022304 00140400 001f980e 4144465f ..#.........ADF_ 65670: 4e45545f 434b5355 4d5f4e4f 4e450000 NET_CKSUM_NONE.. 65680: 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 65690: 43505f55 44505f49 50763400 010e4144 CP_UDP_IPv4...AD 656a0: 465f4e45 545f434b 53554d5f 5443505f F_NET_CKSUM_TCP_ 656b0: 5544505f 49507636 00020009 6164665f UDP_IPv6....adf_ 656c0: 6e65745f 636b7375 6d5f7479 70655f74 net_cksum_type_t 656d0: 0000001f 42120800 001fdb08 74785f63 ....B.......tx_c 656e0: 6b73756d 0000001f 98022300 0872785f ksum......#..rx_ 656f0: 636b7375 6d000000 1f980223 04000961 cksum......#...a 65700: 64665f6e 65745f63 6b73756d 5f696e66 df_net_cksum_inf 65710: 6f5f7400 00001fb2 14040000 20340e41 o_t......... 4.A 65720: 44465f4e 45545f54 534f5f4e 4f4e4500 DF_NET_TSO_NONE. 65730: 000e4144 465f4e45 545f5453 4f5f4950 ..ADF_NET_TSO_IP 65740: 56340001 0e414446 5f4e4554 5f54534f V4...ADF_NET_TSO 65750: 5f414c4c 00020009 6164665f 6e65745f _ALL....adf_net_ 65760: 74736f5f 74797065 5f740000 001ff512 tso_type_t...... 65770: 10000020 8808636b 73756d5f 63617000 ... ..cksum_cap. 65780: 00001fdb 02230008 74736f00 00002034 .....#..tso... 4 65790: 02230808 766c616e 5f737570 706f7274 .#..vlan_support 657a0: 65640000 00166902 230c0012 20000021 ed....i.#... ..! 657b0: 21087478 5f706163 6b657473 00000016 !.tx_packets.... 657c0: 02022300 0872785f 7061636b 65747300 ..#..rx_packets. 657d0: 00001602 02230408 74785f62 79746573 .....#..tx_bytes 657e0: 00000016 02022308 0872785f 62797465 ......#..rx_byte 657f0: 73000000 16020223 0c087478 5f64726f s......#..tx_dro 65800: 70706564 00000016 02022310 0872785f pped......#..rx_ 65810: 64726f70 70656400 00001602 02231408 dropped......#.. 65820: 72785f65 72726f72 73000000 16020223 rx_errors......# 65830: 18087478 5f657272 6f727300 00001602 ..tx_errors..... 65840: 02231c00 09616466 5f6e6574 5f657468 .#...adf_net_eth 65850: 61646472 5f740000 001c5b16 00002121 addr_t....[...!! 65860: 03000000 2146107f 00176164 665f6e65 ....!F....adf_ne 65870: 745f636d 645f6d63 61646472 00030400 t_cmd_mcaddr.... 65880: 00217d08 6e656c65 6d000000 16020223 .!}.nelem......# 65890: 00086d63 61737400 00002138 02230400 ..mcast...!8.#.. 658a0: 09616466 5f6e6574 5f636d64 5f6c696e .adf_net_cmd_lin 658b0: 6b5f696e 666f5f74 0000001b f5096164 k_info_t......ad 658c0: 665f6e65 745f636d 645f706f 6c6c5f69 f_net_cmd_poll_i 658d0: 6e666f5f 74000000 1e630961 64665f6e nfo_t....c.adf_n 658e0: 65745f63 6d645f63 6b73756d 5f696e66 et_cmd_cksum_inf 658f0: 6f5f7400 00001fdb 09616466 5f6e6574 o_t......adf_net 65900: 5f636d64 5f72696e 675f696e 666f5f74 _cmd_ring_info_t 65910: 0000001e 27096164 665f6e65 745f636d ....'.adf_net_cm 65920: 645f646d 615f696e 666f5f74 0000001f d_dma_info_t.... 65930: 0c096164 665f6e65 745f636d 645f7669 ..adf_net_cmd_vi 65940: 645f7400 00001c91 09616466 5f6e6574 d_t......adf_net 65950: 5f636d64 5f6f6666 6c6f6164 5f636170 _cmd_offload_cap 65960: 5f740000 00204c09 6164665f 6e65745f _t... L.adf_net_ 65970: 636d645f 73746174 735f7400 00002088 cmd_stats_t... . 65980: 09616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 65990: 6464725f 74000000 21460d61 64665f6e ddr_t...!F.adf_n 659a0: 65745f63 6d645f6d 63617374 5f636170 et_cmd_mcast_cap 659b0: 00040000 22bf0e41 44465f4e 45545f4d ...."..ADF_NET_M 659c0: 43415354 5f535550 00000e41 44465f4e CAST_SUP...ADF_N 659d0: 45545f4d 43415354 5f4e4f54 53555000 ET_MCAST_NOTSUP. 659e0: 01000961 64665f6e 65745f63 6d645f6d ...adf_net_cmd_m 659f0: 63617374 5f636170 5f740000 00227718 cast_cap_t..."w. 65a00: 03040000 2391086c 696e6b5f 696e666f ....#..link_info 65a10: 00000021 7d022300 08706f6c 6c5f696e ...!}.#..poll_in 65a20: 666f0000 00219a02 23000863 6b73756d fo...!..#..cksum 65a30: 5f696e66 6f000000 21b70223 00087269 _info...!..#..ri 65a40: 6e675f69 6e666f00 000021d5 02230008 ng_info...!..#.. 65a50: 646d615f 696e666f 00000021 f2022300 dma_info...!..#. 65a60: 08766964 00000022 0e022300 086f6666 .vid..."..#..off 65a70: 6c6f6164 5f636170 00000022 25022300 load_cap..."%.#. 65a80: 08737461 74730000 00224402 2300086d .stats..."D.#..m 65a90: 63617374 5f696e66 6f000000 225d0223 cast_info..."].# 65aa0: 00086d63 6173745f 63617000 000022bf ..mcast_cap...". 65ab0: 02230000 14040000 23e80e41 44465f4e .#......#..ADF_N 65ac0: 4255465f 52585f43 4b53554d 5f4e4f4e BUF_RX_CKSUM_NON 65ad0: 4500000e 4144465f 4e425546 5f52585f E...ADF_NBUF_RX_ 65ae0: 434b5355 4d5f4857 00010e41 44465f4e CKSUM_HW...ADF_N 65af0: 4255465f 52585f43 4b53554d 5f554e4e BUF_RX_CKSUM_UNN 65b00: 45434553 53415259 00020009 6164665f ECESSARY....adf_ 65b10: 6e627566 5f72785f 636b7375 6d5f7479 nbuf_rx_cksum_ty 65b20: 70655f74 00000023 91120800 00242808 pe_t...#.....$(. 65b30: 72657375 6c740000 0023e802 23000876 result...#..#..v 65b40: 616c0000 00160202 23040012 08000024 al......#......$ 65b50: 58087479 70650000 00203402 2300086d X.type... 4.#..m 65b60: 73730000 001c9102 23040868 64725f6f ss......#..hdr_o 65b70: 66660000 00166902 23060007 5f5f6164 ff....i.#...__ad 65b80: 665f6e62 75665f71 68656164 000c0000 f_nbuf_qhead.... 65b90: 24970868 65616400 00001422 02230008 $..head....".#.. 65ba0: 7461696c 00000014 22022304 08716c65 tail....".#..qle 65bb0: 6e000000 16020223 0800095f 5f616466 n......#...__adf 65bc0: 5f6e6275 665f7400 00001422 03000016 _nbuf_t....".... 65bd0: 78040003 00001602 04000201 06000013 x............... 65be0: 04010600 00160201 06000016 78010600 ............x... 65bf0: 00167801 03000012 e5040009 5f5f6164 ..x.........__ad 65c00: 665f6e62 75665f71 68656164 5f740000 f_nbuf_qhead_t.. 65c10: 00245809 5f5f6164 665f6e62 75665f71 .$X.__adf_nbuf_q 65c20: 75657565 5f740000 0024d803 000024f0 ueue_t...$....$. 65c30: 04000600 00249701 06000024 97011404 .....$.....$.... 65c40: 00002610 0e415f53 54415455 535f4f4b ..&..A_STATUS_OK 65c50: 00000e41 5f535441 5455535f 4641494c ...A_STATUS_FAIL 65c60: 45440001 0e415f53 54415455 535f454e ED...A_STATUS_EN 65c70: 4f454e54 00020e41 5f535441 5455535f OENT...A_STATUS_ 65c80: 454e4f4d 454d0003 0e415f53 54415455 ENOMEM...A_STATU 65c90: 535f4549 4e56414c 00040e41 5f535441 S_EINVAL...A_STA 65ca0: 5455535f 45494e50 524f4752 45535300 TUS_EINPROGRESS. 65cb0: 050e415f 53544154 55535f45 4e4f5453 ..A_STATUS_ENOTS 65cc0: 55505000 060e415f 53544154 55535f45 UPP...A_STATUS_E 65cd0: 42555359 00070e41 5f535441 5455535f BUSY...A_STATUS_ 65ce0: 45324249 4700080e 415f5354 41545553 E2BIG...A_STATUS 65cf0: 5f454144 44524e4f 54415641 494c0009 _EADDRNOTAVAIL.. 65d00: 0e415f53 54415455 535f454e 58494f00 .A_STATUS_ENXIO. 65d10: 0a0e415f 53544154 55535f45 4641554c ..A_STATUS_EFAUL 65d20: 54000b0e 415f5354 41545553 5f45494f T...A_STATUS_EIO 65d30: 000c0009 615f7374 61747573 5f740000 ....a_status_t.. 65d40: 00251b06 00002610 01060000 00fd0102 .%....&......... 65d50: 01096164 665f6e62 75665f74 00000024 ..adf_nbuf_t...$ 65d60: 97140400 0026750e 4144465f 4f535f44 .....&u.ADF_OS_D 65d70: 4d415f54 4f5f4445 56494345 00000e41 MA_TO_DEVICE...A 65d80: 44465f4f 535f444d 415f4652 4f4d5f44 DF_OS_DMA_FROM_D 65d90: 45564943 45000100 09616466 5f6f735f EVICE....adf_os_ 65da0: 646d615f 6469725f 74000000 263e0600 dma_dir_t...&>.. 65db0: 00261001 02010961 64665f6f 735f646d .&.....adf_os_dm 65dc0: 616d6170 5f696e66 6f5f7400 0000161f amap_info_t..... 65dd0: 03000026 93040002 01020106 0000262e ...&..........&. 65de0: 01060000 24970102 01020106 0000262e ....$.........&. 65df0: 01060000 24970106 0000262e 01060000 ....$.....&..... 65e00: 24970106 0000262e 01020102 01060000 $.....&......... 65e10: 16020106 00001678 01020102 01060000 .......x........ 65e20: 1b2f0106 00001a7c 01060000 1a7c0109 ./.....|.....|.. 65e30: 6164665f 6f735f73 676c6973 745f7400 adf_os_sglist_t. 65e40: 000016b7 03000027 0c040002 01020102 .......'........ 65e50: 01060000 16780109 6164665f 6e627566 .....x..adf_nbuf 65e60: 5f717565 75655f74 00000024 f0030000 _queue_t...$.... 65e70: 27340400 02010300 0024d804 00020102 '4.......$...... 65e80: 01020106 0000262e 01060000 24970106 ......&.....$... 65e90: 00001602 01060000 16020106 00001a7c ...............| 65ea0: 01060000 1a7c0106 00001f98 01060000 .....|.......... 65eb0: 16020109 6164665f 6e627566 5f72785f ....adf_nbuf_rx_ 65ec0: 636b7375 6d5f7400 00002406 03000027 cksum_t...$....' 65ed0: 90040002 01020109 6164665f 6e627566 ........adf_nbuf 65ee0: 5f74736f 5f740000 00242803 000027b4 _tso_t...$(...'. 65ef0: 04000201 02010961 64665f6e 65745f68 .......adf_net_h 65f00: 616e646c 655f7400 000003f2 09616466 andle_t......adf 65f10: 5f6e6574 5f766c61 6e686472 5f740000 _net_vlanhdr_t.. 65f20: 001da403 000027e9 04000600 00261001 ......'......&.. 65f30: 06000026 10010201 0201075f 4849465f ...&......._HIF_ 65f40: 434f4e46 49470004 00002838 0864756d CONFIG....(8.dum 65f50: 6d790000 0000fd02 23000002 01030000 my......#....... 65f60: 28380400 02010300 00284104 00075f48 (8.......(A..._H 65f70: 49465f43 414c4c42 41434b00 0c000028 IF_CALLBACK....( 65f80: 96087365 6e645f62 75665f64 6f6e6500 ..send_buf_done. 65f90: 0000283a 02230008 72656376 5f627566 ..(:.#..recv_buf 65fa0: 00000028 43022304 08636f6e 74657874 ...(C.#..context 65fb0: 00000003 f2022308 00096869 665f6861 ......#...hif_ha 65fc0: 6e646c65 5f740000 0003f209 4849465f ndle_t......HIF_ 65fd0: 434f4e46 49470000 00281703 000028a8 CONFIG...(....(. 65fe0: 04000600 00289601 03000028 bf040002 .....(.....(.... 65ff0: 01030000 28cc0400 09484946 5f43414c ....(....HIF_CAL 66000: 4c424143 4b000000 284a0300 0028d504 LBACK...(J...(.. 66010: 00020103 000028ee 04000600 0000fd01 ......(......... 66020: 03000028 f7040002 01030000 29040400 ...(........)... 66030: 06000000 fd010300 00290d04 00020103 .........)...... 66040: 0000291a 04000600 0000fd01 03000029 ..)............) 66050: 23040002 01030000 29300400 07686966 #.......)0...hif 66060: 5f617069 00380000 2a89085f 696e6974 _api.8..*.._init 66070: 00000028 c5022300 085f7368 7574646f ...(..#.._shutdo 66080: 776e0000 0028ce02 2304085f 72656769 wn...(..#.._regi 66090: 73746572 5f63616c 6c626163 6b000000 ster_callback... 660a0: 28f00223 08085f67 65745f74 6f74616c (..#.._get_total 660b0: 5f637265 6469745f 636f756e 74000000 _credit_count... 660c0: 28fd0223 0c085f73 74617274 00000028 (..#.._start...( 660d0: ce022310 085f636f 6e666967 5f706970 ..#.._config_pip 660e0: 65000000 29060223 14085f73 656e645f e...)..#.._send_ 660f0: 62756666 65720000 00291302 2318085f buffer...)..#.._ 66100: 72657475 726e5f72 6563765f 62756600 return_recv_buf. 66110: 0000291c 02231c08 5f69735f 70697065 ..)..#.._is_pipe 66120: 5f737570 706f7274 65640000 00292902 _supported...)). 66130: 2320085f 6765745f 6d61785f 6d73675f # ._get_max_msg_ 66140: 6c656e00 00002929 02232408 5f676574 len...)).#$._get 66150: 5f726573 65727665 645f6865 6164726f _reserved_headro 66160: 6f6d0000 0028fd02 2328085f 6973725f om...(..#(._isr_ 66170: 68616e64 6c657200 000028ce 02232c08 handler...(..#,. 66180: 5f676574 5f646566 61756c74 5f706970 _get_default_pip 66190: 65000000 29320223 30087052 65736572 e...)2.#0.pReser 661a0: 76656400 000003f2 02233400 0d646d61 ved......#4..dma 661b0: 5f656e67 696e6500 0400002b 120e444d _engine....+..DM 661c0: 415f454e 47494e45 5f525830 00000e44 A_ENGINE_RX0...D 661d0: 4d415f45 4e47494e 455f5258 3100010e MA_ENGINE_RX1... 661e0: 444d415f 454e4749 4e455f52 58320002 DMA_ENGINE_RX2.. 661f0: 0e444d41 5f454e47 494e455f 52583300 .DMA_ENGINE_RX3. 66200: 030e444d 415f454e 47494e45 5f545830 ..DMA_ENGINE_TX0 66210: 00040e44 4d415f45 4e47494e 455f5458 ...DMA_ENGINE_TX 66220: 3100050e 444d415f 454e4749 4e455f4d 1...DMA_ENGINE_M 66230: 41580006 0009646d 615f656e 67696e65 AX....dma_engine 66240: 5f740000 002a890d 646d615f 69667479 _t...*..dma_ifty 66250: 70650004 00002b5f 0e444d41 5f49465f pe....+_.DMA_IF_ 66260: 474d4143 00000e44 4d415f49 465f5043 GMAC...DMA_IF_PC 66270: 4900010e 444d415f 49465f50 43494500 I...DMA_IF_PCIE. 66280: 02000964 6d615f69 66747970 655f7400 ...dma_iftype_t. 66290: 00002b24 06000012 d7010300 002b7104 ..+$.........+q. 662a0: 00020103 00002b7e 04000201 0300002b ......+~.......+ 662b0: 87040006 00000909 01030000 2b900400 ............+... 662c0: 06000012 d7010300 002b9d04 00060000 .........+...... 662d0: 12d70103 00002baa 04000600 00142201 ......+.......". 662e0: 0300002b b7040002 01030000 2bc40400 ...+........+... 662f0: 07646d61 5f6c6962 5f617069 00340000 .dma_lib_api.4.. 66300: 2ccb0874 785f696e 69740000 002b7702 ,..tx_init...+w. 66310: 23000874 785f7374 61727400 00002b80 #..tx_start...+. 66320: 02230408 72785f69 6e697400 00002b77 .#..rx_init...+w 66330: 02230808 72785f63 6f6e6669 67000000 .#..rx_config... 66340: 2b890223 0c087278 5f737461 72740000 +..#..rx_start.. 66350: 002b8002 23100869 6e74725f 73746174 .+..#..intr_stat 66360: 75730000 002b9602 23140868 6172645f us...+..#..hard_ 66370: 786d6974 0000002b a3022318 08666c75 xmit...+..#..flu 66380: 73685f78 6d697400 00002b80 02231c08 sh_xmit...+..#.. 66390: 786d6974 5f646f6e 65000000 2bb00223 xmit_done...+..# 663a0: 20087265 61705f78 6d697474 65640000 .reap_xmitted.. 663b0: 002bbd02 23240872 6561705f 72656376 .+..#$.reap_recv 663c0: 0000002b bd022328 08726574 75726e5f ...+..#(.return_ 663d0: 72656376 0000002b c602232c 08726563 recv...+..#,.rec 663e0: 765f706b 74000000 2bb00223 3000075f v_pkt...+..#0.._ 663f0: 5f706369 5f736f66 7463000c 00002ce9 _pci_softc....,. 66400: 08737700 000028d5 02230000 095f5f70 .sw...(..#...__p 66410: 63695f73 6f667463 5f740000 002ccb03 ci_softc_t...,.. 66420: 00002ce9 04000201 0300002d 03040006 ..,........-.... 66430: 000012c3 01030000 2d0c0400 0d686966 ........-....hif 66440: 5f706369 5f706970 655f7478 00040000 _pci_pipe_tx.... 66450: 2d6c0e48 49465f50 43495f50 4950455f -l.HIF_PCI_PIPE_ 66460: 54583000 000e4849 465f5043 495f5049 TX0...HIF_PCI_PI 66470: 50455f54 58310001 0e484946 5f504349 PE_TX1...HIF_PCI 66480: 5f504950 455f5458 5f4d4158 00020009 _PIPE_TX_MAX.... 66490: 6869665f 7063695f 70697065 5f74785f hif_pci_pipe_tx_ 664a0: 74000000 2d190600 002b1201 0300002d t...-....+.....- 664b0: 8304000d 6869665f 7063695f 70697065 ....hif_pci_pipe 664c0: 5f727800 0400002e 090e4849 465f5043 _rx.......HIF_PC 664d0: 495f5049 50455f52 58300000 0e484946 I_PIPE_RX0...HIF 664e0: 5f504349 5f504950 455f5258 3100010e _PCI_PIPE_RX1... 664f0: 4849465f 5043495f 50495045 5f525832 HIF_PCI_PIPE_RX2 66500: 00020e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 66510: 52583300 030e4849 465f5043 495f5049 RX3...HIF_PCI_PI 66520: 50455f52 585f4d41 58000400 09686966 PE_RX_MAX....hif 66530: 5f706369 5f706970 655f7278 5f740000 _pci_pipe_rx_t.. 66540: 002d9006 00002b12 01030000 2e200400 .-....+...... .. 66550: 07686966 5f706369 5f617069 00240000 .hif_pci_api.$.. 66560: 2efe0870 63695f62 6f6f745f 696e6974 ...pci_boot_init 66570: 00000000 f6022300 08706369 5f696e69 ......#..pci_ini 66580: 74000000 28c50223 04087063 695f7265 t...(..#..pci_re 66590: 73657400 000000f6 02230808 7063695f set......#..pci_ 665a0: 656e6162 6c650000 0000f602 230c0870 enable......#..p 665b0: 63695f72 6561705f 786d6974 74656400 ci_reap_xmitted. 665c0: 00002d05 02231008 7063695f 72656170 ..-..#..pci_reap 665d0: 5f726563 76000000 2d050223 14087063 _recv...-..#..pc 665e0: 695f6765 745f7069 70650000 002d1202 i_get_pipe...-.. 665f0: 23180870 63695f67 65745f74 785f656e #..pci_get_tx_en 66600: 67000000 2d890223 1c087063 695f6765 g...-..#..pci_ge 66610: 745f7278 5f656e67 0000002e 26022320 t_rx_eng....&.# 66620: 0007676d 61635f61 70690004 00002f25 ..gmac_api..../% 66630: 08676d61 635f626f 6f745f69 6e697400 .gmac_boot_init. 66640: 000000f6 02230000 0f000003 0a060000 .....#.......... 66650: 2f321005 00075f5f 65746868 6472000e /2....__ethhdr.. 66660: 00002f68 08647374 0000002f 25022300 ../h.dst.../%.#. 66670: 08737263 0000002f 25022306 08657479 .src.../%.#..ety 66680: 70650000 0012d702 230c0007 5f5f6174 pe......#...__at 66690: 68686472 00040000 2fb61572 65730000 hhdr..../..res.. 666a0: 0012c301 00020223 00157072 6f746f00 .......#..proto. 666b0: 000012c3 01020602 23000872 65735f6c ........#..res_l 666c0: 6f000000 12c30223 01087265 735f6869 o......#..res_hi 666d0: 00000012 d7022302 00075f5f 676d6163 ......#...__gmac 666e0: 5f686472 00140000 2ff20865 74680000 _hdr..../..eth.. 666f0: 002f3202 23000861 74680000 002f6802 ./2.#..ath.../h. 66700: 230e0861 6c69676e 5f706164 00000012 #..align_pad.... 66710: d7022312 00095f5f 676d6163 5f686472 ..#...__gmac_hdr 66720: 5f740000 002fb607 5f5f676d 61635f73 _t.../..__gmac_s 66730: 6f667463 00240000 303c0868 64720000 oftc.$..0<.hdr.. 66740: 002ff202 23000867 72616e00 000012d7 ./..#..gran..... 66750: 02231408 73770000 0028d502 2318000c .#..sw...(..#... 66760: 5f415f6f 735f6c69 6e6b6167 655f6368 _A_os_linkage_ch 66770: 65636b00 00010300 00303c04 00060000 eck......0<..... 66780: 00fd0103 0000305a 04000300 0003f504 ......0Z........ 66790: 00175f41 5f636d6e 6f735f69 6e646972 .._A_cmnos_indir 667a0: 65637469 6f6e5f74 61626c65 0001b800 ection_table.... 667b0: 0031aa08 68616c5f 6c696e6b 6167655f .1..hal_linkage_ 667c0: 63686563 6b000000 30600223 00087374 check...0`.#..st 667d0: 6172745f 62737300 00003067 02230408 art_bss...0g.#.. 667e0: 6170705f 73746172 74000000 00f60223 app_start......# 667f0: 08086d65 6d000000 04350223 0c086d69 ..mem....5.#..mi 66800: 73630000 00055402 23200870 72696e74 sc....T.# .print 66810: 66000000 012a0223 44087561 72740000 f....*.#D.uart.. 66820: 0001f302 234c0867 6d616300 00002efe ....#L.gmac..... 66830: 02236c08 75736200 00000f83 02237008 .#l.usb......#p. 66840: 636c6f63 6b000000 0acc0323 e0010874 clock......#...t 66850: 696d6572 00000007 6c032384 0208696e imer....l.#...in 66860: 74720000 000c1c03 23980208 616c6c6f tr......#...allo 66870: 6372616d 00000009 240323c4 0208726f cram....$.#...ro 66880: 6d700000 00081603 23d00208 7764745f mp......#...wdt_ 66890: 74696d65 72000000 0df90323 e0020865 timer......#...e 668a0: 65700000 000f2703 23fc0208 73747269 ep....'.#...stri 668b0: 6e670000 00067803 238c0308 7461736b ng....x.#...task 668c0: 6c657400 00000a21 0323a403 00075f55 let....!.#...._U 668d0: 53425f46 49464f5f 434f4e46 49470010 SB_FIFO_CONFIG.. 668e0: 0000321d 08676574 5f636f6d 6d616e64 ..2..get_command 668f0: 5f627566 00000014 2f022300 08726563 _buf..../.#..rec 66900: 765f636f 6d6d616e 64000000 14450223 v_command....E.# 66910: 04086765 745f6576 656e745f 62756600 ..get_event_buf. 66920: 0000142f 02230808 73656e64 5f657665 .../.#..send_eve 66930: 6e745f64 6f6e6500 00001445 02230c00 nt_done....E.#.. 66940: 09555342 5f464946 4f5f434f 4e464947 .USB_FIFO_CONFIG 66950: 00000031 aa030000 321d0400 02010300 ...1....2....... 66960: 00323904 00077573 62666966 6f5f6170 .29...usbfifo_ap 66970: 69000c00 00328f08 5f696e69 74000000 i....2.._init... 66980: 323b0223 00085f65 6e61626c 655f6576 2;.#.._enable_ev 66990: 656e745f 69737200 000000f6 02230408 ent_isr......#.. 669a0: 70526573 65727665 64000000 03f20223 pReserved......# 669b0: 08000f00 00166902 0000329c 10010007 ......i...2..... 669c0: 5f485443 5f465241 4d455f48 44520008 _HTC_FRAME_HDR.. 669d0: 0000330e 08456e64 706f696e 74494400 ..3..EndpointID. 669e0: 00001669 02230008 466c6167 73000000 ...i.#..Flags... 669f0: 16690223 01085061 796c6f61 644c656e .i.#..PayloadLen 66a00: 0000001c 91022302 08436f6e 74726f6c ......#..Control 66a10: 42797465 73000000 328f0223 0408486f Bytes...2..#..Ho 66a20: 73745365 714e756d 0000001c 91022306 stSeqNum......#. 66a30: 00120200 00332708 4d657373 61676549 .....3'.MessageI 66a40: 44000000 1c910223 00001208 0000338a D......#......3. 66a50: 084d6573 73616765 49440000 001c9102 .MessageID...... 66a60: 23000843 72656469 74436f75 6e740000 #..CreditCount.. 66a70: 001c9102 23020843 72656469 7453697a ....#..CreditSiz 66a80: 65000000 1c910223 04084d61 78456e64 e......#..MaxEnd 66a90: 706f696e 74730000 00166902 2306085f points....i.#.._ 66aa0: 50616431 00000016 69022307 00120a00 Pad1....i.#..... 66ab0: 00342108 4d657373 61676549 44000000 .4!.MessageID... 66ac0: 1c910223 00085365 72766963 65494400 ...#..ServiceID. 66ad0: 00001c91 02230208 436f6e6e 65637469 .....#..Connecti 66ae0: 6f6e466c 61677300 00001c91 02230408 onFlags......#.. 66af0: 446f776e 4c696e6b 50697065 49440000 DownLinkPipeID.. 66b00: 00166902 23060855 704c696e 6b506970 ..i.#..UpLinkPip 66b10: 65494400 00001669 02230708 53657276 eID....i.#..Serv 66b20: 6963654d 6574614c 656e6774 68000000 iceMetaLength... 66b30: 16690223 08085f50 61643100 00001669 .i.#.._Pad1....i 66b40: 02230900 120a0000 34a9084d 65737361 .#......4..Messa 66b50: 67654944 0000001c 91022300 08536572 geID......#..Ser 66b60: 76696365 49440000 001c9102 23020853 viceID......#..S 66b70: 74617475 73000000 16690223 0408456e tatus....i.#..En 66b80: 64706f69 6e744944 00000016 69022305 dpointID....i.#. 66b90: 084d6178 4d736753 697a6500 00001c91 .MaxMsgSize..... 66ba0: 02230608 53657276 6963654d 6574614c .#..ServiceMetaL 66bb0: 656e6774 68000000 16690223 08085f50 ength....i.#.._P 66bc0: 61643100 00001669 02230900 12020000 ad1....i.#...... 66bd0: 34c2084d 65737361 67654944 0000001c 4..MessageID.... 66be0: 91022300 00120400 0034fe08 4d657373 ..#......4..Mess 66bf0: 61676549 44000000 1c910223 00085069 ageID......#..Pi 66c00: 70654944 00000016 69022302 08437265 peID....i.#..Cre 66c10: 64697443 6f756e74 00000016 69022303 ditCount....i.#. 66c20: 00120400 00353508 4d657373 61676549 .....55.MessageI 66c30: 44000000 1c910223 00085069 70654944 D......#..PipeID 66c40: 00000016 69022302 08537461 74757300 ....i.#..Status. 66c50: 00001669 02230300 12020000 355c0852 ...i.#......5\.R 66c60: 65636f72 64494400 00001669 02230008 ecordID....i.#.. 66c70: 4c656e67 74680000 00166902 23010012 Length....i.#... 66c80: 02000035 8608456e 64706f69 6e744944 ...5..EndpointID 66c90: 00000016 69022300 08437265 64697473 ....i.#..Credits 66ca0: 00000016 69022301 00120400 0035c708 ....i.#......5.. 66cb0: 456e6470 6f696e74 49440000 00166902 EndpointID....i. 66cc0: 23000843 72656469 74730000 00166902 #..Credits....i. 66cd0: 23010854 67744372 65646974 5365714e #..TgtCreditSeqN 66ce0: 6f000000 1c910223 02000f00 00166904 o......#......i. 66cf0: 000035d4 10030012 06000036 10085072 ..5........6..Pr 66d00: 6556616c 69640000 00166902 2300084c eValid....i.#..L 66d10: 6f6f6b41 68656164 00000035 c7022301 ookAhead...5..#. 66d20: 08506f73 7456616c 69640000 00166902 .PostValid....i. 66d30: 23050009 706f6f6c 5f68616e 646c655f #...pool_handle_ 66d40: 74000000 03f20600 00361001 03000036 t........6.....6 66d50: 23040002 01030000 36300400 14040000 #.......60...... 66d60: 36ae0e50 4f4f4c5f 49445f48 54435f43 6..POOL_ID_HTC_C 66d70: 4f4e5452 4f4c0000 0e504f4f 4c5f4944 ONTROL...POOL_ID 66d80: 5f574d49 5f535643 5f434d44 5f524550 _WMI_SVC_CMD_REP 66d90: 4c590001 0e504f4f 4c5f4944 5f574d49 LY...POOL_ID_WMI 66da0: 5f535643 5f455645 4e540002 0e504f4f _SVC_EVENT...POO 66db0: 4c5f4944 5f574c41 4e5f5258 5f425546 L_ID_WLAN_RX_BUF 66dc0: 00030e50 4f4f4c5f 49445f4d 4158000a ...POOL_ID_MAX.. 66dd0: 00094255 465f504f 4f4c5f49 44000000 ..BUF_POOL_ID... 66de0: 36390201 03000036 bf040006 0000262e 69.....6......&. 66df0: 01030000 36c80400 06000026 2e010300 ....6......&.... 66e00: 0036d504 00020103 000036e2 04000762 .6........6....b 66e10: 75665f70 6f6f6c5f 61706900 1c000037 uf_pool_api....7 66e20: 84085f69 6e697400 00003629 02230008 .._init...6).#.. 66e30: 5f736875 74646f77 6e000000 36320223 _shutdown...62.# 66e40: 04085f63 72656174 655f706f 6f6c0000 .._create_pool.. 66e50: 0036c102 2308085f 616c6c6f 635f6275 .6..#.._alloc_bu 66e60: 66000000 36ce0223 0c085f61 6c6c6f63 f...6..#.._alloc 66e70: 5f627566 5f616c69 676e0000 0036db02 _buf_align...6.. 66e80: 2310085f 66726565 5f627566 00000036 #.._free_buf...6 66e90: e4022314 08705265 73657276 65640000 ..#..pReserved.. 66ea0: 0003f202 23180007 5f485443 5f534552 ....#..._HTC_SER 66eb0: 56494345 001c0000 38630870 4e657874 VICE....8c.pNext 66ec0: 00000038 63022300 0850726f 63657373 ...8c.#..Process 66ed0: 52656376 4d736700 00003918 02230408 RecvMsg...9..#.. 66ee0: 50726f63 65737353 656e6442 75666665 ProcessSendBuffe 66ef0: 72436f6d 706c6574 65000000 39210223 rComplete...9!.# 66f00: 08085072 6f636573 73436f6e 6e656374 ..ProcessConnect 66f10: 00000039 3502230c 08536572 76696365 ...95.#..Service 66f20: 49440000 0012d702 23100853 65727669 ID......#..Servi 66f30: 6365466c 61677300 000012d7 02231208 ceFlags......#.. 66f40: 4d617853 76634d73 6753697a 65000000 MaxSvcMsgSize... 66f50: 12d70223 14085472 61696c65 72537063 ...#..TrailerSpc 66f60: 43686563 6b4c696d 69740000 0012d702 CheckLimit...... 66f70: 23160853 65727669 63654374 78000000 #..ServiceCtx... 66f80: 03f20223 18000300 00378404 00140400 ...#.....7...... 66f90: 00390119 454e4450 4f494e54 5f554e55 .9..ENDPOINT_UNU 66fa0: 53454400 ffffffff 0e454e44 504f494e SED......ENDPOIN 66fb0: 54300000 0e454e44 504f494e 54310001 T0...ENDPOINT1.. 66fc0: 0e454e44 504f494e 54320002 0e454e44 .ENDPOINT2...END 66fd0: 504f494e 54330003 0e454e44 504f494e POINT3...ENDPOIN 66fe0: 54340004 0e454e44 504f494e 54350005 T4...ENDPOINT5.. 66ff0: 0e454e44 504f494e 54360006 0e454e44 .ENDPOINT6...END 67000: 504f494e 54370007 0e454e44 504f494e POINT7...ENDPOIN 67010: 54380008 0e454e44 504f494e 545f4d41 T8...ENDPOINT_MA 67020: 58001600 09485443 5f454e44 504f494e X....HTC_ENDPOIN 67030: 545f4944 00000038 6a020103 00003916 T_ID...8j.....9. 67040: 04000201 03000039 1f040003 000000fd .......9........ 67050: 04000600 0012c301 03000039 2f040003 ...........9/... 67060: 00003784 0400075f 4854435f 434f4e46 ..7...._HTC_CONF 67070: 49470014 000039b4 08437265 64697453 IG....9..CreditS 67080: 697a6500 000000fd 02230008 43726564 ize......#..Cred 67090: 69744e75 6d626572 00000000 fd022304 itNumber......#. 670a0: 084f5348 616e646c 65000000 1a2d0223 .OSHandle....-.# 670b0: 08084849 4648616e 646c6500 00002896 ..HIFHandle...(. 670c0: 02230c08 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 670d0: 00361002 23100007 5f485443 5f425546 .6..#..._HTC_BUF 670e0: 5f434f4e 54455854 00020000 39f00865 _CONTEXT....9..e 670f0: 6e645f70 6f696e74 00000012 c3022300 nd_point......#. 67100: 08687463 5f666c61 67730000 0012c302 .htc_flags...... 67110: 23010009 6874635f 68616e64 6c655f74 #...htc_handle_t 67120: 00000003 f2094854 435f5345 5455505f ......HTC_SETUP_ 67130: 434f4d50 4c455445 5f434200 000000f6 COMPLETE_CB..... 67140: 09485443 5f434f4e 46494700 00003943 .HTC_CONFIG...9C 67150: 0300003a 1d040006 000039f0 01030000 ...:......9..... 67160: 3a340400 02010300 003a4104 00094854 :4.......:A...HT 67170: 435f5345 52564943 45000000 37840300 C_SERVICE...7... 67180: 003a4a04 00020103 00003a62 04000201 .:J.......:b.... 67190: 0300003a 6b040002 01030000 3a740400 ...:k.......:t.. 671a0: 06000000 fd010300 003a7d04 00076874 .........:}...ht 671b0: 635f6170 69730034 00003bfa 085f4854 c_apis.4..;.._HT 671c0: 435f496e 69740000 003a3a02 2300085f C_Init...::.#.._ 671d0: 4854435f 53687574 646f776e 0000003a HTC_Shutdown...: 671e0: 43022304 085f4854 435f5265 67697374 C.#.._HTC_Regist 671f0: 65725365 72766963 65000000 3a640223 erService...:d.# 67200: 08085f48 54435f52 65616479 0000003a .._HTC_Ready...: 67210: 4302230c 085f4854 435f5265 7475726e C.#.._HTC_Return 67220: 42756666 65727300 00003a6d 02231008 Buffers...:m.#.. 67230: 5f485443 5f526574 75726e42 75666665 _HTC_ReturnBuffe 67240: 72734c69 73740000 003a7602 2314085f rsList...:v.#.._ 67250: 4854435f 53656e64 4d736700 00003a6d HTC_SendMsg...:m 67260: 02231808 5f485443 5f476574 52657365 .#.._HTC_GetRese 67270: 72766564 48656164 726f6f6d 0000003a rvedHeadroom...: 67280: 8302231c 085f4854 435f4d73 67526563 ..#.._HTC_MsgRec 67290: 7648616e 646c6572 00000028 43022320 vHandler...(C.# 672a0: 085f4854 435f5365 6e64446f 6e654861 ._HTC_SendDoneHa 672b0: 6e646c65 72000000 283a0223 24085f48 ndler...(:.#$._H 672c0: 54435f43 6f6e7472 6f6c5376 6350726f TC_ControlSvcPro 672d0: 63657373 4d736700 00003918 02232808 cessMsg...9..#(. 672e0: 5f485443 5f436f6e 74726f6c 53766350 _HTC_ControlSvcP 672f0: 726f6365 73735365 6e64436f 6d706c65 rocessSendComple 67300: 74650000 00392102 232c0870 52657365 te...9!.#,.pRese 67310: 72766564 00000003 f2022330 0007686f rved......#0..ho 67320: 73745f61 70705f61 7265615f 73000400 st_app_area_s... 67330: 003c2a08 776d695f 70726f74 6f636f6c .<*.wmi_protocol 67340: 5f766572 00000016 02022300 00120e00 _ver......#..... 67350: 003c6108 6473744d 61630000 001c4e02 .].Ht 67530: 6348616e 646c6500 000039f0 02230008 cHandle...9..#.. 67540: 506f6f6c 48616e64 6c650000 00361002 PoolHandle...6.. 67550: 2304084d 6178436d 64526570 6c794576 #..MaxCmdReplyEv 67560: 74730000 0000fd02 2308084d 61784576 ts......#..MaxEv 67570: 656e7445 76747300 000000fd 02230c00 entEvts......#.. 67580: 02010300 003e5d04 0009574d 495f434d .....>]...WMI_CM 67590: 445f4841 4e444c45 52000000 3e5f075f D_HANDLER...>_._ 675a0: 574d495f 44495350 41544348 5f454e54 WMI_DISPATCH_ENT 675b0: 52590008 00003ec6 0870436d 6448616e RY....>..pCmdHan 675c0: 646c6572 0000003e 66022300 08436d64 dler...>f.#..Cmd 675d0: 49440000 0012d702 23040846 6c616773 ID......#..Flags 675e0: 00000012 d7022306 00075f57 4d495f44 ......#..._WMI_D 675f0: 49535041 5443485f 5441424c 45001000 ISPATCH_TABLE... 67600: 003f2708 704e6578 74000000 3f270223 .?'.pNext...?'.# 67610: 00087043 6f6e7465 78740000 0003f202 ..pContext...... 67620: 2304084e 756d6265 724f6645 6e747269 #..NumberOfEntri 67630: 65730000 0000fd02 23080870 5461626c es......#..pTabl 67640: 65000000 3f460223 0c000300 003ec604 e...?F.#.....>.. 67650: 0009574d 495f4449 53504154 43485f45 ..WMI_DISPATCH_E 67660: 4e545259 0000003e 7b030000 3f2e0400 NTRY...>{...?... 67670: 0300003e c6040009 4854435f 4255465f ...>....HTC_BUF_ 67680: 434f4e54 45585400 000039b4 0d574d49 CONTEXT...9..WMI 67690: 5f455654 5f434c41 53530004 00003fde _EVT_CLASS....?. 676a0: 19574d49 5f455654 5f434c41 53535f4e .WMI_EVT_CLASS_N 676b0: 4f4e4500 ffffffff 0e574d49 5f455654 ONE......WMI_EVT 676c0: 5f434c41 53535f43 4d445f45 56454e54 _CLASS_CMD_EVENT 676d0: 00000e57 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 676e0: 5f434d44 5f524550 4c590001 0e574d49 _CMD_REPLY...WMI 676f0: 5f455654 5f434c41 53535f4d 41580002 _EVT_CLASS_MAX.. 67700: 0009574d 495f4556 545f434c 41535300 ..WMI_EVT_CLASS. 67710: 00003f69 075f574d 495f4255 465f434f ..?i._WMI_BUF_CO 67720: 4e544558 54000c00 00403c08 48746342 NTEXT....@<.HtcB 67730: 75664374 78000000 3f540223 00084576 ufCtx...?T.#..Ev 67740: 656e7443 6c617373 0000003f de022304 entClass...?..#. 67750: 08466c61 67730000 0012d702 23080009 .Flags......#... 67760: 776d695f 68616e64 6c655f74 00000003 wmi_handle_t.... 67770: f209574d 495f5356 435f434f 4e464947 ..WMI_SVC_CONFIG 67780: 0000003d f4030000 404e0400 06000040 ...=....@N.....@ 67790: 3c010300 00406904 0009574d 495f4449 <....@i...WMI_DI 677a0: 53504154 43485f54 41424c45 0000003e SPATCH_TABLE...> 677b0: c6030000 40760400 02010300 00409504 ....@v.......@.. 677c0: 00060000 262e0103 0000409e 04000201 ....&.....@..... 677d0: 03000040 ab040006 000000fd 01030000 ...@............ 677e0: 40b40400 02010300 0040c104 00060000 @........@...... 677f0: 12c30103 000040ca 0400075f 776d695f ......@...._wmi_ 67800: 7376635f 61706973 002c0000 4212085f svc_apis.,..B.._ 67810: 574d495f 496e6974 00000040 6f022300 WMI_Init...@o.#. 67820: 085f574d 495f5265 67697374 65724469 ._WMI_RegisterDi 67830: 73706174 63685461 626c6500 00004097 spatchTable...@. 67840: 02230408 5f574d49 5f416c6c 6f634576 .#.._WMI_AllocEv 67850: 656e7400 000040a4 02230808 5f574d49 ent...@..#.._WMI 67860: 5f53656e 64457665 6e740000 0040ad02 _SendEvent...@.. 67870: 230c085f 574d495f 47657450 656e6469 #.._WMI_GetPendi 67880: 6e674576 656e7473 436f756e 74000000 ngEventsCount... 67890: 40ba0223 10085f57 4d495f53 656e6443 @..#.._WMI_SendC 678a0: 6f6d706c 65746548 616e646c 65720000 ompleteHandler.. 678b0: 00392102 2314085f 574d495f 47657443 .9!.#.._WMI_GetC 678c0: 6f6e7472 6f6c4570 00000040 ba022318 ontrolEp...@..#. 678d0: 085f574d 495f5368 7574646f 776e0000 ._WMI_Shutdown.. 678e0: 0040c302 231c085f 574d495f 52656376 .@..#.._WMI_Recv 678f0: 4d657373 61676548 616e646c 65720000 MessageHandler.. 67900: 00391802 2320085f 574d495f 53657276 .9..# ._WMI_Serv 67910: 69636543 6f6e6e65 63740000 0040d002 iceConnect...@.. 67920: 23240870 52657365 72766564 00000003 #$.pReserved.... 67930: f2022328 00077a73 446d6144 65736300 ..#(..zsDmaDesc. 67940: 14000042 94086374 726c0000 00016102 ...B..ctrl....a. 67950: 23000873 74617475 73000000 01610223 #..status....a.# 67960: 0208746f 74616c4c 656e0000 00016102 ..totalLen....a. 67970: 23040864 61746153 697a6500 00000161 #..dataSize....a 67980: 02230608 6c617374 41646472 00000042 .#..lastAddr...B 67990: 94022308 08646174 61416464 72000000 ..#..dataAddr... 679a0: 01850223 0c086e65 78744164 64720000 ...#..nextAddr.. 679b0: 00429402 23100003 00004212 04000300 .B..#.....B..... 679c0: 00421204 00077a73 446d6151 75657565 .B....zsDmaQueue 679d0: 00080000 42d40868 65616400 0000429b ....B..head...B. 679e0: 02230008 7465726d 696e6174 6f720000 .#..terminator.. 679f0: 00429b02 23040007 7a735478 446d6151 .B..#...zsTxDmaQ 67a00: 75657565 00100000 43380868 65616400 ueue....C8.head. 67a10: 0000429b 02230008 7465726d 696e6174 ..B..#..terminat 67a20: 6f720000 00429b02 23040878 6d697465 or...B..#..xmite 67a30: 645f6275 665f6865 61640000 00142202 d_buf_head....". 67a40: 23080878 6d697465 645f6275 665f7461 #..xmited_buf_ta 67a50: 696c0000 00142202 230c0002 01030000 il....".#....... 67a60: 43380400 03000042 a2040002 01030000 C8.....B........ 67a70: 43480400 03000042 d4040002 01030000 CH.....B........ 67a80: 43580400 02010300 00436104 00020103 CX.......Ca..... 67a90: 0000436a 04000600 00142201 03000043 ..Cj......"....C 67aa0: 73040002 01030000 43800400 06000014 s.......C....... 67ab0: 22010300 00438904 00020103 00004396 "....C........C. 67ac0: 04000600 0000fd01 03000043 9f040006 ...........C.... 67ad0: 0000429b 01030000 43ac0400 02010300 ..B.....C....... 67ae0: 0043b904 0007646d 615f656e 67696e65 .C....dma_engine 67af0: 5f617069 00400000 452f085f 696e6974 _api.@..E/._init 67b00: 00000043 3a022300 085f696e 69745f72 ...C:.#.._init_r 67b10: 785f7175 65756500 0000434a 02230408 x_queue...CJ.#.. 67b20: 5f696e69 745f7478 5f717565 75650000 _init_tx_queue.. 67b30: 00435a02 2308085f 636f6e66 69675f72 .CZ.#.._config_r 67b40: 785f7175 65756500 00004363 02230c08 x_queue...Cc.#.. 67b50: 5f786d69 745f6275 66000000 436c0223 _xmit_buf...Cl.# 67b60: 10085f66 6c757368 5f786d69 74000000 .._flush_xmit... 67b70: 434a0223 14085f72 6561705f 72656376 CJ.#.._reap_recv 67b80: 5f627566 00000043 79022318 085f7265 _buf...Cy.#.._re 67b90: 7475726e 5f726563 765f6275 66000000 turn_recv_buf... 67ba0: 43820223 1c085f72 6561705f 786d6974 C..#.._reap_xmit 67bb0: 65645f62 75660000 00438f02 2320085f ed_buf...C..# ._ 67bc0: 73776170 5f646174 61000000 43980223 swap_data...C..# 67bd0: 24085f68 61735f63 6f6d706c 5f706163 $._has_compl_pac 67be0: 6b657473 00000043 a5022328 085f6465 kets...C..#(._de 67bf0: 73635f64 756d7000 0000434a 02232c08 sc_dump...CJ.#,. 67c00: 5f676574 5f706163 6b657400 000043b2 _get_packet...C. 67c10: 02233008 5f726563 6c61696d 5f706163 .#0._reclaim_pac 67c20: 6b657400 000043bb 02233408 5f707574 ket...C..#4._put 67c30: 5f706163 6b657400 000043bb 02233808 _packet...C..#8. 67c40: 70526573 65727665 64000000 03f20223 pReserved......# 67c50: 3c00095f 415f636d 6e6f735f 696e6469 <.._A_cmnos_indi 67c60: 72656374 696f6e5f 7461626c 655f7400 rection_table_t. 67c70: 0000306e 09574d49 5f535643 5f415049 ..0n.WMI_SVC_API 67c80: 53000000 40d7175f 415f6d61 67706965 S...@.._A_magpie 67c90: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 67ca0: 6c650003 4c000046 5d08636d 6e6f7300 le..L..F].cmnos. 67cb0: 0000452f 02230008 64626700 000003bf ..E/.#..dbg..... 67cc0: 0323b803 08686966 00000029 390323c0 .#...hif...)9.#. 67cd0: 03086874 63000000 3a8a0323 f8030877 ..htc...:..#...w 67ce0: 6d695f73 76635f61 70690000 00455103 mi_svc_api...EQ. 67cf0: 23ac0408 75736266 69666f5f 61706900 #...usbfifo_api. 67d00: 00003242 0323d804 08627566 5f706f6f ..2B.#...buf_poo 67d10: 6c000000 36eb0323 e4040876 62756600 l...6..#...vbuf. 67d20: 0000144c 03238005 08766465 73630000 ...L.#...vdesc.. 67d30: 00132e03 23940508 616c6c6f 6372616d ....#...allocram 67d40: 00000009 240323a8 0508646d 615f656e ....$.#...dma_en 67d50: 67696e65 00000043 c20323b4 0508646d gine...C..#...dm 67d60: 615f6c69 62000000 2bcd0323 f4050868 a_lib...+..#...h 67d70: 69665f70 63690000 002e2d03 23a80600 if_pci....-.#... 67d80: 06000003 f201095f 415f6d61 67706965 ......._A_magpie 67d90: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 67da0: 6c655f74 00000045 63020102 01020106 le_t...Ec....... 67db0: 000000fd 01075f48 54435f45 4e44504f ......_HTC_ENDPO 67dc0: 494e5400 1c000047 91084372 65646974 INT....G..Credit 67dd0: 73546f52 65747572 6e000000 1b8f0223 sToReturn......# 67de0: 00087053 65727669 63650000 003a5b02 ..pService...:[. 67df0: 23040843 72656469 74526574 75726e54 #..CreditReturnT 67e00: 68726573 68686f6c 64000000 1b8f0223 hreshhold......# 67e10: 08084372 65646974 73436f6e 73756d65 ..CreditsConsume 67e20: 64000000 1b8f0223 0a08436f 6e6e6563 d......#..Connec 67e30: 74696f6e 466c6167 73000000 12d70223 tionFlags......# 67e40: 0c085065 6e64696e 67437265 64697452 ..PendingCreditR 67e50: 65706f72 74730000 0000fd02 23100844 eports......#..D 67e60: 6f776e4c 696e6b50 69706549 44000000 ownLinkPipeID... 67e70: 12c30223 14085570 4c696e6b 50697065 ...#..UpLinkPipe 67e80: 49440000 0012c302 2315084c 61737453 ID......#..LastS 67e90: 65714e75 6d000000 12d70223 16084e65 eqNum......#..Ne 67ea0: 78744372 65646974 53657100 000012d7 xtCreditSeq..... 67eb0: 02231800 09485443 5f454e44 504f494e .#...HTC_ENDPOIN 67ec0: 54000000 46921600 00479102 68000047 T...F....G..h..G 67ed0: b1101500 175f4854 435f434f 4e544558 ....._HTC_CONTEX 67ee0: 540002cc 000049ab 084f5348 616e646c T.....I..OSHandl 67ef0: 65000000 1a2d0223 0008456e 64706f69 e....-.#..Endpoi 67f00: 6e747300 000047a3 02230408 4570486f nts...G..#..EpHo 67f10: 73744e65 65647343 72656469 744d6170 stNeedsCreditMap 67f20: 00000009 090323ec 04084570 43726564 ......#...EpCred 67f30: 69745065 6e64696e 674d6170 00000009 itPendingMap.... 67f40: 090323f0 04084570 52656376 50617573 ..#...EpRecvPaus 67f50: 65644d61 70000000 09090323 f4040843 edMap......#...C 67f60: 75727265 6e744570 496e6465 78000000 urrentEpIndex... 67f70: 39010323 f8040848 5443436f 6e74726f 9..#...HTCContro 67f80: 6c536572 76696365 0000003a 4a0323fc lService...:J.#. 67f90: 04087053 65727669 63654c69 73740000 ..pServiceList.. 67fa0: 003a5b03 23980508 52656376 42756666 .:[.#...RecvBuff 67fb0: 65725369 7a650000 0000fd03 239c0508 erSize......#... 67fc0: 53746174 65466c61 67730000 00090903 StateFlags...... 67fd0: 23a00508 53657475 70436f6d 706c6574 #...SetupComplet 67fe0: 65436200 00003a02 0323a405 08546f74 eCb...:..#...Tot 67ff0: 616c4372 65646974 73000000 00fd0323 alCredits......# 68000: a8050854 6f74616c 43726564 69747341 ...TotalCreditsA 68010: 73736967 6e656400 000000fd 0323ac05 ssigned......#.. 68020: 084e756d 42756666 65727346 6f724372 .NumBuffersForCr 68030: 65646974 52707473 00000000 fd0323b0 editRpts......#. 68040: 05084374 726c4275 66666572 416c6c6f ..CtrlBufferAllo 68050: 6353697a 65000000 00fd0323 b4050870 cSize......#...p 68060: 4374726c 42756666 65720000 0012d003 CtrlBuffer...... 68070: 23b80508 4d617845 7050656e 64696e67 #...MaxEpPending 68080: 43726564 69745270 74730000 0000fd03 CreditRpts...... 68090: 23bc0508 68696648 616e646c 65000000 #...hifHandle... 680a0: 28960323 c0050850 6f6f6c48 616e646c (..#...PoolHandl 680b0: 65000000 36100323 c4050870 52657365 e...6..#...pRese 680c0: 72766564 00000003 f20323c8 05000948 rved......#....H 680d0: 54435f43 4f4e5445 58540000 0047b103 TC_CONTEXT...G.. 680e0: 000049ab 04000201 03000047 a3040006 ..I........G.... 680f0: 0000262e 01094854 435f5245 4144595f ..&...HTC_READY_ 68100: 4d534700 00003327 03000049 d2040009 MSG...3'...I.... 68110: 415f424f 4f4c0000 0000fd02 01030000 A_BOOL.......... 68120: 47910400 02010300 003f5404 00094854 G........?T...HT 68130: 435f4652 414d455f 48445200 0000329c C_FRAME_HDR...2. 68140: 0300004a 0a040009 4854435f 5245434f ...J....HTC_RECO 68150: 52445f48 44520000 00353503 00004a24 RD_HDR...55...J$ 68160: 04000948 54435f43 52454449 545f5245 ...HTC_CREDIT_RE 68170: 504f5254 5f315f31 00000035 86030000 PORT_1_1...5.... 68180: 4a3f0400 02010201 0300003a 8a040002 J?.........:.... 68190: 01020109 4854435f 434f4e4e 4543545f ....HTC_CONNECT_ 681a0: 53455256 4943455f 4d534700 0000338a SERVICE_MSG...3. 681b0: 0300004a 70040002 01094854 435f434f ...Jp.....HTC_CO 681c0: 4e4e4543 545f5345 52564943 455f5245 NNECT_SERVICE_RE 681d0: 53504f4e 53455f4d 53470000 00342103 SPONSE_MSG...4!. 681e0: 00004a96 04000948 54435f43 4f4e4649 ..J....HTC_CONFI 681f0: 475f5049 50455f4d 53470000 0034c203 G_PIPE_MSG...4.. 68200: 00004ac3 04000201 09485443 5f434f4e ..J......HTC_CON 68210: 4649475f 50495045 5f524553 504f4e53 FIG_PIPE_RESPONS 68220: 455f4d53 47000000 34fe0300 004ae504 E_MSG...4....J.. 68230: 00094854 435f554e 4b4e4f57 4e5f4d53 ..HTC_UNKNOWN_MS 68240: 47000000 330e0300 004b0e04 0002011a G...3....K...... 68250: 24013a61 64665f6e 6275665f 7461696c $.:adf_nbuf_tail 68260: 726f6f6d 00000016 02010103 92012002 room.......... . 68270: 9000008e 502c008e 504d0000 4b6a1b24 ....P,..PM..Kj.$ 68280: 013a6275 66000000 262e0152 001a2401 .:buf...&..R..$. 68290: 4a616466 5f6e6275 665f7075 73685f68 Jadf_nbuf_push_h 682a0: 65616400 00001678 01010392 01200290 ead....x..... .. 682b0: 00008e50 50008e50 7900004b b81b2401 ...PP..Py..K..$. 682c0: 4a627566 00000026 2e01521b 24014a73 Jbuf...&..R.$.Js 682d0: 697a6500 00001b2f 0153001a 24015a61 ize..../.S..$.Za 682e0: 64665f6e 6275665f 7075745f 7461696c df_nbuf_put_tail 682f0: 00000016 78010103 92012002 9000008e ....x..... ..... 68300: 507c008e 50a70000 4c051b24 015a6275 P|..P...L..$.Zbu 68310: 66000000 262e0152 1b24015a 73697a65 f...&..R.$.Zsize 68320: 0000001b 2f015300 1a24016a 6164665f ..../.S..$.jadf_ 68330: 6e627566 5f70756c 6c5f6865 61640000 nbuf_pull_head.. 68340: 00167801 01039201 20029000 008e50a8 ..x..... .....P. 68350: 008e50d2 00004c53 1b24016a 62756600 ..P...LS.$.jbuf. 68360: 0000262e 01521b24 016a7369 7a650000 ..&..R.$.jsize.. 68370: 001b2f01 53001a24 01886164 665f6e62 ../.S..$..adf_nb 68380: 75665f6c 656e0000 001b2f01 01039201 uf_len..../..... 68390: 20029000 008e50d4 008e50dc 00004c8c .....P...P...L. 683a0: 1b240188 62756600 0000262e 0152001c .$..buf...&..R.. 683b0: 2401b561 64665f6e 6275665f 7065656b $..adf_nbuf_peek 683c0: 5f686561 64657200 01010392 01200290 _header...... .. 683d0: 00008e50 dc008e50 f100004c e61b2401 ...P...P...L..$. 683e0: b5627566 00000026 2e01521b 2401b561 .buf...&..R.$..a 683f0: 64647200 000024a9 01531b24 01b56c65 ddr...$..S.$..le 68400: 6e000000 24b00154 001a2401 c5616466 n...$..T..$..adf 68410: 5f6e6275 665f6765 745f7072 69760000 _nbuf_get_priv.. 68420: 00167801 01039201 20029000 008e50f4 ..x..... .....P. 68430: 008e50fb 00004d24 1b2401c5 62756600 ..P...M$.$..buf. 68440: 0000262e 0152001d 01504854 435f4173 ..&..R...PHTC_As 68450: 73656d62 6c654275 66666572 73000101 sembleBuffers... 68460: 03920120 02900000 8e50fc00 8e511300 ... .....P...Q.. 68470: 004d7c1e 01507048 54430000 0049bc01 .M|..PpHTC...I.. 68480: 521e0150 436f756e 74000000 00fd0153 R..PCount......S 68490: 1e015053 697a6500 000000fd 0154001f ..PSize......T.. 684a0: 01575f48 54435f49 6e697400 000039f0 .W_HTC_Init...9. 684b0: 01010103 92013002 9000008e 5114008e ......0.....Q... 684c0: 51f40000 4df71e01 57536574 7570436f Q...M...WSetupCo 684d0: 6d706c65 74650000 003a0201 521e0157 mplete...:..R..W 684e0: 70436f6e 66696700 00003a2d 01532070 pConfig...:-.S p 684f0: 48544300 000049bc 21686966 4342436f HTC...I.!hifCBCo 68500: 6e666967 00000028 d5029150 20686f73 nfig...(...P hos 68510: 745f6966 00000005 1f002201 b25f4854 t_if......".._HT 68520: 435f5368 7574646f 776e0001 01010392 C_Shutdown...... 68530: 01200290 00008e51 f4008e51 f900004e . .....Q...Q...N 68540: 3c1e01b2 68746348 616e646c 65000000 <...htcHandle... 68550: 39f00152 20704854 43000000 49bc001d 9..R pHTC...I... 68560: 01b95f48 54435f52 65676973 74657253 .._HTC_RegisterS 68570: 65727669 63650001 01039201 20029000 ervice...... ... 68580: 008e51fc 008e5209 00004e99 1e01b968 ..Q...R...N....h 68590: 74634861 6e646c65 00000039 f001521e tcHandle...9..R. 685a0: 01b97053 65727669 63650000 003a5b01 ..pService...:[. 685b0: 53207048 54430000 0049bc00 1d01c25f S pHTC...I....._ 685c0: 4854435f 52656164 79000101 03920120 HTC_Ready...... 685d0: 02900000 8e520c00 8e525e00 004efd1e .....R...R^..N.. 685e0: 01c26874 6348616e 646c6500 000039f0 ..htcHandle...9. 685f0: 01522070 48544300 000049bc 20704275 .R pHTC...I. pBu 68600: 66666572 00000026 2e206164 64720000 ffer...&. addr.. 68610: 00167820 70526561 64790000 0049e500 ..x pReady...I.. 68620: 1d01de52 65747572 6e427566 66657273 ...ReturnBuffers 68630: 00010103 92012002 9000008e 5260008e ...... .....R`.. 68640: 52dd0000 4f9c1e01 de687463 48616e64 R...O....htcHand 68650: 6c650000 0039f001 521e01de 456e6470 le...9..R...Endp 68660: 6f696e74 49440000 00390101 531e01de ointID...9..S... 68670: 70427566 66657273 00000026 2e01541e pBuffers...&..T. 68680: 01de7365 6e644372 65646974 466c6167 ..sendCreditFlag 68690: 00000049 ec015520 70485443 00000049 ...I..U pHTC...I 686a0: bc206570 43726564 69744d61 736b0000 . epCreditMask.. 686b0: 00090920 6e627566 73000000 1b8f0023 ... nbufs......# 686c0: 0101085f 4854435f 52657475 726e4275 ..._HTC_ReturnBu 686d0: 66666572 734c6973 74000101 01049201 ffersList....... 686e0: c0000290 00008e52 e0008e53 17000050 .......R...S...P 686f0: 2d1b0101 08687463 48616e64 6c650000 -....htcHandle.. 68700: 0039f001 521b0101 08456e64 706f696e .9..R....Endpoin 68710: 74494400 00003901 01531b01 01086275 tID...9..S....bu 68720: 66486561 64000000 27340154 20704854 fHead...'4.T pHT 68730: 43000000 49bc206e 65746275 66000000 C...I. netbuf... 68740: 262e2074 6d704e62 75660000 00262e00 &. tmpNbuf...&.. 68750: 2401011b 5f485443 5f526574 75726e42 $..._HTC_ReturnB 68760: 75666665 72730001 01039201 20029000 uffers...... ... 68770: 008e5318 008e5328 00005096 1b01011b ..S...S(..P..... 68780: 68746348 616e646c 65000000 39f00152 htcHandle...9..R 68790: 1b01011b 456e6470 6f696e74 49440000 ....EndpointID.. 687a0: 00390101 531b0101 1b704275 66666572 .9..S....pBuffer 687b0: 73000000 262e0154 00230101 205f4854 s...&..T.#.. _HT 687c0: 435f5365 6e644d73 67000101 01049201 C_SendMsg....... 687d0: c0000290 00008e53 28008e54 82000051 .......S(..T...Q 687e0: b91b0101 20687463 48616e64 6c650000 .... htcHandle.. 687f0: 0039f001 521b0101 20456e64 706f696e .9..R... Endpoin 68800: 74494400 00003901 01531b01 01207042 tID...9..S... pB 68810: 75666665 72730000 00262e01 54207048 uffers...&..T pH 68820: 54430000 0049bc20 63747800 00004a03 TC...I. ctx...J. 68830: 20746f74 737a0000 0000fd20 70485443 totsz..... pHTC 68840: 48647200 00004a1d 20706970 654d6178 Hdr...J. pipeMax 68850: 4c656e00 000000fd 20726f6f 6d466f72 Len..... roomFor 68860: 50697065 4d61784c 656e0000 00090920 PipeMaxLen..... 68870: 726f6f6d 00000000 fd20746f 74616c52 room..... totalR 68880: 65706f72 74427974 65730000 0000fd20 eportBytes..... 68890: 63726564 69747350 656e6469 6e674d61 creditsPendingMa 688a0: 70000000 09092070 52656348 64720000 p..... pRecHdr.. 688b0: 004a3820 69000000 00fd2063 6f6d7061 .J8 i..... compa 688c0: 72654d61 736b0000 00090920 70437265 reMask..... pCre 688d0: 64697452 70740000 004a5a00 240101ab ditRpt...JZ.$... 688e0: 5f485443 5f506175 73655265 63760001 _HTC_PauseRecv.. 688f0: 01039201 20029000 008e5484 008e5489 .... .....T...T. 68900: 000051f7 1b0101ab 456e6470 6f696e74 ..Q.....Endpoint 68910: 49440000 00390101 52002401 01b65f48 ID...9..R.$..._H 68920: 54435f52 6573756d 65526563 76000101 TC_ResumeRecv... 68930: 03920120 02900000 8e548c00 8e549100 ... .....T...T.. 68940: 0052361b 0101b645 6e64706f 696e7449 .R6....EndpointI 68950: 44000000 39010152 00250101 d45f4854 D...9..R.%..._HT 68960: 435f4765 74526573 65727665 64486561 C_GetReservedHea 68970: 64726f6f 6d000000 00fd0101 03920120 droom.......... 68980: 02900000 8e549400 8e54a700 00528b1b .....T...T...R.. 68990: 0101d468 74634861 6e646c65 00000039 ...htcHandle...9 689a0: f0015220 70485443 00000049 bc002401 ..R pHTC...I..$. 689b0: 01db6874 635f6d6f 64756c65 5f696e73 ..htc_module_ins 689c0: 74616c6c 00010103 92012002 9000008e tall...... ..... 689d0: 54a8008e 54e90000 52c81b01 01db7041 T...T...R.....pA 689e0: 50497300 00004a65 01520024 0101f648 PIs...Je.R.$...H 689f0: 54434672 65654d73 67427566 66657200 TCFreeMsgBuffer. 68a00: 01010392 01200290 00008e54 ec008e55 ..... .....T...U 68a10: 01000053 101b0101 f6704854 43000000 ...S.....pHTC... 68a20: 49bc0152 1b0101f6 62756600 0000262e I..R....buf...&. 68a30: 01530025 0101fd48 5443416c 6c6f634d .S.%...HTCAllocM 68a40: 73674275 66666572 00000026 2e010103 sgBuffer...&.... 68a50: 92012002 9000008e 5504008e 55230000 .. .....U...U#.. 68a60: 534f1b01 01fd7048 54430000 0049bc01 SO....pHTC...I.. 68a70: 52002401 02024854 43436865 636b416e R.$...HTCCheckAn 68a80: 6453656e 64437265 64697452 65706f72 dSendCreditRepor 68a90: 74000101 03920120 02900000 8e552400 t...... .....U$. 68aa0: 8e558200 0053e11b 01020270 48544300 .U...S.....pHTC. 68ab0: 000049bc 01521b01 02024570 4d61736b ..I..R....EpMask 68ac0: 00000009 0901531b 01020270 456e6470 ......S....pEndp 68ad0: 6f696e74 00000049 fa01541b 01020245 oint...I..T....E 68ae0: 69640000 00390101 55207043 72656442 id...9..U pCredB 68af0: 75666665 72000000 262e2063 74780000 uffer...&. ctx.. 68b00: 004a0300 24010244 48544350 726f6365 .J..$..DHTCProce 68b10: 7373436f 6e6e6563 744d7367 00010103 ssConnectMsg.... 68b20: 92013002 9000008e 5584008e 567c0000 ..0.....U...V|.. 68b30: 54b21b01 02447048 54430000 0049bc01 T....DpHTC...I.. 68b40: 521b0102 44704d73 67000000 4a8d0153 R...DpMsg...J..S 68b50: 20705365 72766963 65000000 3a5b2063 pService...:[ c 68b60: 6f6e6e65 63745374 61747573 00000012 onnectStatus.... 68b70: c3216d65 74614461 74614f75 744c656e .!metaDataOutLen 68b80: 00000000 fd029150 20736572 76696365 .......P service 68b90: 49640000 0012d720 70427566 66657200 Id..... pBuffer. 68ba0: 0000262e 20705273 704d7367 0000004a ..&. pRspMsg...J 68bb0: bc20704d 65746144 61746149 4e000000 . pMetaDataIN... 68bc0: 12d02070 4d657461 44617461 4f757400 .. pMetaDataOut. 68bd0: 000012d0 00240102 9c485443 50726f63 .....$...HTCProc 68be0: 65737343 6f6e6669 67506970 654d7367 essConfigPipeMsg 68bf0: 00010103 92012002 9000008e 567c008e ...... .....V|.. 68c00: 56f10000 551c1b01 029c7048 54430000 V...U.....pHTC.. 68c10: 0049bc01 521b0102 9c704d73 67000000 .I..R....pMsg... 68c20: 4adc0153 20704275 66666572 00000026 J..S pBuffer...& 68c30: 2e207052 73704d73 67000000 4b070024 . pRspMsg...K..$ 68c40: 0102c748 5443436f 6e74726f 6c537663 ...HTCControlSvc 68c50: 50726f63 6573734d 73670001 01039201 ProcessMsg...... 68c60: 30029000 008e56f4 008e577a 000055dc 0.....V...Wz..U. 68c70: 1b0102c7 456e6470 6f696e74 49440000 ....EndpointID.. 68c80: 00390101 521b0102 c7686472 5f627566 .9..R....hdr_buf 68c90: 00000026 2e01531b 0102c770 42756666 ...&..S....pBuff 68ca0: 65727300 0000262e 01541b01 02c76172 ers...&..T....ar 68cb0: 67000000 03f20155 20736574 7570436f g......U setupCo 68cc0: 6d706c65 74650000 0049ec20 70485443 mplete...I. pHTC 68cd0: 00000049 bc21616e 62646174 61000000 ...I.!anbdata... 68ce0: 16780291 5021616e 626c656e 00000016 .x..P!anblen.... 68cf0: 02029154 20704d73 67000000 4b230024 ...T pMsg...K#.$ 68d00: 01030448 5443436f 6e74726f 6c537663 ...HTCControlSvc 68d10: 50726f63 65737353 656e6443 6f6d706c ProcessSendCompl 68d20: 65746500 01010392 01200290 00008e57 ete...... .....W 68d30: 7c008e57 bb000056 771b0103 04456e64 |..W...Vw....End 68d40: 706f696e 74494400 00003901 01521b01 pointID...9..R.. 68d50: 03047042 75666665 72730000 00262e01 ..pBuffers...&.. 68d60: 531b0103 04617267 00000003 f2015420 S....arg......T 68d70: 70485443 00000049 bc206374 78000000 pHTC...I. ctx... 68d80: 4a032063 72656469 74527074 456e6470 J. creditRptEndp 68d90: 6f696e74 00000039 01002401 03344854 oint...9..$..4HT 68da0: 4353656e 64446f6e 6548616e 646c6572 CSendDoneHandler 68db0: 00010103 92012002 9000008e 57bc008e ...... .....W... 68dc0: 57e30000 56e81b01 03346275 66000000 W...V....4buf... 68dd0: 262e0152 1b010334 636f6e74 65787400 &..R...4context. 68de0: 000003f2 01532070 48544300 000049bc .....S pHTC...I. 68df0: 20637478 0000004a 03206375 7272656e ctx...J. curren 68e00: 745f6569 64000000 12c30024 01038141 t_eid......$...A 68e10: 646a7573 74437265 64697454 68726573 djustCreditThres 68e20: 686f6c64 00010103 92012002 9000008e hold...... ..... 68e30: 57e4008e 58110000 57441b01 03817045 W...X...WD....pE 68e40: 6e64706f 696e7400 000049fa 01522063 ndpoint...I..R c 68e50: 72656469 74734f75 74737461 6e64696e reditsOutstandin 68e60: 67000000 1b8f0024 01039a52 65646973 g......$...Redis 68e70: 74726962 75746543 72656469 74000101 tributeCredit... 68e80: 03920120 02900000 8e581400 8e581900 ... .....X...X.. 68e90: 0057921b 01039a62 75660000 00262e01 .W.....buf...&.. 68ea0: 521b0103 9a746f50 69706549 64000000 R....toPipeId... 68eb0: 00fd0153 00260103 a0485443 4d736752 ...S.&...HTCMsgR 68ec0: 65637648 616e646c 65720001 01049201 ecvHandler...... 68ed0: c0000290 00008e58 1c008e59 1b1b0103 .......X...Y.... 68ee0: a0686472 5f627566 00000026 2e01521b .hdr_buf...&..R. 68ef0: 0103a062 75666665 72000000 262e0153 ...buffer...&..S 68f00: 1b0103a0 636f6e74 65787400 000003f2 ....context..... 68f10: 01542070 48544300 000049bc 20736571 .T pHTC...I. seq 68f20: 64696666 00000016 0220486f 73745365 diff..... HostSe 68f30: 714e756d 00000016 0220746d 705f6e62 qNum..... tmp_nb 68f40: 75660000 00262e21 616e6264 61746100 uf...&.!anbdata. 68f50: 00001678 02914021 616e626c 656e0000 ...x..@!anblen.. 68f60: 00160202 91442070 48544348 64720000 .....D pHTCHdr.. 68f70: 004a1d20 746f7473 7a000000 12d72065 .J. totsz..... e 68f80: 69640000 0000fd20 70456e64 706f696e id..... pEndpoin 68f90: 74000000 49fa2065 69644d61 736b0000 t...I. eidMask.. 68fa0: 00090920 6c656e00 00001602 20507265 ... len..... Pre 68fb0: 73656e74 63726564 69747300 00001602 sentcredits..... 68fc0: 00000000 004c2b00 02000026 1b04012f .....L+....&.../ 68fd0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 68fe0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 68ff0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 69000: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 69010: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 69020: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 69030: 5f656e67 696e652f 7372632f 646d615f _engine/src/dma_ 69040: 656e6769 6e652e63 002f726f 6f742f57 engine.c./root/W 69050: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 69060: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 69070: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 69080: 656e6769 6e650078 742d7863 6320666f engine.xt-xcc fo 69090: 7220372e 312e3020 2d4f5054 3a616c69 r 7.1.0 -OPT:ali 690a0: 676e5f69 6e737472 75637469 6f6e733d gn_instructions= 690b0: 3332202d 4f32202d 6733202d 4f50543a 32 -O2 -g3 -OPT: 690c0: 73706163 65000100 00017927 025f5644 space.....y'._VD 690d0: 45534300 24000001 95036e65 78745f64 ESC.$.....next_d 690e0: 65736300 00000195 02230003 6275665f esc......#..buf_ 690f0: 61646472 00000001 ba022304 03627566 addr......#..buf 69100: 5f73697a 65000000 01d70223 08036461 _size......#..da 69110: 74615f6f 66667365 74000000 01d70223 ta_offset......# 69120: 0a036461 74615f73 697a6500 000001d7 ..data_size..... 69130: 02230c03 636f6e74 726f6c00 000001d7 .#..control..... 69140: 02230e03 68775f64 6573635f 62756600 .#..hw_desc_buf. 69150: 000001e5 02231000 04000001 09040005 .....#.......... 69160: 756e7369 676e6564 20636861 72000701 unsigned char... 69170: 06415f55 494e5438 00000001 9c040000 .A_UINT8........ 69180: 01ad0400 0573686f 72742075 6e736967 .....short unsig 69190: 6e656420 696e7400 07020641 5f55494e ned int....A_UIN 691a0: 54313600 000001c1 07000001 ad140000 T16............. 691b0: 01f20813 00040000 01090400 05696e74 .............int 691c0: 00050409 01040000 02000400 06564445 .............VDE 691d0: 53430000 00010904 00000209 04000a00 SC.............. 691e0: 00021401 04000002 1b04000a 000001ba ................ 691f0: 01040000 02280400 09010400 00023504 .....(........5. 69200: 000b0400 02766465 73635f61 70690014 .....vdesc_api.. 69210: 000002b0 035f696e 69740000 00020202 ....._init...... 69220: 2300035f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. 69230: 00000221 02230403 5f676574 5f68775f ...!.#.._get_hw_ 69240: 64657363 00000002 2e022308 035f7377 desc......#.._sw 69250: 61705f76 64657363 00000002 3702230c ap_vdesc....7.#. 69260: 03705265 73657276 65640000 00023e02 .pReserved....>. 69270: 23100002 5f564255 46002000 00031003 #..._VBUF. ..... 69280: 64657363 5f6c6973 74000000 02140223 desc_list......# 69290: 00036e65 78745f62 75660000 00031002 ..next_buf...... 692a0: 23040362 75665f6c 656e6774 68000000 #..buf_length... 692b0: 01d70223 08037265 73657276 65640000 ...#..reserved.. 692c0: 00031702 230a0363 74780000 0001e502 ....#..ctx...... 692d0: 230c0004 000002b0 04000700 0001ad02 #............... 692e0: 00000324 08010004 000002b0 04000656 ...$...........V 692f0: 42554600 000002b0 04000003 2b04000a BUF.........+... 69300: 00000335 01040000 033c0400 0a000003 ...5.....<...... 69310: 35010400 00034904 00090104 00000356 5.....I........V 69320: 04000276 6275665f 61706900 14000003 ...vbuf_api..... 69330: d4035f69 6e697400 00000202 02230003 .._init......#.. 69340: 5f616c6c 6f635f76 62756600 00000342 _alloc_vbuf....B 69350: 02230403 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 69360: 77697468 5f73697a 65000000 034f0223 with_size....O.# 69370: 08035f66 7265655f 76627566 00000003 .._free_vbuf.... 69380: 5802230c 03705265 73657276 65640000 X.#..pReserved.. 69390: 00023e02 23100002 7a73446d 61446573 ..>.#...zsDmaDes 693a0: 63001400 00045603 6374726c 00000001 c.....V.ctrl.... 693b0: c1022300 03737461 74757300 000001c1 ..#..status..... 693c0: 02230203 746f7461 6c4c656e 00000001 .#..totalLen.... 693d0: c1022304 03646174 6153697a 65000000 ..#..dataSize... 693e0: 01c10223 06036c61 73744164 64720000 ...#..lastAddr.. 693f0: 00045602 23080364 61746141 64647200 ..V.#..dataAddr. 69400: 0000045d 02230c03 6e657874 41646472 ...].#..nextAddr 69410: 00000004 56022310 00040000 03d40400 ....V.#......... 69420: 056c6f6e 6720756e 7369676e 65642069 .long unsigned i 69430: 6e740007 04040000 03d40400 027a7344 nt...........zsD 69440: 6d615175 65756500 08000004 ab036865 maQueue.......he 69450: 61640000 00047202 23000374 65726d69 ad....r.#..termi 69460: 6e61746f 72000000 04720223 0400027a nator....r.#...z 69470: 73547844 6d615175 65756500 10000005 sTxDmaQueue..... 69480: 0f036865 61640000 00047202 23000374 ..head....r.#..t 69490: 65726d69 6e61746f 72000000 04720223 erminator....r.# 694a0: 0403786d 69746564 5f627566 5f686561 ..xmited_buf_hea 694b0: 64000000 03350223 0803786d 69746564 d....5.#..xmited 694c0: 5f627566 5f746169 6c000000 03350223 _buf_tail....5.# 694d0: 0c000901 04000005 0f040004 00000479 ...............y 694e0: 04000901 04000005 1f040004 000004ab ................ 694f0: 04000901 04000005 2f040009 01040000 ......../....... 69500: 05380400 09010400 00054104 000a0000 .8........A..... 69510: 03350104 0000054a 04000901 04000005 .5.....J........ 69520: 5704000a 00000335 01040000 05600400 W......5.....`.. 69530: 09010400 00056d04 000a0000 01f90104 ......m......... 69540: 00000576 04000a00 00047201 04000005 ...v......r..... 69550: 83040009 01040000 05900400 02646d61 .............dma 69560: 5f656e67 696e655f 61706900 40000007 _engine_api.@... 69570: 06035f69 6e697400 00000511 02230003 .._init......#.. 69580: 5f696e69 745f7278 5f717565 75650000 _init_rx_queue.. 69590: 00052102 2304035f 696e6974 5f74785f ..!.#.._init_tx_ 695a0: 71756575 65000000 05310223 08035f63 queue....1.#.._c 695b0: 6f6e6669 675f7278 5f717565 75650000 onfig_rx_queue.. 695c0: 00053a02 230c035f 786d6974 5f627566 ..:.#.._xmit_buf 695d0: 00000005 43022310 035f666c 7573685f ....C.#.._flush_ 695e0: 786d6974 00000005 21022314 035f7265 xmit....!.#.._re 695f0: 61705f72 6563765f 62756600 00000550 ap_recv_buf....P 69600: 02231803 5f726574 75726e5f 72656376 .#.._return_recv 69610: 5f627566 00000005 5902231c 035f7265 _buf....Y.#.._re 69620: 61705f78 6d697465 645f6275 66000000 ap_xmited_buf... 69630: 05660223 20035f73 7761705f 64617461 .f.# ._swap_data 69640: 00000005 6f022324 035f6861 735f636f ....o.#$._has_co 69650: 6d706c5f 7061636b 65747300 0000057c mpl_packets....| 69660: 02232803 5f646573 635f6475 6d700000 .#(._desc_dump.. 69670: 00052102 232c035f 6765745f 7061636b ..!.#,._get_pack 69680: 65740000 00058902 2330035f 7265636c et......#0._recl 69690: 61696d5f 7061636b 65740000 00059202 aim_packet...... 696a0: 2334035f 7075745f 7061636b 65740000 #4._put_packet.. 696b0: 00059202 23380370 52657365 72766564 ....#8.pReserved 696c0: 00000002 3e02233c 00090104 00000706 ....>.#<........ 696d0: 04000563 68617200 07010c00 00070f0c ...char......... 696e0: 0000070f 04000007 1c04000a 000001f9 ................ 696f0: 01040000 07280400 02707269 6e74665f .....(...printf_ 69700: 61706900 08000007 6c035f70 72696e74 api.....l._print 69710: 665f696e 69740000 00070802 2300035f f_init......#.._ 69720: 7072696e 74660000 00072e02 23040006 printf......#... 69730: 75696e74 31365f74 00000001 c1067569 uint16_t......ui 69740: 6e743332 5f740000 00045d02 75617274 nt32_t....].uart 69750: 5f666966 6f000800 0007d303 73746172 _fifo.......star 69760: 745f696e 64657800 0000076c 02230003 t_index....l.#.. 69770: 656e645f 696e6465 78000000 076c0223 end_index....l.# 69780: 02036f76 65727275 6e5f6572 72000000 ..overrun_err... 69790: 077a0223 04000275 6172745f 61706900 .z.#...uart_api. 697a0: 20000008 8c035f75 6172745f 696e6974 ....._uart_init 697b0: 00000008 e3022300 035f7561 72745f63 ......#.._uart_c 697c0: 6861725f 70757400 000008f9 02230403 har_put......#.. 697d0: 5f756172 745f6368 61725f67 65740000 _uart_char_get.. 697e0: 00090d02 2308035f 75617274 5f737472 ....#.._uart_str 697f0: 5f6f7574 00000009 1602230c 035f7561 _out......#.._ua 69800: 72745f74 61736b00 00000708 02231003 rt_task......#.. 69810: 5f756172 745f7374 61747573 00000008 _uart_status.... 69820: e3022314 035f7561 72745f63 6f6e6669 ..#.._uart_confi 69830: 67000000 091f0223 18035f75 6172745f g......#.._uart_ 69840: 6877696e 69740000 00092802 231c0004 hwinit....(.#... 69850: 000007d3 04000275 6172745f 626c6b00 .......uart_blk. 69860: 10000008 dd036465 6275675f 6d6f6465 ......debug_mode 69870: 00000007 6c022300 03626175 64000000 ....l.#..baud... 69880: 076c0223 02035f75 61727400 0000088c .l.#.._uart..... 69890: 02230403 5f747800 00000788 02230800 .#.._tx......#.. 698a0: 0a000007 7a010400 0008dd04 00067569 ....z.........ui 698b0: 6e74385f 74000000 019c0901 04000008 nt8_t........... 698c0: f7040004 000008ea 04000a00 00076c01 ..............l. 698d0: 04000009 07040009 01040000 09140400 ................ 698e0: 09010400 00091d04 00090104 00000926 ...............& 698f0: 04000400 00070f04 000a0000 01f90104 ................ 69900: 00000936 04000244 425f434f 4d4d414e ...6...DB_COMMAN 69910: 445f5354 52554354 000c0000 098e0363 D_STRUCT.......c 69920: 6d645f73 74720000 00092f02 23000368 md_str..../.#..h 69930: 656c705f 73747200 0000092f 02230403 elp_str..../.#.. 69940: 636d645f 66756e63 00000009 3c022308 cmd_func....<.#. 69950: 00026462 675f6170 69000800 0009c103 ..dbg_api....... 69960: 5f646267 5f696e69 74000000 07080223 _dbg_init......# 69970: 00035f64 62675f74 61736b00 00000708 .._dbg_task..... 69980: 02230400 05756e73 69676e65 6420696e .#...unsigned in 69990: 74000704 0a000002 3e010400 0009d104 t.......>....... 699a0: 000d0d04 000009df 04000a00 00023e01 ..............>. 699b0: 04000009 e704000a 000001f9 01040000 ................ 699c0: 09f40400 026d656d 5f617069 00140000 .....mem_api.... 699d0: 0a63035f 6d656d5f 696e6974 00000007 .c._mem_init.... 699e0: 08022300 035f6d65 6d736574 00000009 ..#.._memset.... 699f0: d7022304 035f6d65 6d637079 00000009 ..#.._memcpy.... 69a00: ed022308 035f6d65 6d6d6f76 65000000 ..#.._memmove... 69a10: 09ed0223 0c035f6d 656d636d 70000000 ...#.._memcmp... 69a20: 09fa0223 10000e72 65676973 7465725f ...#...register_ 69a30: 64756d70 5f730000 01040000 0a630400 dump_s.......c.. 69a40: 09010400 000a7d04 00090104 00000a86 ......}......... 69a50: 04000a00 0001f901 0400000a 8f04000f ................ 69a60: 686f7374 69665f73 00040000 0aeb1048 hostif_s.......H 69a70: 49465f55 53420000 10484946 5f504349 IF_USB...HIF_PCI 69a80: 45000110 4849465f 474d4143 00021048 E...HIF_GMAC...H 69a90: 49465f50 43490003 10484946 5f4e554d IF_PCI...HIF_NUM 69aa0: 00041048 49465f4e 4f4e4500 05000641 ...HIF_NONE....A 69ab0: 5f484f53 54494600 00000a9c 0a00000a _HOSTIF......... 69ac0: eb010400 000af904 000a0000 08ea0104 ................ 69ad0: 00000b06 04000a00 00076c01 0400000b ..........l..... 69ae0: 13040002 6d697363 5f617069 00240000 ....misc_api.$.. 69af0: 0c03035f 73797374 656d5f72 65736574 ..._system_reset 69b00: 00000007 08022300 035f6d61 635f7265 ......#.._mac_re 69b10: 73657400 00000708 02230403 5f617373 set......#.._ass 69b20: 6661696c 0000000a 7f022308 035f6d69 fail......#.._mi 69b30: 73616c69 676e6564 5f6c6f61 645f6861 saligned_load_ha 69b40: 6e646c65 72000000 0a7f0223 0c035f72 ndler......#.._r 69b50: 65706f72 745f6661 696c7572 655f746f eport_failure_to 69b60: 5f686f73 74000000 0a880223 10035f74 _host......#.._t 69b70: 61726765 745f6964 5f676574 0000000a arget_id_get.... 69b80: 95022314 035f6973 5f686f73 745f7072 ..#.._is_host_pr 69b90: 6573656e 74000000 0aff0223 18035f6b esent......#.._k 69ba0: 62686974 0000000b 0c02231c 035f726f bhit......#.._ro 69bb0: 6d5f7665 7273696f 6e5f6765 74000000 m_version_get... 69bc0: 0b190223 20000a00 00092f01 0400000c ...# ...../..... 69bd0: 0304000a 0000092f 01040000 0c100400 ......./........ 69be0: 0a000001 f9010400 000c1d04 000a0000 ................ 69bf0: 01f90104 00000c2a 04000a00 0001f901 .......*........ 69c00: 0400000c 37040002 73747269 6e675f61 ....7...string_a 69c10: 70690018 00000cbd 035f7374 72696e67 pi......._string 69c20: 5f696e69 74000000 07080223 00035f73 _init......#.._s 69c30: 74726370 79000000 0c090223 04035f73 trcpy......#.._s 69c40: 74726e63 70790000 000c1602 2308035f trncpy......#.._ 69c50: 7374726c 656e0000 000c2302 230c035f strlen....#.#.._ 69c60: 73747263 6d700000 000c3002 2310035f strcmp....0.#.._ 69c70: 7374726e 636d7000 00000c3d 02231400 strncmp....=.#.. 69c80: 07000009 c1140000 0cca0804 00065f41 .............._A 69c90: 5f54494d 45525f53 50414345 0000000c _TIMER_SPACE.... 69ca0: bd06415f 74696d65 725f7400 00000cca ..A_timer_t..... 69cb0: 0400000c de040009 01040000 0cf40400 ................ 69cc0: 09010400 000cfd04 0006415f 48414e44 ..........A_HAND 69cd0: 4c450000 0009c109 0106415f 54494d45 LE........A_TIME 69ce0: 525f4655 4e430000 000d1404 00000d16 R_FUNC.......... 69cf0: 04000901 0400000d 2f040002 74696d65 ......../...time 69d00: 725f6170 69001400 000dae03 5f74696d r_api......._tim 69d10: 65725f69 6e697400 00000708 02230003 er_init......#.. 69d20: 5f74696d 65725f61 726d0000 000cf602 _timer_arm...... 69d30: 2304035f 74696d65 725f6469 7361726d #.._timer_disarm 69d40: 0000000c ff022308 035f7469 6d65725f ......#.._timer_ 69d50: 73657466 6e000000 0d310223 0c035f74 setfn....1.#.._t 69d60: 696d6572 5f72756e 00000007 08022310 imer_run......#. 69d70: 0006424f 4f4c4541 4e000000 076c0a00 ..BOOLEAN....l.. 69d80: 000dae01 0400000d bb04000a 00000dae ................ 69d90: 01040000 0dc80400 0a00000d ae010400 ................ 69da0: 000dd504 0002726f 6d705f61 70690010 ......romp_api.. 69db0: 00000e47 035f726f 6d705f69 6e697400 ...G._romp_init. 69dc0: 00000708 02230003 5f726f6d 705f646f .....#.._romp_do 69dd0: 776e6c6f 61640000 000dc102 2304035f wnload......#.._ 69de0: 726f6d70 5f696e73 74616c6c 0000000d romp_install.... 69df0: ce022308 035f726f 6d705f64 65636f64 ..#.._romp_decod 69e00: 65000000 0ddb0223 0c000272 6f6d5f70 e......#...rom_p 69e10: 61746368 5f737400 1000000e a3036372 atch_st.......cr 69e20: 63313600 0000076c 02230003 6c656e00 c16....l.#..len. 69e30: 0000076c 02230203 6c645f61 64647200 ...l.#..ld_addr. 69e40: 0000077a 02230403 66756e5f 61646472 ...z.#..fun_addr 69e50: 00000007 7a022308 03706675 6e000000 ....z.#..pfun... 69e60: 09000223 0c000265 65705f72 65646972 ...#...eep_redir 69e70: 5f616464 72000400 000ed503 6f666673 _addr.......offs 69e80: 65740000 00076c02 23000373 697a6500 et....l.#..size. 69e90: 0000076c 02230200 06415f55 494e5433 ...l.#...A_UINT3 69ea0: 32000000 09c10a00 00023e01 0400000e 2.........>..... 69eb0: e3040002 616c6c6f 6372616d 5f617069 ....allocram_api 69ec0: 000c0000 0f540363 6d6e6f73 5f616c6c .....T.cmnos_all 69ed0: 6f637261 6d5f696e 69740000 000ee902 ocram_init...... 69ee0: 23000363 6d6e6f73 5f616c6c 6f637261 #..cmnos_allocra 69ef0: 6d000000 0ee90223 0403636d 6e6f735f m......#..cmnos_ 69f00: 616c6c6f 6372616d 5f646562 75670000 allocram_debug.. 69f10: 00070802 23080009 01040000 0f540400 ....#........T.. 69f20: 06415f54 41534b4c 45545f46 554e4300 .A_TASKLET_FUNC. 69f30: 00000f56 025f7461 736b6c65 74001000 ...V._tasklet... 69f40: 000fb503 66756e63 0000000f 5d022300 ....func....].#. 69f50: 03617267 00000002 3e022304 03737461 .arg....>.#..sta 69f60: 74650000 0001f902 2308036e 65787400 te......#..next. 69f70: 00000fb5 02230c00 0400000f 71040004 .....#......q... 69f80: 00000f71 04000641 5f746173 6b6c6574 ...q...A_tasklet 69f90: 5f740000 000f7104 00000fc3 04000901 _t....q......... 69fa0: 0400000f db040009 01040000 0fe40400 ................ 69fb0: 02746173 6b6c6574 5f617069 00140000 .tasklet_api.... 69fc0: 1079035f 7461736b 6c65745f 696e6974 .y._tasklet_init 69fd0: 00000007 08022300 035f7461 736b6c65 ......#.._taskle 69fe0: 745f696e 69745f74 61736b00 00000fdd t_init_task..... 69ff0: 02230403 5f746173 6b6c6574 5f646973 .#.._tasklet_dis 6a000: 61626c65 0000000f e6022308 035f7461 able......#.._ta 6a010: 736b6c65 745f7363 68656475 6c650000 sklet_schedule.. 6a020: 000fe602 230c035f 7461736b 6c65745f ....#.._tasklet_ 6a030: 72756e00 00000708 02231000 09010400 run......#...... 6a040: 00107904 000a0000 0ed50104 00001082 ..y............. 6a050: 04000263 6c6f636b 5f617069 00240000 ...clock_api.$.. 6a060: 1168035f 636c6f63 6b5f696e 69740000 .h._clock_init.. 6a070: 00107b02 2300035f 636c6f63 6b726567 ..{.#.._clockreg 6a080: 735f696e 69740000 00070802 2304035f s_init......#.._ 6a090: 75617274 5f667265 7175656e 63790000 uart_frequency.. 6a0a0: 00108802 2308035f 64656c61 795f7573 ....#.._delay_us 6a0b0: 00000002 0202230c 035f776c 616e5f62 ......#.._wlan_b 6a0c0: 616e645f 73657400 00000202 02231003 and_set......#.. 6a0d0: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge 6a0e0: 74000000 10880223 14035f6d 696c6c69 t......#.._milli 6a0f0: 7365636f 6e647300 00001088 02231803 seconds......#.. 6a100: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. 6a110: 00070802 231c035f 636c6f63 6b5f7469 ....#.._clock_ti 6a120: 636b0000 00070802 2320000a 0000077a ck......# .....z 6a130: 01040000 11680400 06415f6f 6c645f69 .....h...A_old_i 6a140: 6e74725f 74000000 077a0a00 00117501 ntr_t....z....u. 6a150: 04000011 87040009 01040000 11940400 ................ 6a160: 09010400 00119d04 000a0000 077a0104 .............z.. 6a170: 000011a6 04000641 5f697372 5f740000 .......A_isr_t.. 6a180: 0011ac09 01040000 11c00400 0a000009 ................ 6a190: c1010400 0011c904 00090104 000011d6 ................ 6a1a0: 04000269 6e74725f 61706900 2c000012 ...intr_api.,... 6a1b0: f8035f69 6e74725f 696e6974 00000007 .._intr_init.... 6a1c0: 08022300 035f696e 74725f69 6e766f6b ..#.._intr_invok 6a1d0: 655f6973 72000000 116e0223 04035f69 e_isr....n.#.._i 6a1e0: 6e74725f 64697361 626c6500 0000118d ntr_disable..... 6a1f0: 02230803 5f696e74 725f7265 73746f72 .#.._intr_restor 6a200: 65000000 11960223 0c035f69 6e74725f e......#.._intr_ 6a210: 6d61736b 5f696e75 6d000000 119f0223 mask_inum......# 6a220: 10035f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i 6a230: 6e756d00 0000119f 02231403 5f696e74 num......#.._int 6a240: 725f6174 74616368 5f697372 00000011 r_attach_isr.... 6a250: c2022318 035f6765 745f696e 7472656e ..#.._get_intren 6a260: 61626c65 00000011 cf02231c 035f7365 able......#.._se 6a270: 745f696e 7472656e 61626c65 00000011 t_intrenable.... 6a280: d8022320 035f6765 745f696e 74727065 ..# ._get_intrpe 6a290: 6e64696e 67000000 11cf0223 24035f75 nding......#$._u 6a2a0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 6a2b0: 766c0000 00070802 23280011 04000013 vl......#(...... 6a2c0: 1e037469 6d656f75 74000000 077a0223 ..timeout....z.# 6a2d0: 00036163 74696f6e 00000007 7a022300 ..action....z.#. 6a2e0: 00120800 00133903 636d6400 0000077a ......9.cmd....z 6a2f0: 02230013 000012f8 02230400 06545f57 .#.......#...T_W 6a300: 44545f43 4d440000 00131e09 01040000 DT_CMD.......... 6a310: 13480400 14040000 139e1045 4e554d5f .H.........ENUM_ 6a320: 5744545f 424f4f54 00011045 4e554d5f WDT_BOOT...ENUM_ 6a330: 434f4c44 5f424f4f 54000210 454e554d COLD_BOOT...ENUM 6a340: 5f535553 505f424f 4f540003 10454e55 _SUSP_BOOT...ENU 6a350: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. 6a360: 0006545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... 6a370: 13510a00 00139e01 04000013 af040002 .Q.............. 6a380: 7764745f 61706900 1c000014 53035f77 wdt_api.....S._w 6a390: 64745f69 6e697400 00000708 02230003 dt_init......#.. 6a3a0: 5f776474 5f656e61 626c6500 00000708 _wdt_enable..... 6a3b0: 02230403 5f776474 5f646973 61626c65 .#.._wdt_disable 6a3c0: 00000007 08022308 035f7764 745f7365 ......#.._wdt_se 6a3d0: 74000000 134a0223 0c035f77 64745f74 t....J.#.._wdt_t 6a3e0: 61736b00 00000708 02231003 5f776474 ask......#.._wdt 6a3f0: 5f726573 65740000 00070802 2314035f _reset......#.._ 6a400: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... 6a410: 13b50223 18001404 000014ba 10524554 ...#.........RET 6a420: 5f535543 43455353 00001052 45545f4e _SUCCESS...RET_N 6a430: 4f545f49 4e495400 01105245 545f4e4f OT_INIT...RET_NO 6a440: 545f4558 49535400 02105245 545f4545 T_EXIST...RET_EE 6a450: 505f434f 52525550 54000310 5245545f P_CORRUPT...RET_ 6a460: 4545505f 4f564552 464c4f57 00041052 EEP_OVERFLOW...R 6a470: 45545f55 4e4b4e4f 574e0005 0006545f ET_UNKNOWN....T_ 6a480: 4545505f 52455400 00001453 04000007 EEP_RET....S.... 6a490: 6c04000a 000014ba 01040000 14d00400 l............... 6a4a0: 0a000014 ba010400 0014dd04 00026565 ..............ee 6a4b0: 705f6170 69001000 00154603 5f656570 p_api.....F._eep 6a4c0: 5f696e69 74000000 07080223 00035f65 _init......#.._e 6a4d0: 65705f72 65616400 000014d6 02230403 ep_read......#.. 6a4e0: 5f656570 5f777269 74650000 0014d602 _eep_write...... 6a4f0: 2308035f 6565705f 69735f65 78697374 #.._eep_is_exist 6a500: 00000014 e302230c 00027573 625f6170 ......#...usb_ap 6a510: 69007000 0017f303 5f757362 5f696e69 i.p....._usb_ini 6a520: 74000000 07080223 00035f75 73625f72 t......#.._usb_r 6a530: 6f6d5f74 61736b00 00000708 02230403 om_task......#.. 6a540: 5f757362 5f66775f 7461736b 00000007 _usb_fw_task.... 6a550: 08022308 035f7573 625f696e 69745f70 ..#.._usb_init_p 6a560: 68790000 00070802 230c035f 7573625f hy......#.._usb_ 6a570: 6570305f 73657475 70000000 07080223 ep0_setup......# 6a580: 10035f75 73625f65 70305f74 78000000 .._usb_ep0_tx... 6a590: 07080223 14035f75 73625f65 70305f72 ...#.._usb_ep0_r 6a5a0: 78000000 07080223 18035f75 73625f67 x......#.._usb_g 6a5b0: 65745f69 6e746572 66616365 0000000d et_interface.... 6a5c0: ce02231c 035f7573 625f7365 745f696e ..#.._usb_set_in 6a5d0: 74657266 61636500 00000dce 02232003 terface......# . 6a5e0: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu 6a5f0: 72617469 6f6e0000 000dce02 2324035f ration......#$._ 6a600: 7573625f 7365745f 636f6e66 69677572 usb_set_configur 6a610: 6174696f 6e000000 0dce0223 28035f75 ation......#(._u 6a620: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. 6a630: 00000dce 02232c03 5f757362 5f76656e .....#,._usb_ven 6a640: 646f725f 636d6400 00000708 02233003 dor_cmd......#0. 6a650: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. 6a660: 00070802 2334035f 7573625f 72657365 ....#4._usb_rese 6a670: 745f6669 666f0000 00070802 2338035f t_fifo......#8._ 6a680: 7573625f 67656e5f 77647400 00000708 usb_gen_wdt..... 6a690: 02233c03 5f757362 5f6a756d 705f626f .#<._usb_jump_bo 6a6a0: 6f740000 00070802 2340035f 7573625f ot......#@._usb_ 6a6b0: 636c725f 66656174 75726500 00000dce clr_feature..... 6a6c0: 02234403 5f757362 5f736574 5f666561 .#D._usb_set_fea 6a6d0: 74757265 0000000d ce022348 035f7573 ture......#H._us 6a6e0: 625f7365 745f6164 64726573 73000000 b_set_address... 6a6f0: 0dce0223 4c035f75 73625f67 65745f64 ...#L._usb_get_d 6a700: 65736372 6970746f 72000000 0dce0223 escriptor......# 6a710: 50035f75 73625f67 65745f73 74617475 P._usb_get_statu 6a720: 73000000 0dce0223 54035f75 73625f73 s......#T._usb_s 6a730: 65747570 5f646573 63000000 07080223 etup_desc......# 6a740: 58035f75 73625f72 65675f6f 75740000 X._usb_reg_out.. 6a750: 00070802 235c035f 7573625f 73746174 ....#\._usb_stat 6a760: 75735f69 6e000000 07080223 60035f75 us_in......#`._u 6a770: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. 6a780: 00070802 2364035f 7573625f 6570305f ....#d._usb_ep0_ 6a790: 72785f64 61746100 00000708 02236803 rx_data......#h. 6a7a0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... 6a7b0: 07080223 6c00025f 5f616466 5f646576 ...#l..__adf_dev 6a7c0: 69636500 04000018 15036475 6d6d7900 ice.......dummy. 6a7d0: 000001f9 02230000 0400000e d5040002 .....#.......... 6a7e0: 5f5f6164 665f646d 615f6d61 70000c00 __adf_dma_map... 6a7f0: 00185c03 62756600 00000335 02230003 ..\.buf....5.#.. 6a800: 64735f61 64647200 00001815 02230403 ds_addr......#.. 6a810: 64735f6c 656e0000 0001d702 23080012 ds_len......#... 6a820: 0c000018 96035f5f 76615f73 746b0000 ......__va_stk.. 6a830: 00092f02 2300035f 5f76615f 72656700 ../.#..__va_reg. 6a840: 0000092f 02230403 5f5f7661 5f6e6478 .../.#..__va_ndx 6a850: 00000001 f9022308 00065f5f 6164665f ......#...__adf_ 6a860: 6f735f64 6d615f61 6464725f 74000000 os_dma_addr_t... 6a870: 0ed50661 64665f6f 735f646d 615f6164 ...adf_os_dma_ad 6a880: 64725f74 00000018 96065f5f 6164665f dr_t......__adf_ 6a890: 6f735f64 6d615f73 697a655f 74000000 os_dma_size_t... 6a8a0: 0ed50661 64665f6f 735f646d 615f7369 ...adf_os_dma_si 6a8b0: 7a655f74 00000018 c6025f5f 646d615f ze_t......__dma_ 6a8c0: 73656773 00080000 19220370 61646472 segs.....".paddr 6a8d0: 00000018 af022300 036c656e 00000018 ......#..len.... 6a8e0: df022304 00065f5f 615f7569 6e743332 ..#...__a_uint32 6a8f0: 5f740000 000ed506 615f7569 6e743332 _t......a_uint32 6a900: 5f740000 00192207 000018f6 08000019 _t...."......... 6a910: 51080000 02616466 5f6f735f 646d616d Q....adf_os_dmam 6a920: 61705f69 6e666f00 0c000019 8a036e73 ap_info.......ns 6a930: 65677300 00001934 02230003 646d615f egs....4.#..dma_ 6a940: 73656773 00000019 44022304 00065f5f segs....D.#...__ 6a950: 615f7569 6e74385f 74000000 01ad0661 a_uint8_t......a 6a960: 5f75696e 74385f74 00000019 8a040000 _uint8_t........ 6a970: 199b0400 025f5f73 675f7365 67730008 .....__sg_segs.. 6a980: 000019dc 03766164 64720000 0019aa02 .....vaddr...... 6a990: 2300036c 656e0000 00193402 23040007 #..len....4.#... 6a9a0: 000019b1 20000019 e9080300 02616466 .... ........adf 6a9b0: 5f6f735f 73676c69 73740024 00001a1c _os_sglist.$.... 6a9c0: 036e7365 67730000 00193402 23000373 .nsegs....4.#..s 6a9d0: 675f7365 67730000 0019dc02 23040012 g_segs......#... 6a9e0: 1000001a 65037665 6e646f72 00000019 ....e.vendor.... 6a9f0: 34022300 03646576 69636500 00001934 4.#..device....4 6aa00: 02230403 73756276 656e646f 72000000 .#..subvendor... 6aa10: 19340223 08037375 62646576 69636500 .4.#..subdevice. 6aa20: 00001934 02230c00 056c6f6e 67206c6f ...4.#...long lo 6aa30: 6e672075 6e736967 6e656420 696e7400 ng unsigned int. 6aa40: 07080641 5f55494e 54363400 00001a65 ...A_UINT64....e 6aa50: 065f5f61 5f75696e 7436345f 74000000 .__a_uint64_t... 6aa60: 1a7f0661 5f75696e 7436345f 74000000 ...a_uint64_t... 6aa70: 1a8d1404 00001aeb 10414446 5f4f535f .........ADF_OS_ 6aa80: 5245534f 55524345 5f545950 455f4d45 RESOURCE_TYPE_ME 6aa90: 4d000010 4144465f 4f535f52 45534f55 M...ADF_OS_RESOU 6aaa0: 5243455f 54595045 5f494f00 01000661 RCE_TYPE_IO....a 6aab0: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 6aac0: 7970655f 74000000 1aaf1218 00001b35 ype_t..........5 6aad0: 03737461 72740000 001a9f02 23000365 .start......#..e 6aae0: 6e640000 001a9f02 23080374 79706500 nd......#..type. 6aaf0: 00001aeb 02231000 06616466 5f6f735f .....#...adf_os_ 6ab00: 7063695f 6465765f 69645f74 0000001a pci_dev_id_t.... 6ab10: 1c040000 1b350400 11040000 1b740370 .....5.......t.p 6ab20: 63690000 001b4e02 23000372 61770000 ci....N.#..raw.. 6ab30: 00023e02 23000011 1000001b 93037063 ..>.#.........pc 6ab40: 69000000 1b350223 00037261 77000000 i....5.#..raw... 6ab50: 023e0223 00000661 64665f64 72765f68 .>.#...adf_drv_h 6ab60: 616e646c 655f7400 0000023e 06616466 andle_t....>.adf 6ab70: 5f6f735f 7265736f 75726365 5f740000 _os_resource_t.. 6ab80: 001b0704 00001ba9 04000661 64665f6f ...........adf_o 6ab90: 735f6174 74616368 5f646174 615f7400 s_attach_data_t. 6aba0: 00001b74 0400001b c7040004 000017f3 ...t............ 6abb0: 0400065f 5f616466 5f6f735f 64657669 ...__adf_os_devi 6abc0: 63655f74 0000001b e8066164 665f6f73 ce_t......adf_os 6abd0: 5f646576 6963655f 74000000 1bef0a00 _device_t....... 6abe0: 001b9301 0400001c 1b040009 01040000 ................ 6abf0: 1c280400 06616466 5f6f735f 706d5f74 .(...adf_os_pm_t 6ac00: 00000002 3e090104 00001c42 04001404 ....>......B.... 6ac10: 00001c82 10414446 5f4f535f 4255535f .....ADF_OS_BUS_ 6ac20: 54595045 5f504349 00011041 44465f4f TYPE_PCI...ADF_O 6ac30: 535f4255 535f5459 50455f47 454e4552 S_BUS_TYPE_GENER 6ac40: 49430002 00066164 665f6f73 5f627573 IC....adf_os_bus 6ac50: 5f747970 655f7400 00001c4b 06616466 _type_t....K.adf 6ac60: 5f6f735f 6275735f 7265675f 64617461 _os_bus_reg_data 6ac70: 5f740000 001b5504 0000019c 0400025f _t....U........_ 6ac80: 6164665f 6472765f 696e666f 00200000 adf_drv_info. .. 6ac90: 1d5f0364 72765f61 74746163 68000000 ._.drv_attach... 6aca0: 1c210223 00036472 765f6465 74616368 .!.#..drv_detach 6acb0: 0000001c 2a022304 03647276 5f737573 ....*.#..drv_sus 6acc0: 70656e64 0000001c 44022308 03647276 pend....D.#..drv 6acd0: 5f726573 756d6500 00001c2a 02230c03 _resume....*.#.. 6ace0: 6275735f 74797065 0000001c 82022310 bus_type......#. 6acf0: 03627573 5f646174 61000000 1c990223 .bus_data......# 6ad00: 14036d6f 645f6e61 6d650000 001cb402 ..mod_name...... 6ad10: 23180369 666e616d 65000000 1cb40223 #..ifname......# 6ad20: 1c000661 64665f6f 735f6861 6e646c65 ...adf_os_handle 6ad30: 5f740000 00023e04 0000198a 04000901 _t....>......... 6ad40: 0901065f 5f616466 5f6f735f 73697a65 ...__adf_os_size 6ad50: 5f740000 0009c114 0400001d ae10415f _t............A_ 6ad60: 46414c53 45000010 415f5452 55450001 FALSE...A_TRUE.. 6ad70: 0006615f 626f6f6c 5f740000 001d9404 ..a_bool_t...... 6ad80: 0000181c 0400065f 5f616466 5f6f735f .......__adf_os_ 6ad90: 646d615f 6d61705f 74000000 1dbc0901 dma_map_t....... 6ada0: 0f616466 5f6f735f 63616368 655f7379 .adf_os_cache_sy 6adb0: 6e630004 00001e46 10414446 5f53594e nc.....F.ADF_SYN 6adc0: 435f5052 45524541 44000010 4144465f C_PREREAD...ADF_ 6add0: 53594e43 5f505245 57524954 45000210 SYNC_PREWRITE... 6ade0: 4144465f 53594e43 5f504f53 54524541 ADF_SYNC_POSTREA 6adf0: 44000110 4144465f 53594e43 5f504f53 D...ADF_SYNC_POS 6ae00: 54575249 54450003 00066164 665f6f73 TWRITE....adf_os 6ae10: 5f636163 68655f73 796e635f 74000000 _cache_sync_t... 6ae20: 1ddd0901 06616466 5f6f735f 73697a65 .....adf_os_size 6ae30: 5f740000 001d7f0a 00001e61 01066164 _t.........a..ad 6ae40: 665f6f73 5f646d61 5f6d6170 5f740000 f_os_dma_map_t.. 6ae50: 001dc304 00001e7a 04000a00 00023e01 .......z......>. 6ae60: 0400001d c304000a 0000023e 0109010a ...........>.... 6ae70: 000018af 01090105 73686f72 7420696e ........short in 6ae80: 74000502 06415f49 4e543136 0000001e t....A_INT16.... 6ae90: b4065f5f 615f696e 7431365f 74000000 ..__a_int16_t... 6aea0: 1ec10661 5f696e74 31365f74 0000001e ...a_int16_t.... 6aeb0: ce057369 676e6564 20636861 72000501 ..signed char... 6aec0: 06415f49 4e543800 00001eee 065f5f61 .A_INT8......__a 6aed0: 5f696e74 385f7400 00001efd 06615f69 _int8_t......a_i 6aee0: 6e74385f 74000000 1f09120c 00001f80 nt8_t........... 6aef0: 03737570 706f7274 65640000 00193402 .supported....4. 6af00: 23000361 64766572 74697a65 64000000 #..advertized... 6af10: 19340223 04037370 65656400 00001edf .4.#..speed..... 6af20: 02230803 6475706c 65780000 001f1902 .#..duplex...... 6af30: 230a0361 75746f6e 65670000 00199b02 #..autoneg...... 6af40: 230b0007 0000199b 0600001f 8d080500 #............... 6af50: 02616466 5f6e6574 5f657468 61646472 .adf_net_ethaddr 6af60: 00060000 1fb10361 64647200 00001f80 .......addr..... 6af70: 02230000 065f5f61 5f75696e 7431365f .#...__a_uint16_ 6af80: 74000000 01d70661 5f75696e 7431365f t......a_uint16_ 6af90: 74000000 1fb1120e 00002015 03657468 t......... ..eth 6afa0: 65725f64 686f7374 0000001f 80022300 er_dhost......#. 6afb0: 03657468 65725f73 686f7374 0000001f .ether_shost.... 6afc0: 80022306 03657468 65725f74 79706500 ..#..ether_type. 6afd0: 00001fc3 02230c00 12140000 20d61569 .....#...... ..i 6afe0: 705f7665 7273696f 6e000000 199b0100 p_version....... 6aff0: 04022300 1569705f 686c0000 00199b01 ..#..ip_hl...... 6b000: 04040223 00036970 5f746f73 00000019 ...#..ip_tos.... 6b010: 9b022301 0369705f 6c656e00 00001fc3 ..#..ip_len..... 6b020: 02230203 69705f69 64000000 1fc30223 .#..ip_id......# 6b030: 04036970 5f667261 675f6f66 66000000 ..ip_frag_off... 6b040: 1fc30223 06036970 5f74746c 00000019 ...#..ip_ttl.... 6b050: 9b022308 0369705f 70726f74 6f000000 ..#..ip_proto... 6b060: 199b0223 09036970 5f636865 636b0000 ...#..ip_check.. 6b070: 001fc302 230a0369 705f7361 64647200 ....#..ip_saddr. 6b080: 00001934 02230c03 69705f64 61646472 ...4.#..ip_daddr 6b090: 00000019 34022310 00026164 665f6e65 ....4.#...adf_ne 6b0a0: 745f766c 616e6864 72000400 00212803 t_vlanhdr....!(. 6b0b0: 74706964 0000001f c3022300 15707269 tpid......#..pri 6b0c0: 6f000000 199b0100 03022302 15636669 o.........#..cfi 6b0d0: 00000019 9b010301 02230215 76696400 .........#..vid. 6b0e0: 00001fc3 02040c02 23020002 6164665f ........#...adf_ 6b0f0: 6e65745f 76696400 02000021 59157265 net_vid....!Y.re 6b100: 73000000 199b0100 04022300 1576616c s.........#..val 6b110: 0000001f c302040c 02230000 120c0000 .........#...... 6b120: 21950372 785f6275 6673697a 65000000 !..rx_bufsize... 6b130: 19340223 00037278 5f6e6465 73630000 .4.#..rx_ndesc.. 6b140: 00193402 23040374 785f6e64 65736300 ..4.#..tx_ndesc. 6b150: 00001934 02230800 12080000 21bb0370 ...4.#......!..p 6b160: 6f6c6c65 64000000 1dae0223 0003706f olled......#..po 6b170: 6c6c5f77 74000000 19340223 04000700 ll_wt....4.#.... 6b180: 00199b40 000021c8 083f0012 46000021 ...@..!..?..F..! 6b190: f0036966 5f6e616d 65000000 21bb0223 ..if_name...!..# 6b1a0: 00036465 765f6164 64720000 001f8002 ..dev_addr...... 6b1b0: 23400014 04000022 27104144 465f4f53 #@....."'.ADF_OS 6b1c0: 5f444d41 5f4d4153 4b5f3332 42495400 _DMA_MASK_32BIT. 6b1d0: 00104144 465f4f53 5f444d41 5f4d4153 ..ADF_OS_DMA_MAS 6b1e0: 4b5f3634 42495400 01000661 64665f6f K_64BIT....adf_o 6b1f0: 735f646d 615f6d61 736b5f74 00000021 s_dma_mask_t...! 6b200: f0026164 665f646d 615f696e 666f0008 ..adf_dma_info.. 6b210: 00002274 03646d61 5f6d6173 6b000000 .."t.dma_mask... 6b220: 22270223 00037367 5f6e7365 67730000 "'.#..sg_nsegs.. 6b230: 00193402 23040014 04000022 ca104144 ..4.#......"..AD 6b240: 465f4e45 545f434b 53554d5f 4e4f4e45 F_NET_CKSUM_NONE 6b250: 00001041 44465f4e 45545f43 4b53554d ...ADF_NET_CKSUM 6b260: 5f544350 5f554450 5f495076 34000110 _TCP_UDP_IPv4... 6b270: 4144465f 4e45545f 434b5355 4d5f5443 ADF_NET_CKSUM_TC 6b280: 505f5544 505f4950 76360002 00066164 P_UDP_IPv6....ad 6b290: 665f6e65 745f636b 73756d5f 74797065 f_net_cksum_type 6b2a0: 5f740000 00227412 08000023 0d037478 _t..."t....#..tx 6b2b0: 5f636b73 756d0000 0022ca02 23000372 _cksum..."..#..r 6b2c0: 785f636b 73756d00 000022ca 02230400 x_cksum..."..#.. 6b2d0: 06616466 5f6e6574 5f636b73 756d5f69 .adf_net_cksum_i 6b2e0: 6e666f5f 74000000 22e41404 00002366 nfo_t...".....#f 6b2f0: 10414446 5f4e4554 5f54534f 5f4e4f4e .ADF_NET_TSO_NON 6b300: 45000010 4144465f 4e45545f 54534f5f E...ADF_NET_TSO_ 6b310: 49505634 00011041 44465f4e 45545f54 IPV4...ADF_NET_T 6b320: 534f5f41 4c4c0002 00066164 665f6e65 SO_ALL....adf_ne 6b330: 745f7473 6f5f7479 70655f74 00000023 t_tso_type_t...# 6b340: 27121000 0023ba03 636b7375 6d5f6361 '....#..cksum_ca 6b350: 70000000 230d0223 00037473 6f000000 p...#..#..tso... 6b360: 23660223 0803766c 616e5f73 7570706f #f.#..vlan_suppo 6b370: 72746564 00000019 9b02230c 00122000 rted......#... . 6b380: 00245303 74785f70 61636b65 74730000 .$S.tx_packets.. 6b390: 00193402 23000372 785f7061 636b6574 ..4.#..rx_packet 6b3a0: 73000000 19340223 04037478 5f627974 s....4.#..tx_byt 6b3b0: 65730000 00193402 23080372 785f6279 es....4.#..rx_by 6b3c0: 74657300 00001934 02230c03 74785f64 tes....4.#..tx_d 6b3d0: 726f7070 65640000 00193402 23100372 ropped....4.#..r 6b3e0: 785f6472 6f707065 64000000 19340223 x_dropped....4.# 6b3f0: 14037278 5f657272 6f727300 00001934 ..rx_errors....4 6b400: 02231803 74785f65 72726f72 73000000 .#..tx_errors... 6b410: 19340223 1c000661 64665f6e 65745f65 .4.#...adf_net_e 6b420: 74686164 64725f74 0000001f 8d160000 thaddr_t........ 6b430: 24530300 00002478 087f0017 6164665f $S....$x....adf_ 6b440: 6e65745f 636d645f 6d636164 64720003 net_cmd_mcaddr.. 6b450: 04000024 af036e65 6c656d00 00001934 ...$..nelem....4 6b460: 02230003 6d636173 74000000 246a0223 .#..mcast...$j.# 6b470: 04000661 64665f6e 65745f63 6d645f6c ...adf_net_cmd_l 6b480: 696e6b5f 696e666f 5f740000 001f2706 ink_info_t....'. 6b490: 6164665f 6e65745f 636d645f 706f6c6c adf_net_cmd_poll 6b4a0: 5f696e66 6f5f7400 00002195 06616466 _info_t...!..adf 6b4b0: 5f6e6574 5f636d64 5f636b73 756d5f69 _net_cmd_cksum_i 6b4c0: 6e666f5f 74000000 230d0661 64665f6e nfo_t...#..adf_n 6b4d0: 65745f63 6d645f72 696e675f 696e666f et_cmd_ring_info 6b4e0: 5f740000 00215906 6164665f 6e65745f _t...!Y.adf_net_ 6b4f0: 636d645f 646d615f 696e666f 5f740000 cmd_dma_info_t.. 6b500: 00223e06 6164665f 6e65745f 636d645f .">.adf_net_cmd_ 6b510: 7669645f 74000000 1fc30661 64665f6e vid_t......adf_n 6b520: 65745f63 6d645f6f 66666c6f 61645f63 et_cmd_offload_c 6b530: 61705f74 00000023 7e066164 665f6e65 ap_t...#~.adf_ne 6b540: 745f636d 645f7374 6174735f 74000000 t_cmd_stats_t... 6b550: 23ba0661 64665f6e 65745f63 6d645f6d #..adf_net_cmd_m 6b560: 63616464 725f7400 00002478 0f616466 caddr_t...$x.adf 6b570: 5f6e6574 5f636d64 5f6d6361 73745f63 _net_cmd_mcast_c 6b580: 61700004 000025f1 10414446 5f4e4554 ap....%..ADF_NET 6b590: 5f4d4341 53545f53 55500000 10414446 _MCAST_SUP...ADF 6b5a0: 5f4e4554 5f4d4341 53545f4e 4f545355 _NET_MCAST_NOTSU 6b5b0: 50000100 06616466 5f6e6574 5f636d64 P....adf_net_cmd 6b5c0: 5f6d6361 73745f63 61705f74 00000025 _mcast_cap_t...% 6b5d0: a9180304 000026c3 036c696e 6b5f696e ......&..link_in 6b5e0: 666f0000 0024af02 23000370 6f6c6c5f fo...$..#..poll_ 6b5f0: 696e666f 00000024 cc022300 03636b73 info...$..#..cks 6b600: 756d5f69 6e666f00 000024e9 02230003 um_info...$..#.. 6b610: 72696e67 5f696e66 6f000000 25070223 ring_info...%..# 6b620: 0003646d 615f696e 666f0000 00252402 ..dma_info...%$. 6b630: 23000376 69640000 00254002 2300036f #..vid...%@.#..o 6b640: 66666c6f 61645f63 61700000 00255702 ffload_cap...%W. 6b650: 23000373 74617473 00000025 76022300 #..stats...%v.#. 6b660: 036d6361 73745f69 6e666f00 0000258f .mcast_info...%. 6b670: 02230003 6d636173 745f6361 70000000 .#..mcast_cap... 6b680: 25f10223 00001404 0000271a 10414446 %..#......'..ADF 6b690: 5f4e4255 465f5258 5f434b53 554d5f4e _NBUF_RX_CKSUM_N 6b6a0: 4f4e4500 00104144 465f4e42 55465f52 ONE...ADF_NBUF_R 6b6b0: 585f434b 53554d5f 48570001 10414446 X_CKSUM_HW...ADF 6b6c0: 5f4e4255 465f5258 5f434b53 554d5f55 _NBUF_RX_CKSUM_U 6b6d0: 4e4e4543 45535341 52590002 00066164 NNECESSARY....ad 6b6e0: 665f6e62 75665f72 785f636b 73756d5f f_nbuf_rx_cksum_ 6b6f0: 74797065 5f740000 0026c312 08000027 type_t...&.....' 6b700: 5a037265 73756c74 00000027 1a022300 Z.result...'..#. 6b710: 0376616c 00000019 34022304 00120800 .val....4.#..... 6b720: 00278a03 74797065 00000023 66022300 .'..type...#f.#. 6b730: 036d7373 0000001f c3022304 03686472 .mss......#..hdr 6b740: 5f6f6666 00000019 9b022306 00025f5f _off......#...__ 6b750: 6164665f 6e627566 5f716865 6164000c adf_nbuf_qhead.. 6b760: 000027c9 03686561 64000000 03350223 ..'..head....5.# 6b770: 00037461 696c0000 00033502 23040371 ..tail....5.#..q 6b780: 6c656e00 00001934 02230800 065f5f61 len....4.#...__a 6b790: 64665f6e 6275665f 74000000 03350400 df_nbuf_t....5.. 6b7a0: 0019aa04 00040000 19340400 09010a00 .........4...... 6b7b0: 00021401 0a000019 34010a00 0019aa01 ........4....... 6b7c0: 0a000019 aa010400 0001e504 00065f5f ..............__ 6b7d0: 6164665f 6e627566 5f716865 61645f74 adf_nbuf_qhead_t 6b7e0: 00000027 8a065f5f 6164665f 6e627566 ...'..__adf_nbuf 6b7f0: 5f717565 75655f74 00000028 0a040000 _queue_t...(.... 6b800: 28220400 0a000027 c9010a00 0027c901 (".....'.....'.. 6b810: 14040000 29421041 5f535441 5455535f ....)B.A_STATUS_ 6b820: 4f4b0000 10415f53 54415455 535f4641 OK...A_STATUS_FA 6b830: 494c4544 00011041 5f535441 5455535f ILED...A_STATUS_ 6b840: 454e4f45 4e540002 10415f53 54415455 ENOENT...A_STATU 6b850: 535f454e 4f4d454d 00031041 5f535441 S_ENOMEM...A_STA 6b860: 5455535f 45494e56 414c0004 10415f53 TUS_EINVAL...A_S 6b870: 54415455 535f4549 4e50524f 47524553 TATUS_EINPROGRES 6b880: 53000510 415f5354 41545553 5f454e4f S...A_STATUS_ENO 6b890: 54535550 50000610 415f5354 41545553 TSUPP...A_STATUS 6b8a0: 5f454255 53590007 10415f53 54415455 _EBUSY...A_STATU 6b8b0: 535f4532 42494700 0810415f 53544154 S_E2BIG...A_STAT 6b8c0: 55535f45 41444452 4e4f5441 5641494c US_EADDRNOTAVAIL 6b8d0: 00091041 5f535441 5455535f 454e5849 ...A_STATUS_ENXI 6b8e0: 4f000a10 415f5354 41545553 5f454641 O...A_STATUS_EFA 6b8f0: 554c5400 0b10415f 53544154 55535f45 ULT...A_STATUS_E 6b900: 494f000c 0006615f 73746174 75735f74 IO....a_status_t 6b910: 00000028 4d0a0000 2942010a 000001f9 ...(M...)B...... 6b920: 01090106 6164665f 6e627566 5f740000 ....adf_nbuf_t.. 6b930: 0027c914 04000029 a7104144 465f4f53 .'.....)..ADF_OS 6b940: 5f444d41 5f544f5f 44455649 43450000 _DMA_TO_DEVICE.. 6b950: 10414446 5f4f535f 444d415f 46524f4d .ADF_OS_DMA_FROM 6b960: 5f444556 49434500 01000661 64665f6f _DEVICE....adf_o 6b970: 735f646d 615f6469 725f7400 00002970 s_dma_dir_t...)p 6b980: 0a000029 42010901 06616466 5f6f735f ...)B....adf_os_ 6b990: 646d616d 61705f69 6e666f5f 74000000 dmamap_info_t... 6b9a0: 19510400 0029c504 00090109 010a0000 .Q...).......... 6b9b0: 2960010a 000027c9 01090109 010a0000 )`....'......... 6b9c0: 2960010a 000027c9 010a0000 2960010a )`....'.....)`.. 6b9d0: 000027c9 010a0000 29600109 0109010a ..'.....)`...... 6b9e0: 00001934 010a0000 19aa0109 0109010a ...4............ 6b9f0: 00001e61 010a0000 1dae010a 00001dae ...a............ 6ba00: 01066164 665f6f73 5f73676c 6973745f ..adf_os_sglist_ 6ba10: 74000000 19e90400 002a3e04 00090109 t........*>..... 6ba20: 0109010a 000019aa 01066164 665f6e62 ..........adf_nb 6ba30: 75665f71 75657565 5f740000 00282204 uf_queue_t...(". 6ba40: 00002a66 04000901 04000028 0a040009 ..*f.......(.... 6ba50: 01090109 010a0000 2960010a 000027c9 ........)`....'. 6ba60: 010a0000 1934010a 00001934 010a0000 .....4.....4.... 6ba70: 1dae010a 00001dae 010a0000 22ca010a ............"... 6ba80: 00001934 01066164 665f6e62 75665f72 ...4..adf_nbuf_r 6ba90: 785f636b 73756d5f 74000000 27380400 x_cksum_t...'8.. 6baa0: 002ac204 00090109 01066164 665f6e62 .*........adf_nb 6bab0: 75665f74 736f5f74 00000027 5a040000 uf_tso_t...'Z... 6bac0: 2ae60400 09010901 06616466 5f6e6574 *........adf_net 6bad0: 5f68616e 646c655f 74000000 023e0661 _handle_t....>.a 6bae0: 64665f6e 65745f76 6c616e68 64725f74 df_net_vlanhdr_t 6baf0: 00000020 d6040000 2b1b0400 0a000029 ... ....+......) 6bb00: 42010a00 00294201 09010901 025f4849 B....)B......_HI 6bb10: 465f434f 4e464947 00040000 2b6a0364 F_CONFIG....+j.d 6bb20: 756d6d79 00000001 f9022300 00090104 ummy......#..... 6bb30: 00002b6a 04000901 0400002b 73040002 ..+j.......+s... 6bb40: 5f484946 5f43414c 4c424143 4b000c00 _HIF_CALLBACK... 6bb50: 002bc803 73656e64 5f627566 5f646f6e .+..send_buf_don 6bb60: 65000000 2b6c0223 00037265 63765f62 e...+l.#..recv_b 6bb70: 75660000 002b7502 23040363 6f6e7465 uf...+u.#..conte 6bb80: 78740000 00023e02 23080006 6869665f xt....>.#...hif_ 6bb90: 68616e64 6c655f74 00000002 3e064849 handle_t....>.HI 6bba0: 465f434f 4e464947 0000002b 49040000 F_CONFIG...+I... 6bbb0: 2bda0400 0a00002b c8010400 002bf104 +......+.....+.. 6bbc0: 00090104 00002bfe 04000648 49465f43 ......+....HIF_C 6bbd0: 414c4c42 41434b00 00002b7c 0400002c ALLBACK...+|..., 6bbe0: 07040009 01040000 2c200400 0a000001 ........, ...... 6bbf0: f9010400 002c2904 00090104 00002c36 .....,).......,6 6bc00: 04000a00 0001f901 0400002c 3f040009 ...........,?... 6bc10: 01040000 2c4c0400 0a000001 f9010400 ....,L.......... 6bc20: 002c5504 00090104 00002c62 04000268 .,U.......,b...h 6bc30: 69665f61 70690038 00002dbb 035f696e if_api.8..-.._in 6bc40: 69740000 002bf702 2300035f 73687574 it...+..#.._shut 6bc50: 646f776e 0000002c 00022304 035f7265 down...,..#.._re 6bc60: 67697374 65725f63 616c6c62 61636b00 gister_callback. 6bc70: 00002c22 02230803 5f676574 5f746f74 ..,".#.._get_tot 6bc80: 616c5f63 72656469 745f636f 756e7400 al_credit_count. 6bc90: 00002c2f 02230c03 5f737461 72740000 ..,/.#.._start.. 6bca0: 002c0002 2310035f 636f6e66 69675f70 .,..#.._config_p 6bcb0: 69706500 00002c38 02231403 5f73656e ipe...,8.#.._sen 6bcc0: 645f6275 66666572 0000002c 45022318 d_buffer...,E.#. 6bcd0: 035f7265 7475726e 5f726563 765f6275 ._return_recv_bu 6bce0: 66000000 2c4e0223 1c035f69 735f7069 f...,N.#.._is_pi 6bcf0: 70655f73 7570706f 72746564 0000002c pe_supported..., 6bd00: 5b022320 035f6765 745f6d61 785f6d73 [.# ._get_max_ms 6bd10: 675f6c65 6e000000 2c5b0223 24035f67 g_len...,[.#$._g 6bd20: 65745f72 65736572 7665645f 68656164 et_reserved_head 6bd30: 726f6f6d 0000002c 2f022328 035f6973 room...,/.#(._is 6bd40: 725f6861 6e646c65 72000000 2c000223 r_handler...,..# 6bd50: 2c035f67 65745f64 65666175 6c745f70 ,._get_default_p 6bd60: 69706500 00002c64 02233003 70526573 ipe...,d.#0.pRes 6bd70: 65727665 64000000 023e0223 34000f64 erved....>.#4..d 6bd80: 6d615f65 6e67696e 65000400 002e4410 ma_engine.....D. 6bd90: 444d415f 454e4749 4e455f52 58300000 DMA_ENGINE_RX0.. 6bda0: 10444d41 5f454e47 494e455f 52583100 .DMA_ENGINE_RX1. 6bdb0: 0110444d 415f454e 47494e45 5f525832 ..DMA_ENGINE_RX2 6bdc0: 00021044 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 6bdd0: 33000310 444d415f 454e4749 4e455f54 3...DMA_ENGINE_T 6bde0: 58300004 10444d41 5f454e47 494e455f X0...DMA_ENGINE_ 6bdf0: 54583100 0510444d 415f454e 47494e45 TX1...DMA_ENGINE 6be00: 5f4d4158 00060006 646d615f 656e6769 _MAX....dma_engi 6be10: 6e655f74 0000002d bb0f646d 615f6966 ne_t...-..dma_if 6be20: 74797065 00040000 2e911044 4d415f49 type.......DMA_I 6be30: 465f474d 41430000 10444d41 5f49465f F_GMAC...DMA_IF_ 6be40: 50434900 0110444d 415f4946 5f504349 PCI...DMA_IF_PCI 6be50: 45000200 06646d61 5f696674 7970655f E....dma_iftype_ 6be60: 74000000 2e560a00 0001d701 0400002e t....V.......... 6be70: a3040009 01040000 2eb00400 09010400 ................ 6be80: 002eb904 000a0000 0ed50104 00002ec2 ................ 6be90: 04000a00 0001d701 0400002e cf04000a ................ 6bea0: 000001d7 01040000 2edc0400 0a000003 ................ 6beb0: 35010400 002ee904 00090104 00002ef6 5............... 6bec0: 04000264 6d615f6c 69625f61 70690034 ...dma_lib_api.4 6bed0: 00002ffd 0374785f 696e6974 0000002e ../..tx_init.... 6bee0: a9022300 0374785f 73746172 74000000 ..#..tx_start... 6bef0: 2eb20223 04037278 5f696e69 74000000 ...#..rx_init... 6bf00: 2ea90223 08037278 5f636f6e 66696700 ...#..rx_config. 6bf10: 00002ebb 02230c03 72785f73 74617274 .....#..rx_start 6bf20: 0000002e b2022310 03696e74 725f7374 ......#..intr_st 6bf30: 61747573 0000002e c8022314 03686172 atus......#..har 6bf40: 645f786d 69740000 002ed502 23180366 d_xmit......#..f 6bf50: 6c757368 5f786d69 74000000 2eb20223 lush_xmit......# 6bf60: 1c03786d 69745f64 6f6e6500 00002ee2 ..xmit_done..... 6bf70: 02232003 72656170 5f786d69 74746564 .# .reap_xmitted 6bf80: 0000002e ef022324 03726561 705f7265 ......#$.reap_re 6bf90: 63760000 002eef02 23280372 65747572 cv......#(.retur 6bfa0: 6e5f7265 63760000 002ef802 232c0372 n_recv......#,.r 6bfb0: 6563765f 706b7400 00002ee2 02233000 ecv_pkt......#0. 6bfc0: 025f5f70 63695f73 6f667463 000c0000 .__pci_softc.... 6bfd0: 301b0373 77000000 2c070223 0000065f 0..sw...,..#..._ 6bfe0: 5f706369 5f736f66 74635f74 0000002f _pci_softc_t.../ 6bff0: fd040000 301b0400 09010400 00303504 ....0........05. 6c000: 000a0000 01ad0104 0000303e 04000f68 ..........0>...h 6c010: 69665f70 63695f70 6970655f 74780004 if_pci_pipe_tx.. 6c020: 0000309e 10484946 5f504349 5f504950 ..0..HIF_PCI_PIP 6c030: 455f5458 30000010 4849465f 5043495f E_TX0...HIF_PCI_ 6c040: 50495045 5f545831 00011048 49465f50 PIPE_TX1...HIF_P 6c050: 43495f50 4950455f 54585f4d 41580002 CI_PIPE_TX_MAX.. 6c060: 00066869 665f7063 695f7069 70655f74 ..hif_pci_pipe_t 6c070: 785f7400 0000304b 0a00002e 44010400 x_t...0K....D... 6c080: 0030b504 000f6869 665f7063 695f7069 .0....hif_pci_pi 6c090: 70655f72 78000400 00313b10 4849465f pe_rx....1;.HIF_ 6c0a0: 5043495f 50495045 5f525830 00001048 PCI_PIPE_RX0...H 6c0b0: 49465f50 43495f50 4950455f 52583100 IF_PCI_PIPE_RX1. 6c0c0: 01104849 465f5043 495f5049 50455f52 ..HIF_PCI_PIPE_R 6c0d0: 58320002 10484946 5f504349 5f504950 X2...HIF_PCI_PIP 6c0e0: 455f5258 33000310 4849465f 5043495f E_RX3...HIF_PCI_ 6c0f0: 50495045 5f52585f 4d415800 04000668 PIPE_RX_MAX....h 6c100: 69665f70 63695f70 6970655f 72785f74 if_pci_pipe_rx_t 6c110: 00000030 c20a0000 2e440104 00003152 ...0.....D....1R 6c120: 04000268 69665f70 63695f61 70690024 ...hif_pci_api.$ 6c130: 00003230 03706369 5f626f6f 745f696e ..20.pci_boot_in 6c140: 69740000 00070802 23000370 63695f69 it......#..pci_i 6c150: 6e697400 00002bf7 02230403 7063695f nit...+..#..pci_ 6c160: 72657365 74000000 07080223 08037063 reset......#..pc 6c170: 695f656e 61626c65 00000007 0802230c i_enable......#. 6c180: 03706369 5f726561 705f786d 69747465 .pci_reap_xmitte 6c190: 64000000 30370223 10037063 695f7265 d...07.#..pci_re 6c1a0: 61705f72 65637600 00003037 02231403 ap_recv...07.#.. 6c1b0: 7063695f 6765745f 70697065 00000030 pci_get_pipe...0 6c1c0: 44022318 03706369 5f676574 5f74785f D.#..pci_get_tx_ 6c1d0: 656e6700 000030bb 02231c03 7063695f eng...0..#..pci_ 6c1e0: 6765745f 72785f65 6e670000 00315802 get_rx_eng...1X. 6c1f0: 23200002 676d6163 5f617069 00040000 # ..gmac_api.... 6c200: 32570367 6d61635f 626f6f74 5f696e69 2W.gmac_boot_ini 6c210: 74000000 07080223 00000700 00019c06 t......#........ 6c220: 00003264 08050002 5f5f6574 68686472 ..2d....__ethhdr 6c230: 000e0000 329a0364 73740000 00325702 ....2..dst...2W. 6c240: 23000373 72630000 00325702 23060365 #..src...2W.#..e 6c250: 74797065 00000001 d702230c 00025f5f type......#...__ 6c260: 61746868 64720004 000032e8 15726573 athhdr....2..res 6c270: 00000001 ad010002 02230015 70726f74 .........#..prot 6c280: 6f000000 01ad0102 06022300 03726573 o.........#..res 6c290: 5f6c6f00 000001ad 02230103 7265735f _lo......#..res_ 6c2a0: 68690000 0001d702 23020002 5f5f676d hi......#...__gm 6c2b0: 61635f68 64720014 00003324 03657468 ac_hdr....3$.eth 6c2c0: 00000032 64022300 03617468 00000032 ...2d.#..ath...2 6c2d0: 9a02230e 03616c69 676e5f70 61640000 ..#..align_pad.. 6c2e0: 0001d702 23120006 5f5f676d 61635f68 ....#...__gmac_h 6c2f0: 64725f74 00000032 e8025f5f 676d6163 dr_t...2..__gmac 6c300: 5f736f66 74630024 0000336e 03686472 _softc.$..3n.hdr 6c310: 00000033 24022300 03677261 6e000000 ...3$.#..gran... 6c320: 01d70223 14037377 0000002c 07022318 ...#..sw...,..#. 6c330: 000e5f41 5f6f735f 6c696e6b 6167655f .._A_os_linkage_ 6c340: 63686563 6b000001 04000033 6e04000a check......3n... 6c350: 000001f9 01040000 338c0400 04000009 ........3....... 6c360: c1040017 5f415f63 6d6e6f73 5f696e64 ...._A_cmnos_ind 6c370: 69726563 74696f6e 5f746162 6c650001 irection_table.. 6c380: b8000034 dc036861 6c5f6c69 6e6b6167 ...4..hal_linkag 6c390: 655f6368 65636b00 00003392 02230003 e_check...3..#.. 6c3a0: 73746172 745f6273 73000000 33990223 start_bss...3..# 6c3b0: 04036170 705f7374 61727400 00000708 ..app_start..... 6c3c0: 02230803 6d656d00 00000a01 02230c03 .#..mem......#.. 6c3d0: 6d697363 0000000b 20022320 03707269 misc.... .# .pri 6c3e0: 6e746600 00000735 02234403 75617274 ntf....5.#D.uart 6c3f0: 00000007 d302234c 03676d61 63000000 ......#L.gmac... 6c400: 32300223 6c037573 62000000 15460223 20.#l.usb....F.# 6c410: 7003636c 6f636b00 0000108f 0323e001 p.clock......#.. 6c420: 0374696d 65720000 000d3803 23840203 .timer....8.#... 6c430: 696e7472 00000011 df032398 0203616c intr......#...al 6c440: 6c6f6372 616d0000 000ef003 23c40203 locram......#... 6c450: 726f6d70 0000000d e20323d0 02037764 romp......#...wd 6c460: 745f7469 6d657200 000013bc 0323e002 t_timer......#.. 6c470: 03656570 00000014 ea0323fc 02037374 .eep......#...st 6c480: 72696e67 0000000c 4403238c 03037461 ring....D.#...ta 6c490: 736b6c65 74000000 0fed0323 a4030002 sklet......#.... 6c4a0: 5f555342 5f464946 4f5f434f 4e464947 _USB_FIFO_CONFIG 6c4b0: 00100000 354f0367 65745f63 6f6d6d61 ....5O.get_comma 6c4c0: 6e645f62 75660000 00034202 23000372 nd_buf....B.#..r 6c4d0: 6563765f 636f6d6d 616e6400 00000358 ecv_command....X 6c4e0: 02230403 6765745f 6576656e 745f6275 .#..get_event_bu 6c4f0: 66000000 03420223 08037365 6e645f65 f....B.#..send_e 6c500: 76656e74 5f646f6e 65000000 03580223 vent_done....X.# 6c510: 0c000655 53425f46 49464f5f 434f4e46 ...USB_FIFO_CONF 6c520: 49470000 0034dc04 0000354f 04000901 IG...4....5O.... 6c530: 04000035 6b040002 75736266 69666f5f ...5k...usbfifo_ 6c540: 61706900 0c000035 c1035f69 6e697400 api....5.._init. 6c550: 0000356d 02230003 5f656e61 626c655f ..5m.#.._enable_ 6c560: 6576656e 745f6973 72000000 07080223 event_isr......# 6c570: 04037052 65736572 76656400 0000023e ..pReserved....> 6c580: 02230800 07000019 9b020000 35ce0801 .#..........5... 6c590: 00025f48 54435f46 52414d45 5f484452 .._HTC_FRAME_HDR 6c5a0: 00080000 36400345 6e64706f 696e7449 ....6@.EndpointI 6c5b0: 44000000 199b0223 0003466c 61677300 D......#..Flags. 6c5c0: 0000199b 02230103 5061796c 6f61644c .....#..PayloadL 6c5d0: 656e0000 001fc302 23020343 6f6e7472 en......#..Contr 6c5e0: 6f6c4279 74657300 000035c1 02230403 olBytes...5..#.. 6c5f0: 486f7374 5365714e 756d0000 001fc302 HostSeqNum...... 6c600: 23060012 02000036 59034d65 73736167 #......6Y.Messag 6c610: 65494400 00001fc3 02230000 12080000 eID......#...... 6c620: 36bc034d 65737361 67654944 0000001f 6..MessageID.... 6c630: c3022300 03437265 64697443 6f756e74 ..#..CreditCount 6c640: 0000001f c3022302 03437265 64697453 ......#..CreditS 6c650: 697a6500 00001fc3 02230403 4d617845 ize......#..MaxE 6c660: 6e64706f 696e7473 00000019 9b022306 ndpoints......#. 6c670: 035f5061 64310000 00199b02 23070012 ._Pad1......#... 6c680: 0a000037 53034d65 73736167 65494400 ...7S.MessageID. 6c690: 00001fc3 02230003 53657276 69636549 .....#..ServiceI 6c6a0: 44000000 1fc30223 0203436f 6e6e6563 D......#..Connec 6c6b0: 74696f6e 466c6167 73000000 1fc30223 tionFlags......# 6c6c0: 0403446f 776e4c69 6e6b5069 70654944 ..DownLinkPipeID 6c6d0: 00000019 9b022306 0355704c 696e6b50 ......#..UpLinkP 6c6e0: 69706549 44000000 199b0223 07035365 ipeID......#..Se 6c6f0: 72766963 654d6574 614c656e 67746800 rviceMetaLength. 6c700: 0000199b 02230803 5f506164 31000000 .....#.._Pad1... 6c710: 199b0223 0900120a 000037db 034d6573 ...#......7..Mes 6c720: 73616765 49440000 001fc302 23000353 sageID......#..S 6c730: 65727669 63654944 0000001f c3022302 erviceID......#. 6c740: 03537461 74757300 0000199b 02230403 .Status......#.. 6c750: 456e6470 6f696e74 49440000 00199b02 EndpointID...... 6c760: 2305034d 61784d73 6753697a 65000000 #..MaxMsgSize... 6c770: 1fc30223 06035365 72766963 654d6574 ...#..ServiceMet 6c780: 614c656e 67746800 0000199b 02230803 aLength......#.. 6c790: 5f506164 31000000 199b0223 09001202 _Pad1......#.... 6c7a0: 000037f4 034d6573 73616765 49440000 ..7..MessageID.. 6c7b0: 001fc302 23000012 04000038 30034d65 ....#......80.Me 6c7c0: 73736167 65494400 00001fc3 02230003 ssageID......#.. 6c7d0: 50697065 49440000 00199b02 23020343 PipeID......#..C 6c7e0: 72656469 74436f75 6e740000 00199b02 reditCount...... 6c7f0: 23030012 04000038 67034d65 73736167 #......8g.Messag 6c800: 65494400 00001fc3 02230003 50697065 eID......#..Pipe 6c810: 49440000 00199b02 23020353 74617475 ID......#..Statu 6c820: 73000000 199b0223 03001202 0000388e s......#......8. 6c830: 03526563 6f726449 44000000 199b0223 .RecordID......# 6c840: 00034c65 6e677468 00000019 9b022301 ..Length......#. 6c850: 00120200 0038b803 456e6470 6f696e74 .....8..Endpoint 6c860: 49440000 00199b02 23000343 72656469 ID......#..Credi 6c870: 74730000 00199b02 23010012 04000038 ts......#......8 6c880: f903456e 64706f69 6e744944 00000019 ..EndpointID.... 6c890: 9b022300 03437265 64697473 00000019 ..#..Credits.... 6c8a0: 9b022301 03546774 43726564 69745365 ..#..TgtCreditSe 6c8b0: 714e6f00 00001fc3 02230200 07000019 qNo......#...... 6c8c0: 9b040000 39060803 00120600 00394203 ....9........9B. 6c8d0: 50726556 616c6964 00000019 9b022300 PreValid......#. 6c8e0: 034c6f6f 6b416865 61640000 0038f902 .LookAhead...8.. 6c8f0: 23010350 6f737456 616c6964 00000019 #..PostValid.... 6c900: 9b022305 0006706f 6f6c5f68 616e646c ..#...pool_handl 6c910: 655f7400 0000023e 0a000039 42010400 e_t....>...9B... 6c920: 00395504 00090104 00003962 04001404 .9U.......9b.... 6c930: 000039e0 10504f4f 4c5f4944 5f485443 ..9..POOL_ID_HTC 6c940: 5f434f4e 54524f4c 00001050 4f4f4c5f _CONTROL...POOL_ 6c950: 49445f57 4d495f53 56435f43 4d445f52 ID_WMI_SVC_CMD_R 6c960: 45504c59 00011050 4f4f4c5f 49445f57 EPLY...POOL_ID_W 6c970: 4d495f53 56435f45 56454e54 00021050 MI_SVC_EVENT...P 6c980: 4f4f4c5f 49445f57 4c414e5f 52585f42 OOL_ID_WLAN_RX_B 6c990: 55460003 10504f4f 4c5f4944 5f4d4158 UF...POOL_ID_MAX 6c9a0: 000a0006 4255465f 504f4f4c 5f494400 ....BUF_POOL_ID. 6c9b0: 0000396b 09010400 0039f104 000a0000 ..9k.....9...... 6c9c0: 29600104 000039fa 04000a00 00296001 )`....9......)`. 6c9d0: 0400003a 07040009 01040000 3a140400 ...:........:... 6c9e0: 02627566 5f706f6f 6c5f6170 69001c00 .buf_pool_api... 6c9f0: 003ab603 5f696e69 74000000 395b0223 .:.._init...9[.# 6ca00: 00035f73 68757464 6f776e00 00003964 .._shutdown...9d 6ca10: 02230403 5f637265 6174655f 706f6f6c .#.._create_pool 6ca20: 00000039 f3022308 035f616c 6c6f635f ...9..#.._alloc_ 6ca30: 62756600 00003a00 02230c03 5f616c6c buf...:..#.._all 6ca40: 6f635f62 75665f61 6c69676e 0000003a oc_buf_align...: 6ca50: 0d022310 035f6672 65655f62 75660000 ..#.._free_buf.. 6ca60: 003a1602 23140370 52657365 72766564 .:..#..pReserved 6ca70: 00000002 3e022318 00025f48 54435f53 ....>.#..._HTC_S 6ca80: 45525649 4345001c 00003b95 03704e65 ERVICE....;..pNe 6ca90: 78740000 003b9502 23000350 726f6365 xt...;..#..Proce 6caa0: 73735265 63764d73 67000000 3c4a0223 ssRecvMsg....#.....:.... 6cb60: 0400003c 3319454e 44504f49 4e545f55 ...<3.ENDPOINT_U 6cb70: 4e555345 4400ffff ffff1045 4e44504f NUSED......ENDPO 6cb80: 494e5430 00001045 4e44504f 494e5431 INT0...ENDPOINT1 6cb90: 00011045 4e44504f 494e5432 00021045 ...ENDPOINT2...E 6cba0: 4e44504f 494e5433 00031045 4e44504f NDPOINT3...ENDPO 6cbb0: 494e5434 00041045 4e44504f 494e5435 INT4...ENDPOINT5 6cbc0: 00051045 4e44504f 494e5436 00061045 ...ENDPOINT6...E 6cbd0: 4e44504f 494e5437 00071045 4e44504f NDPOINT7...ENDPO 6cbe0: 494e5438 00081045 4e44504f 494e545f INT8...ENDPOINT_ 6cbf0: 4d415800 16000648 54435f45 4e44504f MAX....HTC_ENDPO 6cc00: 494e545f 49440000 003b9c09 01040000 INT_ID...;...... 6cc10: 3c480400 09010400 003c5104 00040000 .#0.. 6cef0: 686f7374 5f617070 5f617265 615f7300 host_app_area_s. 6cf00: 0400003f 5c03776d 695f7072 6f746f63 ...?\.wmi_protoc 6cf10: 6f6c5f76 65720000 00193402 23000012 ol_ver....4.#... 6cf20: 0e00003f 93036473 744d6163 0000001f ...?..dstMac.... 6cf30: 80022300 03737263 4d616300 00001f80 ..#..srcMac..... 6cf40: 02230603 74797065 4f724c65 6e000000 .#..typeOrLen... 6cf50: 1fc30223 0c000700 00199b03 00003fa0 ...#..........?. 6cf60: 08020012 0800003f f0036473 61700000 .......?..dsap.. 6cf70: 00199b02 23000373 73617000 0000199b ....#..ssap..... 6cf80: 02230103 636e746c 00000019 9b022302 .#..cntl......#. 6cf90: 036f7267 436f6465 0000003f 93022303 .orgCode...?..#. 6cfa0: 03657468 65725479 70650000 001fc302 .etherType...... 6cfb0: 23060012 02000040 11037273 73690000 #......@..rssi.. 6cfc0: 001f1902 23000369 6e666f00 0000199b ....#..info..... 6cfd0: 02230100 12040000 40380363 6f6d6d61 .#......@8.comma 6cfe0: 6e644964 0000001f c3022300 03736571 ndId......#..seq 6cff0: 4e6f0000 001fc302 23020007 0000199b No......#....... 6d000: 01000040 45080000 12020000 406c036d ...@E.......@l.m 6d010: 73675369 7a650000 00199b02 2300036d sgSize......#..m 6d020: 73674461 74610000 00403802 23010012 sgData...@8.#... 6d030: 08000040 b3036164 64726573 734c0000 ...@..addressL.. 6d040: 001fc302 23000361 64647265 73734800 ....#..addressH. 6d050: 00001fc3 02230203 76616c75 654c0000 .....#..valueL.. 6d060: 001fc302 23040376 616c7565 48000000 ....#..valueH... 6d070: 1fc30223 06000657 4d495f41 56540000 ...#...WMI_AVT.. 6d080: 00406c07 000040b3 08000040 cd080000 .@l...@....@.... 6d090: 120c0000 41040374 75706c65 4e756d4c ....A..tupleNumL 6d0a0: 0000001f c3022300 03747570 6c654e75 ......#..tupleNu 6d0b0: 6d480000 001fc302 23020361 76740000 mH......#..avt.. 6d0c0: 0040c002 23040012 01000041 26036265 .@..#......A&.be 6d0d0: 61636f6e 50656e64 696e6743 6f756e74 aconPendingCount 6d0e0: 00000019 9b022300 00025f57 4d495f53 ......#..._WMI_S 6d0f0: 56435f43 4f4e4649 47001000 00418f03 VC_CONFIG....A.. 6d100: 48746348 616e646c 65000000 3d220223 HtcHandle...=".# 6d110: 0003506f 6f6c4861 6e646c65 00000039 ..PoolHandle...9 6d120: 42022304 034d6178 436d6452 65706c79 B.#..MaxCmdReply 6d130: 45767473 00000001 f9022308 034d6178 Evts......#..Max 6d140: 4576656e 74457674 73000000 01f90223 EventEvts......# 6d150: 0c000901 04000041 8f040006 574d495f .......A....WMI_ 6d160: 434d445f 48414e44 4c455200 00004191 CMD_HANDLER...A. 6d170: 025f574d 495f4449 53504154 43485f45 ._WMI_DISPATCH_E 6d180: 4e545259 00080000 41f80370 436d6448 NTRY....A..pCmdH 6d190: 616e646c 65720000 00419802 23000343 andler...A..#..C 6d1a0: 6d644944 00000001 d7022304 03466c61 mdID......#..Fla 6d1b0: 67730000 0001d702 23060002 5f574d49 gs......#..._WMI 6d1c0: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 6d1d0: 10000042 5903704e 65787400 00004259 ...BY.pNext...BY 6d1e0: 02230003 70436f6e 74657874 00000002 .#..pContext.... 6d1f0: 3e022304 034e756d 6265724f 66456e74 >.#..NumberOfEnt 6d200: 72696573 00000001 f9022308 03705461 ries......#..pTa 6d210: 626c6500 00004278 02230c00 04000041 ble...Bx.#.....A 6d220: f8040006 574d495f 44495350 41544348 ....WMI_DISPATCH 6d230: 5f454e54 52590000 0041ad04 00004260 _ENTRY...A....B` 6d240: 04000400 0041f804 00064854 435f4255 .....A....HTC_BU 6d250: 465f434f 4e544558 54000000 3ce60f57 F_CONTEXT...<..W 6d260: 4d495f45 56545f43 4c415353 00040000 MI_EVT_CLASS.... 6d270: 43101957 4d495f45 56545f43 4c415353 C..WMI_EVT_CLASS 6d280: 5f4e4f4e 4500ffff ffff1057 4d495f45 _NONE......WMI_E 6d290: 56545f43 4c415353 5f434d44 5f455645 VT_CLASS_CMD_EVE 6d2a0: 4e540000 10574d49 5f455654 5f434c41 NT...WMI_EVT_CLA 6d2b0: 53535f43 4d445f52 45504c59 00011057 SS_CMD_REPLY...W 6d2c0: 4d495f45 56545f43 4c415353 5f4d4158 MI_EVT_CLASS_MAX 6d2d0: 00020006 574d495f 4556545f 434c4153 ....WMI_EVT_CLAS 6d2e0: 53000000 429b025f 574d495f 4255465f S...B.._WMI_BUF_ 6d2f0: 434f4e54 45585400 0c000043 6e034874 CONTEXT....Cn.Ht 6d300: 63427566 43747800 00004286 02230003 cBufCtx...B..#.. 6d310: 4576656e 74436c61 73730000 00431002 EventClass...C.. 6d320: 23040346 6c616773 00000001 d7022308 #..Flags......#. 6d330: 0006776d 695f6861 6e646c65 5f740000 ..wmi_handle_t.. 6d340: 00023e06 574d495f 5356435f 434f4e46 ..>.WMI_SVC_CONF 6d350: 49470000 00412604 00004380 04000a00 IG...A&...C..... 6d360: 00436e01 04000043 9b040006 574d495f .Cn....C....WMI_ 6d370: 44495350 41544348 5f544142 4c450000 DISPATCH_TABLE.. 6d380: 0041f804 000043a8 04000901 04000043 .A....C........C 6d390: c704000a 00002960 01040000 43d00400 ......)`....C... 6d3a0: 09010400 0043dd04 000a0000 01f90104 .....C.......... 6d3b0: 000043e6 04000901 04000043 f304000a ..C........C.... 6d3c0: 000001ad 01040000 43fc0400 025f776d ........C...._wm 6d3d0: 695f7376 635f6170 6973002c 00004544 i_svc_apis.,..ED 6d3e0: 035f574d 495f496e 69740000 0043a102 ._WMI_Init...C.. 6d3f0: 2300035f 574d495f 52656769 73746572 #.._WMI_Register 6d400: 44697370 61746368 5461626c 65000000 DispatchTable... 6d410: 43c90223 04035f57 4d495f41 6c6c6f63 C..#.._WMI_Alloc 6d420: 4576656e 74000000 43d60223 08035f57 Event...C..#.._W 6d430: 4d495f53 656e6445 76656e74 00000043 MI_SendEvent...C 6d440: df02230c 035f574d 495f4765 7450656e ..#.._WMI_GetPen 6d450: 64696e67 4576656e 7473436f 756e7400 dingEventsCount. 6d460: 000043ec 02231003 5f574d49 5f53656e ..C..#.._WMI_Sen 6d470: 64436f6d 706c6574 6548616e 646c6572 dCompleteHandler 6d480: 0000003c 53022314 035f574d 495f4765 ....#(.._A_cmnos 6d510: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 6d520: 6c655f74 00000033 a006574d 495f5356 le_t...3..WMI_SV 6d530: 435f4150 49530000 00440917 5f415f6d C_APIS...D.._A_m 6d540: 61677069 655f696e 64697265 6374696f agpie_indirectio 6d550: 6e5f7461 626c6500 034c0000 46720363 n_table..L..Fr.c 6d560: 6d6e6f73 00000045 44022300 03646267 mnos...ED.#..dbg 6d570: 00000009 8e0323b8 03036869 66000000 ......#...hif... 6d580: 2c6b0323 c0030368 74630000 003dbc03 ,k.#...htc...=.. 6d590: 23f80303 776d695f 7376635f 61706900 #...wmi_svc_api. 6d5a0: 00004566 0323ac04 03757362 6669666f ..Ef.#...usbfifo 6d5b0: 5f617069 00000035 740323d8 04036275 _api...5t.#...bu 6d5c0: 665f706f 6f6c0000 003a1d03 23e40403 f_pool...:..#... 6d5d0: 76627566 00000003 5f032380 05037664 vbuf...._.#...vd 6d5e0: 65736300 00000241 03239405 03616c6c esc....A.#...all 6d5f0: 6f637261 6d000000 0ef00323 a8050364 ocram......#...d 6d600: 6d615f65 6e67696e 65000000 05990323 ma_engine......# 6d610: b4050364 6d615f6c 69620000 002eff03 ...dma_lib...... 6d620: 23f40503 6869665f 70636900 0000315f #...hif_pci...1_ 6d630: 0323a806 000a0000 023e0106 5f415f6d .#.......>.._A_m 6d640: 61677069 655f696e 64697265 6374696f agpie_indirectio 6d650: 6e5f7461 626c655f 74000000 45780901 n_table_t...Ex.. 6d660: 09010901 0a000001 f9010901 09010700 ................ 6d670: 00070f0b 000046b8 080a0004 000046ab ......F.......F. 6d680: 04000700 00070f0d 000046cc 080c0004 ..........F..... 6d690: 000046bf 04000700 00070f06 000046e0 ..F...........F. 6d6a0: 08050004 000046d3 04000700 00070f03 ......F......... 6d6b0: 000046f4 08020004 000046e7 04000400 ..F.......F..... 6d6c0: 00059904 00090104 0000050f 04001a01 ................ 6d6d0: 325f444d 41656e67 696e655f 696e6974 2_DMAengine_init 6d6e0: 00010392 01200290 00008e59 1c008e59 ..... .....Y...Y 6d6f0: 211b0137 5f444d41 656e6769 6e655f69 !..7_DMAengine_i 6d700: 6e69745f 72785f71 75657565 00010101 nit_rx_queue.... 6d710: 03920120 02900000 8e592400 8e596400 ... .....Y$..Yd. 6d720: 0047831c 01377100 00000518 01521d64 .G...7q......R.d 6d730: 65736300 00000214 1d757362 44657363 esc......usbDesc 6d740: 00000004 72001e01 455f444d 41656e67 ....r...E_DMAeng 6d750: 696e655f 696e6974 5f74785f 71756575 ine_init_tx_queu 6d760: 65000101 03920120 02900000 8e596400 e...... .....Yd. 6d770: 8e597400 0047c01c 01457100 00000528 .Yt..G...Eq....( 6d780: 0152001f 014e7377 61704461 74610001 .R...NswapData.. 6d790: 03920120 02900000 8e597400 8e59bf00 ... .....Yt..Y.. 6d7a0: 00481a1c 014e7573 62446573 63000000 .H...NusbDesc... 6d7b0: 04720152 1d6c656e 00000001 f91d6461 .r.R.len......da 6d7c0: 74614164 64720000 0018151d 69000000 taAddr......i... 6d7d0: 01f91d64 61746100 00000ed5 001e0162 ...data........b 6d7e0: 5f444d41 656e6769 6e655f72 65747572 _DMAengine_retur 6d7f0: 6e5f7265 63765f62 75660001 01039201 n_recv_buf...... 6d800: 20029000 008e59c0 008e59d7 00004866 .....Y...Y...Hf 6d810: 1c016271 00000005 1801521c 01626275 ..bq......R..bbu 6d820: 66000000 03350153 0020016b 636f6e66 f....5.S. .kconf 6d830: 69675f71 75657565 00010103 92012002 ig_queue...... . 6d840: 9000008e 59d8008e 5a500000 48e61c01 ....Y...ZP..H... 6d850: 6b710000 00051801 521c016b 64657363 kq......R..kdesc 6d860: 5f6c6973 74000000 02140153 1d707265 _list......S.pre 6d870: 76557362 44657363 00000004 721d7468 vUsbDesc....r.th 6d880: 65446573 63000000 02141d75 73624465 eDesc......usbDe 6d890: 73630000 0004721d 68656164 55736244 sc....r.headUsbD 6d8a0: 65736300 00000472 001b018f 5f444d41 esc....r...._DMA 6d8b0: 656e6769 6e655f63 6f6e6669 675f7278 engine_config_rx 6d8c0: 5f717565 75650001 01010392 01300290 _queue.......0.. 6d8d0: 00008e5a 50008e5a a7000049 651c018f ...ZP..Z...Ie... 6d8e0: 71000000 05180152 1c018f6e 756d5f64 q......R...num_d 6d8f0: 65736300 000001f9 01531c01 8f627566 esc......S...buf 6d900: 5f73697a 65000000 01f90154 1d686561 _size......T.hea 6d910: 64000000 02141d69 00000001 f91d6465 d......i......de 6d920: 73630000 00021400 1b01b05f 444d4165 sc........._DMAe 6d930: 6e67696e 655f786d 69745f62 75660001 ngine_xmit_buf.. 6d940: 01010392 01200290 00008e5a a8008e5b ..... .....Z...[ 6d950: 66000049 e91c01b0 71000000 05280152 f..I....q....(.R 6d960: 1c01b062 75660000 00033501 531d7072 ...buf....5.S.pr 6d970: 65765573 62446573 63000000 04721d63 evUsbDesc....r.c 6d980: 75727256 64657363 00000002 141d7573 urrVdesc......us 6d990: 62446573 63000000 04721d68 65616455 bDesc....r.headU 6d9a0: 73624465 73630000 00047200 1e01ea5f sbDesc....r...._ 6d9b0: 444d4165 6e67696e 655f666c 7573685f DMAengine_flush_ 6d9c0: 786d6974 00010103 92012002 9000008e xmit...... ..... 6d9d0: 5b68008e 5b6d0000 4a231c01 ea710000 [h..[m..J#...q.. 6d9e0: 00051801 52002101 ee5f444d 41656e67 ....R.!.._DMAeng 6d9f0: 696e655f 6861735f 636f6d70 6c5f7061 ine_has_compl_pa 6da00: 636b6574 73000000 01f90101 03920120 ckets.......... 6da10: 02900000 8e5b7000 8e5b8e00 004a7c1c .....[p..[...J|. 6da20: 01ee7100 00000518 01521d68 61735f63 ..q......R.has_c 6da30: 6f6d706c 5f706b74 73000000 01f90021 ompl_pkts......! 6da40: 01fa5f44 4d41656e 67696e65 5f726561 .._DMAengine_rea 6da50: 705f7265 63765f62 75660000 00033501 p_recv_buf....5. 6da60: 01039201 20029000 008e5b90 008e5bbf .... .....[...[. 6da70: 00004ad0 1c01fa71 00000005 1801521d ..J....q......R. 6da80: 64657363 00000004 721d6275 66000000 desc....r.buf... 6da90: 03350022 0101115f 444d4165 6e67696e .5."..._DMAengin 6daa0: 655f7265 61705f78 6d697465 645f6275 e_reap_xmited_bu 6dab0: 66000000 03350101 03920120 02900000 f....5..... .... 6dac0: 8e5bc000 8e5bf600 004b2c23 01011171 .[...[...K,#...q 6dad0: 00000005 2801521d 64657363 00000004 ....(.R.desc.... 6dae0: 721d7365 6e744275 66000000 03350024 r.sentBuf....5.$ 6daf0: 01012a5f 444d4165 6e67696e 655f6465 ..*_DMAengine_de 6db00: 73635f64 756d7000 01010392 01200290 sc_dump...... .. 6db10: 00008e5b f8008e5c 5600004b 7b230101 ...[...\V..K{#.. 6db20: 2a710000 00051801 521d6900 0000045d *q......R.i....] 6db30: 1d746d70 44657363 00000004 72002401 .tmpDesc....r.$. 6db40: 014e646d 615f656e 67696e65 5f6d6f64 .Ndma_engine_mod 6db50: 756c655f 696e7374 616c6c00 01010392 ule_install..... 6db60: 01200290 00008e5c 58008e5c a800004b . .....\X..\...K 6db70: be230101 4e617069 73000000 46fb0152 .#..Napis...F..R 6db80: 00250101 6572656c 696e6b55 53424465 .%..erelinkUSBDe 6db90: 7363546f 56646573 63000103 92012002 scToVdesc..... . 6dba0: 9000008e 5ca8008e 5cef2301 01656275 ....\...\.#..ebu 6dbb0: 66000000 03350152 23010165 64657363 f....5.R#..edesc 6dbc0: 00000004 7201531d 70726576 56646573 ....r.S.prevVdes 6dbd0: 63000000 02141d63 75727244 65736300 c......currDesc. 6dbe0: 00000472 1d766465 73630000 00021400 ...r.vdesc...... 6dbf0: 00000000 50a40002 0000281b 04012f72 ....P.....(.../r 6dc00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 6dc10: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 6dc20: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 6dc30: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 6dc40: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 6dc50: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 6dc60: 656e6769 6e652f73 72632f64 6573632e engine/src/desc. 6dc70: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 6dc80: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 6dc90: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 6dca0: 2f726f6d 2f646d61 5f656e67 696e6500 /rom/dma_engine. 6dcb0: 78742d78 63632066 6f722037 2e312e30 xt-xcc for 7.1.0 6dcc0: 202d4f50 543a616c 69676e5f 696e7374 -OPT:align_inst 6dcd0: 72756374 696f6e73 3d333220 2d4f3220 ructions=32 -O2 6dce0: 2d673320 2d4f5054 3a737061 63650001 -g3 -OPT:space.. 6dcf0: 0000018a f602756e 7369676e 65642069 ......unsigned i 6dd00: 6e740007 04037769 6e745f74 00000001 nt....wint_t.... 6dd10: 0302756e 7369676e 65642063 68617200 ..unsigned char. 6dd20: 07010400 00011f04 0000013d 05030006 ...........=.... 6dd30: 04000001 61075f5f 77636800 00000113 ....a.__wch..... 6dd40: 02230007 5f5f7763 68620000 00013002 .#..__wchb....0. 6dd50: 23000002 696e7400 05040808 0000018f #...int......... 6dd60: 075f5f63 6f756e74 00000001 61022300 .__count....a.#. 6dd70: 075f5f76 616c7565 00000001 3d022304 .__value....=.#. 6dd80: 00095f42 6967696e 74001800 0001ed07 .._Bigint....... 6dd90: 5f6e6578 74000000 01ed0223 00075f6b _next......#.._k 6dda0: 00000001 61022304 075f6d61 78776473 ....a.#.._maxwds 6ddb0: 00000001 61022308 075f7369 676e0000 ....a.#.._sign.. 6ddc0: 00016102 230c075f 77647300 00000161 ..a.#.._wds....a 6ddd0: 02231007 5f780000 00021602 2314000a .#.._x......#... 6dde0: 0000018f 0400026c 6f6e6720 756e7369 .......long unsi 6ddf0: 676e6564 20696e74 00070403 5f5f554c gned int....__UL 6de00: 6f6e6700 000001f4 04000002 09040000 ong............. 6de10: 02230500 000a0000 018f0400 095f5f74 .#...........__t 6de20: 6d002400 0002d607 5f5f746d 5f736563 m.$.....__tm_sec 6de30: 00000001 61022300 075f5f74 6d5f6d69 ....a.#..__tm_mi 6de40: 6e000000 01610223 04075f5f 746d5f68 n....a.#..__tm_h 6de50: 6f757200 00000161 02230807 5f5f746d our....a.#..__tm 6de60: 5f6d6461 79000000 01610223 0c075f5f _mday....a.#..__ 6de70: 746d5f6d 6f6e0000 00016102 2310075f tm_mon....a.#.._ 6de80: 5f746d5f 79656172 00000001 61022314 _tm_year....a.#. 6de90: 075f5f74 6d5f7764 61790000 00016102 .__tm_wday....a. 6dea0: 2318075f 5f746d5f 79646179 00000001 #..__tm_yday.... 6deb0: 6102231c 075f5f74 6d5f6973 64737400 a.#..__tm_isdst. 6dec0: 00000161 02232000 0b040004 000002d6 ...a.# ......... 6ded0: 80000002 e6051f00 0c5f6f6e 5f657869 ........._on_exi 6dee0: 745f6172 67730001 08000003 44075f66 t_args......D._f 6def0: 6e617267 73000000 02d90223 00075f64 nargs......#.._d 6df00: 736f5f68 616e646c 65000000 02d90323 so_handle......# 6df10: 8001075f 666e7479 70657300 00000209 ..._fntypes..... 6df20: 03238002 075f6973 5f637861 00000002 .#..._is_cxa.... 6df30: 09032384 02000c5f 61746578 69740001 ..#...._atexit.. 6df40: 90000003 93075f6e 65787400 00000393 ......_next..... 6df50: 02230007 5f696e64 00000001 61022304 .#.._ind....a.#. 6df60: 075f666e 73000000 03a30223 08075f6f ._fns......#.._o 6df70: 6e5f6578 69745f61 72677300 000002e6 n_exit_args..... 6df80: 03238801 000a0000 03440400 0d010a00 .#.......D...... 6df90: 00039a04 00040000 039c8000 0003b005 ................ 6dfa0: 1f000a00 00034404 000a0000 011f0400 ......D......... 6dfb0: 095f5f73 62756600 08000003 e8075f62 .__sbuf......._b 6dfc0: 61736500 000003b7 02230007 5f73697a ase......#.._siz 6dfd0: 65000000 01610223 04000273 686f7274 e....a.#...short 6dfe0: 20696e74 00050202 63686172 0007010a int....char.... 6dff0: 000003f5 04000e00 00016101 0a000004 ..........a..... 6e000: 0404000f 000003f5 0f000003 f50a0000 ................ 6e010: 04160400 0e000001 61010a00 00042204 ........a.....". 6e020: 00026c6f 6e672069 6e740005 04035f66 ..long int...._f 6e030: 706f735f 74000000 042f0e00 00043b01 pos_t..../....;. 6e040: 0a000004 4804000e 00000161 010a0000 ....H......a.... 6e050: 04550400 04000001 1f030000 046f0502 .U...........o.. 6e060: 00040000 011f0100 00047c05 00000c5f ..........|...._ 6e070: 7265656e 74000400 00000603 075f6572 reent........_er 6e080: 726e6f00 00000161 02230007 5f737464 rno....a.#.._std 6e090: 696e0000 0007b702 2304075f 7374646f in......#.._stdo 6e0a0: 75740000 0007b702 2308075f 73746465 ut......#.._stde 6e0b0: 72720000 0007b702 230c075f 696e6300 rr......#.._inc. 6e0c0: 00000161 02231007 5f656d65 7267656e ...a.#.._emergen 6e0d0: 63790000 000a6602 2314075f 63757272 cy....f.#.._curr 6e0e0: 656e745f 63617465 676f7279 00000001 ent_category.... 6e0f0: 61022330 075f6375 7272656e 745f6c6f a.#0._current_lo 6e100: 63616c65 00000004 1b022334 075f5f73 cale......#4.__s 6e110: 64696469 6e697400 00000161 02233807 didinit....a.#8. 6e120: 5f5f636c 65616e75 70000000 0a750223 __cleanup....u.# 6e130: 3c075f72 6573756c 74000000 02230223 <._result....#.# 6e140: 40075f72 6573756c 745f6b00 00000161 @._result_k....a 6e150: 02234407 5f703573 00000002 23022348 .#D._p5s....#.#H 6e160: 075f6672 65656c69 73740000 000a7c02 ._freelist....|. 6e170: 234c075f 6376746c 656e0000 00016102 #L._cvtlen....a. 6e180: 2350075f 63767462 75660000 0003fd02 #P._cvtbuf...... 6e190: 2354075f 6e657700 00000a40 02235807 #T._new....@.#X. 6e1a0: 5f617465 78697400 000003b0 0323c802 _atexit......#.. 6e1b0: 075f6174 65786974 30000000 03440323 ._atexit0....D.# 6e1c0: cc02075f 7369675f 66756e63 0000000a ..._sig_func.... 6e1d0: 8c0323dc 05075f5f 73676c75 65000000 ..#...__sglue... 6e1e0: 076c0323 e005075f 5f736600 00000a93 .l.#...__sf..... 6e1f0: 0323ec05 000a0000 047c0400 035f4c4f .#.......|..._LO 6e200: 434b5f52 45435552 53495645 5f540000 CK_RECURSIVE_T.. 6e210: 00016103 5f666c6f 636b5f74 00000006 ..a._flock_t.... 6e220: 0a095f5f 7346494c 45005c00 00076c07 ..__sFILE.\...l. 6e230: 5f700000 0003b702 2300075f 72000000 _p......#.._r... 6e240: 01610223 04075f77 00000001 61022308 .a.#.._w....a.#. 6e250: 075f666c 61677300 000003e8 02230c07 ._flags......#.. 6e260: 5f66696c 65000000 03e80223 0e075f62 _file......#.._b 6e270: 66000000 03be0223 10075f6c 62667369 f......#.._lbfsi 6e280: 7a650000 00016102 2318075f 636f6f6b ze....a.#.._cook 6e290: 69650000 0002d602 231c075f 72656164 ie......#.._read 6e2a0: 00000004 0a022320 075f7772 69746500 ......# ._write. 6e2b0: 00000428 02232407 5f736565 6b000000 ...(.#$._seek... 6e2c0: 044e0223 28075f63 6c6f7365 00000004 .N.#(._close.... 6e2d0: 5b02232c 075f7562 00000003 be022330 [.#,._ub......#0 6e2e0: 075f7570 00000003 b7022338 075f7572 ._up......#8._ur 6e2f0: 00000001 6102233c 075f7562 75660000 ....a.#<._ubuf.. 6e300: 00046202 2340075f 6e627566 00000004 ..b.#@._nbuf.... 6e310: 6f022343 075f6c62 00000003 be022344 o.#C._lb......#D 6e320: 075f626c 6b73697a 65000000 01610223 ._blksize....a.# 6e330: 4c075f6f 66667365 74000000 01610223 L._offset....a.# 6e340: 50075f64 61746100 00000603 02235407 P._data......#T. 6e350: 5f6c6f63 6b000000 06210223 5800095f _lock....!.#X.._ 6e360: 676c7565 000c0000 07a4075f 6e657874 glue......._next 6e370: 00000007 a4022300 075f6e69 6f627300 ......#.._niobs. 6e380: 00000161 02230407 5f696f62 73000000 ...a.#.._iobs... 6e390: 07b70223 08000a00 00076c04 00035f5f ...#......l...__ 6e3a0: 46494c45 00000006 2f0a0000 07ab0400 FILE..../....... 6e3b0: 0a000007 6c040002 73686f72 7420756e ....l...short un 6e3c0: 7369676e 65642069 6e740007 02040000 signed int...... 6e3d0: 07c50600 0007e805 0200095f 72616e64 ..........._rand 6e3e0: 3438000e 00000820 075f7365 65640000 48..... ._seed.. 6e3f0: 0007db02 2300075f 6d756c74 00000007 ....#.._mult.... 6e400: db022306 075f6164 64000000 07c50223 ..#.._add......# 6e410: 0c000400 0003f51a 0000082d 05190002 ...........-.... 6e420: 6c6f6e67 206c6f6e 6720756e 7369676e long long unsign 6e430: 65642069 6e740007 08035f6d 62737461 ed int...._mbsta 6e440: 74655f74 00000001 68040000 03f50800 te_t....h....... 6e450: 00086405 07000400 0003f518 00000871 ..d............q 6e460: 05170008 d0000009 ff075f75 6e757365 .........._unuse 6e470: 645f7261 6e640000 00010302 2300075f d_rand......#.._ 6e480: 73747274 6f6b5f6c 61737400 000003fd strtok_last..... 6e490: 02230407 5f617363 74696d65 5f627566 .#.._asctime_buf 6e4a0: 00000008 20022308 075f6c6f 63616c74 .... .#.._localt 6e4b0: 696d655f 62756600 0000022a 02232407 ime_buf....*.#$. 6e4c0: 5f67616d 6d615f73 69676e67 616d0000 _gamma_signgam.. 6e4d0: 00016102 2348075f 72616e64 5f6e6578 ..a.#H._rand_nex 6e4e0: 74000000 082d0223 50075f72 34380000 t....-.#P._r48.. 6e4f0: 0007e802 2358075f 6d626c65 6e5f7374 ....#X._mblen_st 6e500: 61746500 00000847 02236807 5f6d6274 ate....G.#h._mbt 6e510: 6f77635f 73746174 65000000 08470223 owc_state....G.# 6e520: 70075f77 63746f6d 625f7374 61746500 p._wctomb_state. 6e530: 00000847 02237807 5f6c3634 615f6275 ...G.#x._l64a_bu 6e540: 66000000 08570323 8001075f 7369676e f....W.#..._sign 6e550: 616c5f62 75660000 00086403 23880107 al_buf....d.#... 6e560: 5f676574 64617465 5f657272 00000001 _getdate_err.... 6e570: 610323a0 01075f6d 62726c65 6e5f7374 a.#..._mbrlen_st 6e580: 61746500 00000847 0323a401 075f6d62 ate....G.#..._mb 6e590: 72746f77 635f7374 61746500 00000847 rtowc_state....G 6e5a0: 0323ac01 075f6d62 7372746f 7763735f .#..._mbsrtowcs_ 6e5b0: 73746174 65000000 08470323 b401075f state....G.#..._ 6e5c0: 77637274 6f6d625f 73746174 65000000 wcrtomb_state... 6e5d0: 08470323 bc01075f 77637372 746f6d62 .G.#..._wcsrtomb 6e5e0: 735f7374 61746500 00000847 0323c401 s_state....G.#.. 6e5f0: 00040000 03b77800 000a0c05 1d000400 ......x......... 6e600: 00010378 00000a19 051d0008 f000000a ...x............ 6e610: 40075f6e 65787466 00000009 ff022300 @._nextf......#. 6e620: 075f6e6d 616c6c6f 63000000 0a0c0223 ._nmalloc......# 6e630: 780006f0 00000a66 075f7265 656e7400 x......f._reent. 6e640: 00000871 02230007 5f756e75 73656400 ...q.#.._unused. 6e650: 00000a19 02230000 04000003 f5190000 .....#.......... 6e660: 0a730518 000d010a 00000a73 04000a00 .s.........s.... 6e670: 00022304 000d010a 00000a83 04000a00 ..#............. 6e680: 000a8504 00100000 07ab0114 00000aa1 ................ 6e690: 0502000e 00000161 010a0000 0aa10400 .......a........ 6e6a0: 09707269 6e74665f 61706900 0800000a .printf_api..... 6e6b0: e5075f70 72696e74 665f696e 69740000 .._printf_init.. 6e6c0: 00039c02 2300075f 7072696e 74660000 ....#.._printf.. 6e6d0: 000aa702 23040003 75696e74 31365f74 ....#...uint16_t 6e6e0: 00000007 c5037569 6e743332 5f740000 ......uint32_t.. 6e6f0: 0001f409 75617274 5f666966 6f000800 ....uart_fifo... 6e700: 000b4c07 73746172 745f696e 64657800 ..L.start_index. 6e710: 00000ae5 02230007 656e645f 696e6465 .....#..end_inde 6e720: 78000000 0ae50223 02076f76 65727275 x......#..overru 6e730: 6e5f6572 72000000 0af30223 04000975 n_err......#...u 6e740: 6172745f 61706900 2000000c 05075f75 art_api. ....._u 6e750: 6172745f 696e6974 0000000c 5c022300 art_init....\.#. 6e760: 075f7561 72745f63 6861725f 70757400 ._uart_char_put. 6e770: 00000c72 02230407 5f756172 745f6368 ...r.#.._uart_ch 6e780: 61725f67 65740000 000c8602 2308075f ar_get......#.._ 6e790: 75617274 5f737472 5f6f7574 0000000c uart_str_out.... 6e7a0: 8f02230c 075f7561 72745f74 61736b00 ..#.._uart_task. 6e7b0: 0000039c 02231007 5f756172 745f7374 .....#.._uart_st 6e7c0: 61747573 0000000c 5c022314 075f7561 atus....\.#.._ua 6e7d0: 72745f63 6f6e6669 67000000 0c980223 rt_config......# 6e7e0: 18075f75 6172745f 6877696e 69740000 .._uart_hwinit.. 6e7f0: 000ca102 231c000a 00000b4c 04000975 ....#......L...u 6e800: 6172745f 626c6b00 1000000c 56076465 art_blk.....V.de 6e810: 6275675f 6d6f6465 0000000a e5022300 bug_mode......#. 6e820: 07626175 64000000 0ae50223 02075f75 .baud......#.._u 6e830: 61727400 00000c05 02230407 5f747800 art......#.._tx. 6e840: 00000b01 02230800 0e00000a f3010a00 .....#.......... 6e850: 000c5604 00037569 6e74385f 74000000 ..V...uint8_t... 6e860: 011f0d01 0a00000c 7004000a 00000c63 ........p......c 6e870: 04000e00 000ae501 0a00000c 8004000d ................ 6e880: 010a0000 0c8d0400 0d010a00 000c9604 ................ 6e890: 000d010a 00000c9f 04000e00 00016101 ..............a. 6e8a0: 0a00000c a8040009 44425f43 4f4d4d41 ........DB_COMMA 6e8b0: 4e445f53 54525543 54000c00 000d0007 ND_STRUCT....... 6e8c0: 636d645f 73747200 000003fd 02230007 cmd_str......#.. 6e8d0: 68656c70 5f737472 00000003 fd022304 help_str......#. 6e8e0: 07636d64 5f66756e 63000000 0cae0223 .cmd_func......# 6e8f0: 08000964 62675f61 70690008 00000d33 ...dbg_api.....3 6e900: 075f6462 675f696e 69740000 00039c02 ._dbg_init...... 6e910: 2300075f 6462675f 7461736b 00000003 #.._dbg_task.... 6e920: 9c022304 000e0000 02d6010a 00000d33 ..#............3 6e930: 04001111 0a00000d 4104000e 000002d6 ........A....... 6e940: 010a0000 0d490400 0e000001 61010a00 .....I......a... 6e950: 000d5604 00096d65 6d5f6170 69001400 ..V...mem_api... 6e960: 000dc507 5f6d656d 5f696e69 74000000 ...._mem_init... 6e970: 039c0223 00075f6d 656d7365 74000000 ...#.._memset... 6e980: 0d390223 04075f6d 656d6370 79000000 .9.#.._memcpy... 6e990: 0d4f0223 08075f6d 656d6d6f 76650000 .O.#.._memmove.. 6e9a0: 000d4f02 230c075f 6d656d63 6d700000 ..O.#.._memcmp.. 6e9b0: 000d5c02 23100012 72656769 73746572 ..\.#...register 6e9c0: 5f64756d 705f7300 00010a00 000dc504 _dump_s......... 6e9d0: 000d010a 00000ddf 04000d01 0a00000d ................ 6e9e0: e804000e 00000161 010a0000 0df10400 .......a........ 6e9f0: 13686f73 7469665f 73000400 000e4d14 .hostif_s.....M. 6ea00: 4849465f 55534200 00144849 465f5043 HIF_USB...HIF_PC 6ea10: 49450001 14484946 5f474d41 43000214 IE...HIF_GMAC... 6ea20: 4849465f 50434900 03144849 465f4e55 HIF_PCI...HIF_NU 6ea30: 4d000414 4849465f 4e4f4e45 00050003 M...HIF_NONE.... 6ea40: 415f484f 53544946 0000000d fe0e0000 A_HOSTIF........ 6ea50: 0e4d010a 00000e5b 04000e00 000c6301 .M.....[......c. 6ea60: 0a00000e 6804000e 00000ae5 010a0000 ....h........... 6ea70: 0e750400 096d6973 635f6170 69002400 .u...misc_api.$. 6ea80: 000f6507 5f737973 74656d5f 72657365 ..e._system_rese 6ea90: 74000000 039c0223 00075f6d 61635f72 t......#.._mac_r 6eaa0: 65736574 00000003 9c022304 075f6173 eset......#.._as 6eab0: 73666169 6c000000 0de10223 08075f6d sfail......#.._m 6eac0: 6973616c 69676e65 645f6c6f 61645f68 isaligned_load_h 6ead0: 616e646c 65720000 000de102 230c075f andler......#.._ 6eae0: 7265706f 72745f66 61696c75 72655f74 report_failure_t 6eaf0: 6f5f686f 73740000 000dea02 2310075f o_host......#.._ 6eb00: 74617267 65745f69 645f6765 74000000 target_id_get... 6eb10: 0df70223 14075f69 735f686f 73745f70 ...#.._is_host_p 6eb20: 72657365 6e740000 000e6102 2318075f resent....a.#.._ 6eb30: 6b626869 74000000 0e6e0223 1c075f72 kbhit....n.#.._r 6eb40: 6f6d5f76 65727369 6f6e5f67 65740000 om_version_get.. 6eb50: 000e7b02 2320000e 000003fd 010a0000 ..{.# .......... 6eb60: 0f650400 0e000003 fd010a00 000f7204 .e............r. 6eb70: 000e0000 0161010a 00000f7f 04000e00 .....a.......... 6eb80: 00016101 0a00000f 8c04000e 00000161 ..a............a 6eb90: 010a0000 0f990400 09737472 696e675f .........string_ 6eba0: 61706900 18000010 1f075f73 7472696e api......._strin 6ebb0: 675f696e 69740000 00039c02 2300075f g_init......#.._ 6ebc0: 73747263 70790000 000f6b02 2304075f strcpy....k.#.._ 6ebd0: 7374726e 63707900 00000f78 02230807 strncpy....x.#.. 6ebe0: 5f737472 6c656e00 00000f85 02230c07 _strlen......#.. 6ebf0: 5f737472 636d7000 00000f92 02231007 _strcmp......#.. 6ec00: 5f737472 6e636d70 0000000f 9f022314 _strncmp......#. 6ec10: 00040000 01031400 00102c05 0400035f ..........,...._ 6ec20: 415f5449 4d45525f 53504143 45000000 A_TIMER_SPACE... 6ec30: 101f0341 5f74696d 65725f74 00000010 ...A_timer_t.... 6ec40: 2c0a0000 10400400 0d010a00 00105604 ,....@........V. 6ec50: 000d010a 0000105f 04000341 5f48414e ......._...A_HAN 6ec60: 444c4500 00000103 0d010341 5f54494d DLE........A_TIM 6ec70: 45525f46 554e4300 00001076 0a000010 ER_FUNC....v.... 6ec80: 7804000d 010a0000 10910400 0974696d x............tim 6ec90: 65725f61 70690014 00001110 075f7469 er_api......._ti 6eca0: 6d65725f 696e6974 00000003 9c022300 mer_init......#. 6ecb0: 075f7469 6d65725f 61726d00 00001058 ._timer_arm....X 6ecc0: 02230407 5f74696d 65725f64 69736172 .#.._timer_disar 6ecd0: 6d000000 10610223 08075f74 696d6572 m....a.#.._timer 6ece0: 5f736574 666e0000 00109302 230c075f _setfn......#.._ 6ecf0: 74696d65 725f7275 6e000000 039c0223 timer_run......# 6ed00: 10000342 4f4f4c45 414e0000 000ae50e ...BOOLEAN...... 6ed10: 00001110 010a0000 111d0400 0e000011 ................ 6ed20: 10010a00 00112a04 000e0000 1110010a ......*......... 6ed30: 00001137 04000972 6f6d705f 61706900 ...7...romp_api. 6ed40: 10000011 a9075f72 6f6d705f 696e6974 ......_romp_init 6ed50: 00000003 9c022300 075f726f 6d705f64 ......#.._romp_d 6ed60: 6f776e6c 6f616400 00001123 02230407 ownload....#.#.. 6ed70: 5f726f6d 705f696e 7374616c 6c000000 _romp_install... 6ed80: 11300223 08075f72 6f6d705f 6465636f .0.#.._romp_deco 6ed90: 64650000 00113d02 230c0009 726f6d5f de....=.#...rom_ 6eda0: 70617463 685f7374 00100000 12050763 patch_st.......c 6edb0: 72633136 0000000a e5022300 076c656e rc16......#..len 6edc0: 0000000a e5022302 076c645f 61646472 ......#..ld_addr 6edd0: 0000000a f3022304 0766756e 5f616464 ......#..fun_add 6ede0: 72000000 0af30223 08077066 756e0000 r......#..pfun.. 6edf0: 000c7902 230c0009 6565705f 72656469 ..y.#...eep_redi 6ee00: 725f6164 64720004 00001237 076f6666 r_addr.....7.off 6ee10: 73657400 00000ae5 02230007 73697a65 set......#..size 6ee20: 0000000a e5022302 0003415f 55494e54 ......#...A_UINT 6ee30: 33320000 0001030e 000002d6 010a0000 32.............. 6ee40: 12450400 09616c6c 6f637261 6d5f6170 .E...allocram_ap 6ee50: 69000c00 0012b607 636d6e6f 735f616c i.......cmnos_al 6ee60: 6c6f6372 616d5f69 6e697400 0000124b locram_init....K 6ee70: 02230007 636d6e6f 735f616c 6c6f6372 .#..cmnos_allocr 6ee80: 616d0000 00124b02 23040763 6d6e6f73 am....K.#..cmnos 6ee90: 5f616c6c 6f637261 6d5f6465 62756700 _allocram_debug. 6eea0: 0000039c 02230800 0d010a00 0012b604 .....#.......... 6eeb0: 0003415f 5441534b 4c45545f 46554e43 ..A_TASKLET_FUNC 6eec0: 00000012 b8095f74 61736b6c 65740010 ......_tasklet.. 6eed0: 00001317 0766756e 63000000 12bf0223 .....func......# 6eee0: 00076172 67000000 02d60223 04077374 ..arg......#..st 6eef0: 61746500 00000161 02230807 6e657874 ate....a.#..next 6ef00: 00000013 1702230c 000a0000 12d30400 ......#......... 6ef10: 0a000012 d3040003 415f7461 736b6c65 ........A_taskle 6ef20: 745f7400 000012d3 0a000013 2504000d t_t.........%... 6ef30: 010a0000 133d0400 0d010a00 00134604 .....=........F. 6ef40: 00097461 736b6c65 745f6170 69001400 ..tasklet_api... 6ef50: 0013db07 5f746173 6b6c6574 5f696e69 ...._tasklet_ini 6ef60: 74000000 039c0223 00075f74 61736b6c t......#.._taskl 6ef70: 65745f69 6e69745f 7461736b 00000013 et_init_task.... 6ef80: 3f022304 075f7461 736b6c65 745f6469 ?.#.._tasklet_di 6ef90: 7361626c 65000000 13480223 08075f74 sable....H.#.._t 6efa0: 61736b6c 65745f73 63686564 756c6500 asklet_schedule. 6efb0: 00001348 02230c07 5f746173 6b6c6574 ...H.#.._tasklet 6efc0: 5f72756e 00000003 9c022310 000d010a _run......#..... 6efd0: 000013db 04000e00 00123701 0a000013 ..........7..... 6efe0: e4040009 636c6f63 6b5f6170 69002400 ....clock_api.$. 6eff0: 0014ca07 5f636c6f 636b5f69 6e697400 ...._clock_init. 6f000: 000013dd 02230007 5f636c6f 636b7265 .....#.._clockre 6f010: 67735f69 6e697400 0000039c 02230407 gs_init......#.. 6f020: 5f756172 745f6672 65717565 6e637900 _uart_frequency. 6f030: 000013ea 02230807 5f64656c 61795f75 .....#.._delay_u 6f040: 73000000 0a850223 0c075f77 6c616e5f s......#.._wlan_ 6f050: 62616e64 5f736574 0000000a 85022310 band_set......#. 6f060: 075f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g 6f070: 65740000 0013ea02 2314075f 6d696c6c et......#.._mill 6f080: 69736563 6f6e6473 00000013 ea022318 iseconds......#. 6f090: 075f7379 73636c6b 5f636861 6e676500 ._sysclk_change. 6f0a0: 0000039c 02231c07 5f636c6f 636b5f74 .....#.._clock_t 6f0b0: 69636b00 0000039c 02232000 0e00000a ick......# ..... 6f0c0: f3010a00 0014ca04 0003415f 6f6c645f ..........A_old_ 6f0d0: 696e7472 5f740000 000af30e 000014d7 intr_t.......... 6f0e0: 010a0000 14e90400 0d010a00 0014f604 ................ 6f0f0: 000d010a 000014ff 04000e00 000af301 ................ 6f100: 0a000015 08040003 415f6973 725f7400 ........A_isr_t. 6f110: 0000150e 0d010a00 00152204 000e0000 .........."..... 6f120: 0103010a 0000152b 04000d01 0a000015 .......+........ 6f130: 38040009 696e7472 5f617069 002c0000 8...intr_api.,.. 6f140: 165a075f 696e7472 5f696e69 74000000 .Z._intr_init... 6f150: 039c0223 00075f69 6e74725f 696e766f ...#.._intr_invo 6f160: 6b655f69 73720000 0014d002 2304075f ke_isr......#.._ 6f170: 696e7472 5f646973 61626c65 00000014 intr_disable.... 6f180: ef022308 075f696e 74725f72 6573746f ..#.._intr_resto 6f190: 72650000 0014f802 230c075f 696e7472 re......#.._intr 6f1a0: 5f6d6173 6b5f696e 756d0000 00150102 _mask_inum...... 6f1b0: 2310075f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ 6f1c0: 696e756d 00000015 01022314 075f696e inum......#.._in 6f1d0: 74725f61 74746163 685f6973 72000000 tr_attach_isr... 6f1e0: 15240223 18075f67 65745f69 6e747265 .$.#.._get_intre 6f1f0: 6e61626c 65000000 15310223 1c075f73 nable....1.#.._s 6f200: 65745f69 6e747265 6e61626c 65000000 et_intrenable... 6f210: 153a0223 20075f67 65745f69 6e747270 .:.# ._get_intrp 6f220: 656e6469 6e670000 00153102 2324075f ending....1.#$._ 6f230: 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr 6f240: 6c766c00 0000039c 02232800 06040000 lvl......#(..... 6f250: 16800774 696d656f 75740000 000af302 ...timeout...... 6f260: 23000761 6374696f 6e000000 0af30223 #..action......# 6f270: 00000808 0000169b 07636d64 0000000a .........cmd.... 6f280: f3022300 15000016 5a022304 0003545f ..#.....Z.#...T_ 6f290: 5744545f 434d4400 00001680 0d010a00 WDT_CMD......... 6f2a0: 0016aa04 00160400 00170014 454e554d ............ENUM 6f2b0: 5f574454 5f424f4f 54000114 454e554d _WDT_BOOT...ENUM 6f2c0: 5f434f4c 445f424f 4f540002 14454e55 _COLD_BOOT...ENU 6f2d0: 4d5f5355 53505f42 4f4f5400 0314454e M_SUSP_BOOT...EN 6f2e0: 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. 6f2f0: 04000354 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. 6f300: 0016b30e 00001700 010a0000 17110400 ................ 6f310: 09776474 5f617069 001c0000 17b5075f .wdt_api......._ 6f320: 7764745f 696e6974 00000003 9c022300 wdt_init......#. 6f330: 075f7764 745f656e 61626c65 00000003 ._wdt_enable.... 6f340: 9c022304 075f7764 745f6469 7361626c ..#.._wdt_disabl 6f350: 65000000 039c0223 08075f77 64745f73 e......#.._wdt_s 6f360: 65740000 0016ac02 230c075f 7764745f et......#.._wdt_ 6f370: 7461736b 00000003 9c022310 075f7764 task......#.._wd 6f380: 745f7265 73657400 0000039c 02231407 t_reset......#.. 6f390: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. 6f3a0: 00171702 23180016 04000018 1c145245 ....#.........RE 6f3b0: 545f5355 43434553 53000014 5245545f T_SUCCESS...RET_ 6f3c0: 4e4f545f 494e4954 00011452 45545f4e NOT_INIT...RET_N 6f3d0: 4f545f45 58495354 00021452 45545f45 OT_EXIST...RET_E 6f3e0: 45505f43 4f525255 50540003 14524554 EP_CORRUPT...RET 6f3f0: 5f454550 5f4f5645 52464c4f 57000414 _EEP_OVERFLOW... 6f400: 5245545f 554e4b4e 4f574e00 05000354 RET_UNKNOWN....T 6f410: 5f454550 5f524554 00000017 b50a0000 _EEP_RET........ 6f420: 0ae50400 0e000018 1c010a00 00183204 ..............2. 6f430: 000e0000 181c010a 0000183f 04000965 ...........?...e 6f440: 65705f61 70690010 000018a8 075f6565 ep_api......._ee 6f450: 705f696e 69740000 00039c02 2300075f p_init......#.._ 6f460: 6565705f 72656164 00000018 38022304 eep_read....8.#. 6f470: 075f6565 705f7772 69746500 00001838 ._eep_write....8 6f480: 02230807 5f656570 5f69735f 65786973 .#.._eep_is_exis 6f490: 74000000 18450223 0c000975 73625f61 t....E.#...usb_a 6f4a0: 70690070 00001b55 075f7573 625f696e pi.p...U._usb_in 6f4b0: 69740000 00039c02 2300075f 7573625f it......#.._usb_ 6f4c0: 726f6d5f 7461736b 00000003 9c022304 rom_task......#. 6f4d0: 075f7573 625f6677 5f746173 6b000000 ._usb_fw_task... 6f4e0: 039c0223 08075f75 73625f69 6e69745f ...#.._usb_init_ 6f4f0: 70687900 0000039c 02230c07 5f757362 phy......#.._usb 6f500: 5f657030 5f736574 75700000 00039c02 _ep0_setup...... 6f510: 2310075f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. 6f520: 00039c02 2314075f 7573625f 6570305f ....#.._usb_ep0_ 6f530: 72780000 00039c02 2318075f 7573625f rx......#.._usb_ 6f540: 6765745f 696e7465 72666163 65000000 get_interface... 6f550: 11300223 1c075f75 73625f73 65745f69 .0.#.._usb_set_i 6f560: 6e746572 66616365 00000011 30022320 nterface....0.# 6f570: 075f7573 625f6765 745f636f 6e666967 ._usb_get_config 6f580: 75726174 696f6e00 00001130 02232407 uration....0.#$. 6f590: 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu 6f5a0: 72617469 6f6e0000 00113002 2328075f ration....0.#(._ 6f5b0: 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd 6f5c0: 00000011 3002232c 075f7573 625f7665 ....0.#,._usb_ve 6f5d0: 6e646f72 5f636d64 00000003 9c022330 ndor_cmd......#0 6f5e0: 075f7573 625f706f 7765725f 6f666600 ._usb_power_off. 6f5f0: 0000039c 02233407 5f757362 5f726573 .....#4._usb_res 6f600: 65745f66 69666f00 0000039c 02233807 et_fifo......#8. 6f610: 5f757362 5f67656e 5f776474 00000003 _usb_gen_wdt.... 6f620: 9c02233c 075f7573 625f6a75 6d705f62 ..#<._usb_jump_b 6f630: 6f6f7400 0000039c 02234007 5f757362 oot......#@._usb 6f640: 5f636c72 5f666561 74757265 00000011 _clr_feature.... 6f650: 30022344 075f7573 625f7365 745f6665 0.#D._usb_set_fe 6f660: 61747572 65000000 11300223 48075f75 ature....0.#H._u 6f670: 73625f73 65745f61 64647265 73730000 sb_set_address.. 6f680: 00113002 234c075f 7573625f 6765745f ..0.#L._usb_get_ 6f690: 64657363 72697074 6f720000 00113002 descriptor....0. 6f6a0: 2350075f 7573625f 6765745f 73746174 #P._usb_get_stat 6f6b0: 75730000 00113002 2354075f 7573625f us....0.#T._usb_ 6f6c0: 73657475 705f6465 73630000 00039c02 setup_desc...... 6f6d0: 2358075f 7573625f 7265675f 6f757400 #X._usb_reg_out. 6f6e0: 0000039c 02235c07 5f757362 5f737461 .....#\._usb_sta 6f6f0: 7475735f 696e0000 00039c02 2360075f tus_in......#`._ 6f700: 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. 6f710: 0000039c 02236407 5f757362 5f657030 .....#d._usb_ep0 6f720: 5f72785f 64617461 00000003 9c022368 _rx_data......#h 6f730: 075f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. 6f740: 00039c02 236c0009 5f564445 53430024 ....#l.._VDESC.$ 6f750: 00001be1 076e6578 745f6465 73630000 .....next_desc.. 6f760: 001be102 23000762 75665f61 64647200 ....#..buf_addr. 6f770: 00001bf5 02230407 6275665f 73697a65 .....#..buf_size 6f780: 0000001b fc022308 07646174 615f6f66 ......#..data_of 6f790: 66736574 0000001b fc02230a 07646174 fset......#..dat 6f7a0: 615f7369 7a650000 001bfc02 230c0763 a_size......#..c 6f7b0: 6f6e7472 6f6c0000 001bfc02 230e0768 ontrol......#..h 6f7c0: 775f6465 73635f62 75660000 001c0a02 w_desc_buf...... 6f7d0: 2310000a 00001b55 04000341 5f55494e #......U...A_UIN 6f7e0: 54380000 00011f0a 00001be8 04000341 T8.............A 6f7f0: 5f55494e 54313600 000007c5 0400001b _UINT16......... 6f800: e8140000 1c170513 000a0000 1b550400 .............U.. 6f810: 03564445 53430000 001b550a 00001c1e .VDESC....U..... 6f820: 04000e00 001c2901 0a00001c 3004000e ......).....0... 6f830: 00001bf5 010a0000 1c3d0400 0d010a00 .........=...... 6f840: 001c4a04 00097664 6573635f 61706900 ..J...vdesc_api. 6f850: 1400001c c2075f69 6e697400 00000a85 ......_init..... 6f860: 02230007 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc 6f870: 0000001c 36022304 075f6765 745f6877 ....6.#.._get_hw 6f880: 5f646573 63000000 1c430223 08075f73 _desc....C.#.._s 6f890: 7761705f 76646573 63000000 1c4c0223 wap_vdesc....L.# 6f8a0: 0c077052 65736572 76656400 000002d6 ..pReserved..... 6f8b0: 02231000 095f5642 55460020 00001d22 .#..._VBUF. ..." 6f8c0: 07646573 635f6c69 73740000 001c2902 .desc_list....). 6f8d0: 2300076e 6578745f 62756600 00001d22 #..next_buf...." 6f8e0: 02230407 6275665f 6c656e67 74680000 .#..buf_length.. 6f8f0: 001bfc02 23080772 65736572 76656400 ....#..reserved. 6f900: 00001d29 02230a07 63747800 00001c0a ...).#..ctx..... 6f910: 02230c00 0a00001c c2040004 00001be8 .#.............. 6f920: 0200001d 36050100 0a00001c c2040003 ....6........... 6f930: 56425546 0000001c c20a0000 1d3d0400 VBUF.........=.. 6f940: 0e00001d 47010a00 001d4e04 000e0000 ....G.....N..... 6f950: 1d47010a 00001d5b 04000d01 0a00001d .G.....[........ 6f960: 68040009 76627566 5f617069 00140000 h...vbuf_api.... 6f970: 1de6075f 696e6974 0000000a 85022300 ..._init......#. 6f980: 075f616c 6c6f635f 76627566 0000001d ._alloc_vbuf.... 6f990: 54022304 075f616c 6c6f635f 76627566 T.#.._alloc_vbuf 6f9a0: 5f776974 685f7369 7a650000 001d6102 _with_size....a. 6f9b0: 2308075f 66726565 5f766275 66000000 #.._free_vbuf... 6f9c0: 1d6a0223 0c077052 65736572 76656400 .j.#..pReserved. 6f9d0: 000002d6 02231000 095f5f61 64665f64 .....#...__adf_d 6f9e0: 65766963 65000400 001e0807 64756d6d evice.......dumm 6f9f0: 79000000 01610223 00000a00 00123704 y....a.#......7. 6fa00: 00095f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. 6fa10: 0c00001e 4f076275 66000000 1d470223 ....O.buf....G.# 6fa20: 00076473 5f616464 72000000 1e080223 ..ds_addr......# 6fa30: 04076473 5f6c656e 0000001b fc022308 ..ds_len......#. 6fa40: 00080c00 001e8907 5f5f7661 5f73746b ........__va_stk 6fa50: 00000003 fd022300 075f5f76 615f7265 ......#..__va_re 6fa60: 67000000 03fd0223 04075f5f 76615f6e g......#..__va_n 6fa70: 64780000 00016102 23080003 5f5f6164 dx....a.#...__ad 6fa80: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 6fa90: 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ 6faa0: 61646472 5f740000 001e8903 5f5f6164 addr_t......__ad 6fab0: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 6fac0: 00001237 03616466 5f6f735f 646d615f ...7.adf_os_dma_ 6fad0: 73697a65 5f740000 001eb909 5f5f646d size_t......__dm 6fae0: 615f7365 67730008 00001f15 07706164 a_segs.......pad 6faf0: 64720000 001ea202 2300076c 656e0000 dr......#..len.. 6fb00: 001ed202 23040003 5f5f615f 75696e74 ....#...__a_uint 6fb10: 33325f74 00000012 3703615f 75696e74 32_t....7.a_uint 6fb20: 33325f74 0000001f 15040000 1ee90800 32_t............ 6fb30: 001f4405 00000961 64665f6f 735f646d ..D....adf_os_dm 6fb40: 616d6170 5f696e66 6f000c00 001f7d07 amap_info.....}. 6fb50: 6e736567 73000000 1f270223 0007646d nsegs....'.#..dm 6fb60: 615f7365 67730000 001f3702 23040003 a_segs....7.#... 6fb70: 5f5f615f 75696e74 385f7400 00001be8 __a_uint8_t..... 6fb80: 03615f75 696e7438 5f740000 001f7d0a .a_uint8_t....}. 6fb90: 00001f8e 0400095f 5f73675f 73656773 .......__sg_segs 6fba0: 00080000 1fcf0776 61646472 0000001f .......vaddr.... 6fbb0: 9d022300 076c656e 0000001f 27022304 ..#..len....'.#. 6fbc0: 00040000 1fa42000 001fdc05 03000961 ...... ........a 6fbd0: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. 6fbe0: 200f076e 73656773 0000001f 27022300 ..nsegs....'.#. 6fbf0: 0773675f 73656773 0000001f cf022304 .sg_segs......#. 6fc00: 00081000 00205807 76656e64 6f720000 ..... X.vendor.. 6fc10: 001f2702 23000764 65766963 65000000 ..'.#..device... 6fc20: 1f270223 04077375 6276656e 646f7200 .'.#..subvendor. 6fc30: 00001f27 02230807 73756264 65766963 ...'.#..subdevic 6fc40: 65000000 1f270223 0c000341 5f55494e e....'.#...A_UIN 6fc50: 54363400 0000082d 035f5f61 5f75696e T64....-.__a_uin 6fc60: 7436345f 74000000 20580361 5f75696e t64_t... X.a_uin 6fc70: 7436345f 74000000 20661604 000020c4 t64_t... f.... . 6fc80: 14414446 5f4f535f 5245534f 55524345 .ADF_OS_RESOURCE 6fc90: 5f545950 455f4d45 4d000014 4144465f _TYPE_MEM...ADF_ 6fca0: 4f535f52 45534f55 5243455f 54595045 OS_RESOURCE_TYPE 6fcb0: 5f494f00 01000361 64665f6f 735f7265 _IO....adf_os_re 6fcc0: 736f7572 63655f74 7970655f 74000000 source_type_t... 6fcd0: 20880818 0000210e 07737461 72740000 .....!..start.. 6fce0: 00207802 23000765 6e640000 00207802 . x.#..end... x. 6fcf0: 23080774 79706500 000020c4 02231000 #..type... ..#.. 6fd00: 03616466 5f6f735f 7063695f 6465765f .adf_os_pci_dev_ 6fd10: 69645f74 00000020 0f0a0000 210e0400 id_t... ....!... 6fd20: 06040000 214d0770 63690000 00212702 ....!M.pci...!'. 6fd30: 23000772 61770000 0002d602 23000006 #..raw......#... 6fd40: 10000021 6c077063 69000000 210e0223 ...!l.pci...!..# 6fd50: 00077261 77000000 02d60223 00000361 ..raw......#...a 6fd60: 64665f64 72765f68 616e646c 655f7400 df_drv_handle_t. 6fd70: 000002d6 03616466 5f6f735f 7265736f .....adf_os_reso 6fd80: 75726365 5f740000 0020e00a 00002182 urce_t... ....!. 6fd90: 04000361 64665f6f 735f6174 74616368 ...adf_os_attach 6fda0: 5f646174 615f7400 0000214d 0a000021 _data_t...!M...! 6fdb0: a004000a 00001de6 0400035f 5f616466 ...........__adf 6fdc0: 5f6f735f 64657669 63655f74 00000021 _os_device_t...! 6fdd0: c1036164 665f6f73 5f646576 6963655f ..adf_os_device_ 6fde0: 74000000 21c80e00 00216c01 0a000021 t...!....!l....! 6fdf0: f404000d 010a0000 22010400 03616466 ........"....adf 6fe00: 5f6f735f 706d5f74 00000002 d60d010a _os_pm_t........ 6fe10: 0000221b 04001604 0000225b 14414446 .."......."[.ADF 6fe20: 5f4f535f 4255535f 54595045 5f504349 _OS_BUS_TYPE_PCI 6fe30: 00011441 44465f4f 535f4255 535f5459 ...ADF_OS_BUS_TY 6fe40: 50455f47 454e4552 49430002 00036164 PE_GENERIC....ad 6fe50: 665f6f73 5f627573 5f747970 655f7400 f_os_bus_type_t. 6fe60: 00002224 03616466 5f6f735f 6275735f .."$.adf_os_bus_ 6fe70: 7265675f 64617461 5f740000 00212e09 reg_data_t...!.. 6fe80: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 6fe90: 00233107 6472765f 61747461 63680000 .#1.drv_attach.. 6fea0: 0021fa02 23000764 72765f64 65746163 .!..#..drv_detac 6feb0: 68000000 22030223 04076472 765f7375 h..."..#..drv_su 6fec0: 7370656e 64000000 221d0223 08076472 spend..."..#..dr 6fed0: 765f7265 73756d65 00000022 0302230c v_resume..."..#. 6fee0: 07627573 5f747970 65000000 225b0223 .bus_type..."[.# 6fef0: 10076275 735f6461 74610000 00227202 ..bus_data..."r. 6ff00: 2314076d 6f645f6e 616d6500 000003b7 #..mod_name..... 6ff10: 02231807 69666e61 6d650000 0003b702 .#..ifname...... 6ff20: 231c0003 6164665f 6f735f68 616e646c #...adf_os_handl 6ff30: 655f7400 000002d6 0a00001f 7d04000d e_t.........}... 6ff40: 010d0103 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 6ff50: 655f7400 00000103 16040000 23801441 e_t.........#..A 6ff60: 5f46414c 53450000 14415f54 52554500 _FALSE...A_TRUE. 6ff70: 01000361 5f626f6f 6c5f7400 00002366 ...a_bool_t...#f 6ff80: 0a00001e 0f040003 5f5f6164 665f6f73 ........__adf_os 6ff90: 5f646d61 5f6d6170 5f740000 00238e0d _dma_map_t...#.. 6ffa0: 01136164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 6ffb0: 796e6300 04000024 18144144 465f5359 ync....$..ADF_SY 6ffc0: 4e435f50 52455245 41440000 14414446 NC_PREREAD...ADF 6ffd0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 6ffe0: 14414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 6fff0: 41440001 14414446 5f53594e 435f504f AD...ADF_SYNC_PO 70000: 53545752 49544500 03000361 64665f6f STWRITE....adf_o 70010: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 70020: 0023af0d 01036164 665f6f73 5f73697a .#....adf_os_siz 70030: 655f7400 00002351 0e000024 33010361 e_t...#Q...$3..a 70040: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 70050: 00002395 0a000024 4c04000e 000002d6 ..#....$L....... 70060: 010a0000 23950400 0e000002 d6010d01 ....#........... 70070: 0e00001e a2010d01 03415f49 4e543136 .........A_INT16 70080: 00000003 e8035f5f 615f696e 7431365f ......__a_int16_ 70090: 74000000 24860361 5f696e74 31365f74 t...$..a_int16_t 700a0: 00000024 93027369 676e6564 20636861 ...$..signed cha 700b0: 72000501 03415f49 4e543800 000024b3 r....A_INT8...$. 700c0: 035f5f61 5f696e74 385f7400 000024c2 .__a_int8_t...$. 700d0: 03615f69 6e74385f 74000000 24ce080c .a_int8_t...$... 700e0: 00002545 07737570 706f7274 65640000 ..%E.supported.. 700f0: 001f2702 23000761 64766572 74697a65 ..'.#..advertize 70100: 64000000 1f270223 04077370 65656400 d....'.#..speed. 70110: 000024a4 02230807 6475706c 65780000 ..$..#..duplex.. 70120: 0024de02 230a0761 75746f6e 65670000 .$..#..autoneg.. 70130: 001f8e02 230b0004 00001f8e 06000025 ....#..........% 70140: 52050500 09616466 5f6e6574 5f657468 R....adf_net_eth 70150: 61646472 00060000 25760761 64647200 addr....%v.addr. 70160: 00002545 02230000 035f5f61 5f75696e ..%E.#...__a_uin 70170: 7431365f 74000000 1bfc0361 5f75696e t16_t......a_uin 70180: 7431365f 74000000 2576080e 000025da t16_t...%v....%. 70190: 07657468 65725f64 686f7374 00000025 .ether_dhost...% 701a0: 45022300 07657468 65725f73 686f7374 E.#..ether_shost 701b0: 00000025 45022306 07657468 65725f74 ...%E.#..ether_t 701c0: 79706500 00002588 02230c00 08140000 ype...%..#...... 701d0: 269b1769 705f7665 7273696f 6e000000 &..ip_version... 701e0: 1f8e0100 04022300 1769705f 686c0000 ......#..ip_hl.. 701f0: 001f8e01 04040223 00076970 5f746f73 .......#..ip_tos 70200: 0000001f 8e022301 0769705f 6c656e00 ......#..ip_len. 70210: 00002588 02230207 69705f69 64000000 ..%..#..ip_id... 70220: 25880223 04076970 5f667261 675f6f66 %..#..ip_frag_of 70230: 66000000 25880223 06076970 5f74746c f...%..#..ip_ttl 70240: 0000001f 8e022308 0769705f 70726f74 ......#..ip_prot 70250: 6f000000 1f8e0223 09076970 5f636865 o......#..ip_che 70260: 636b0000 00258802 230a0769 705f7361 ck...%..#..ip_sa 70270: 64647200 00001f27 02230c07 69705f64 ddr....'.#..ip_d 70280: 61646472 0000001f 27022310 00096164 addr....'.#...ad 70290: 665f6e65 745f766c 616e6864 72000400 f_net_vlanhdr... 702a0: 0026ed07 74706964 00000025 88022300 .&..tpid...%..#. 702b0: 17707269 6f000000 1f8e0100 03022302 .prio.........#. 702c0: 17636669 0000001f 8e010301 02230217 .cfi.........#.. 702d0: 76696400 00002588 02040c02 23020009 vid...%.....#... 702e0: 6164665f 6e65745f 76696400 02000027 adf_net_vid....' 702f0: 1e177265 73000000 1f8e0100 04022300 ..res.........#. 70300: 1776616c 00000025 8802040c 02230000 .val...%.....#.. 70310: 080c0000 275a0772 785f6275 6673697a ....'Z.rx_bufsiz 70320: 65000000 1f270223 00077278 5f6e6465 e....'.#..rx_nde 70330: 73630000 001f2702 23040774 785f6e64 sc....'.#..tx_nd 70340: 65736300 00001f27 02230800 08080000 esc....'.#...... 70350: 27800770 6f6c6c65 64000000 23800223 '..polled...#..# 70360: 0007706f 6c6c5f77 74000000 1f270223 ..poll_wt....'.# 70370: 04000400 001f8e40 0000278d 053f0008 .......@..'..?.. 70380: 46000027 b5076966 5f6e616d 65000000 F..'..if_name... 70390: 27800223 00076465 765f6164 64720000 '..#..dev_addr.. 703a0: 00254502 23400016 04000027 ec144144 .%E.#@.....'..AD 703b0: 465f4f53 5f444d41 5f4d4153 4b5f3332 F_OS_DMA_MASK_32 703c0: 42495400 00144144 465f4f53 5f444d41 BIT...ADF_OS_DMA 703d0: 5f4d4153 4b5f3634 42495400 01000361 _MASK_64BIT....a 703e0: 64665f6f 735f646d 615f6d61 736b5f74 df_os_dma_mask_t 703f0: 00000027 b5096164 665f646d 615f696e ...'..adf_dma_in 70400: 666f0008 00002839 07646d61 5f6d6173 fo....(9.dma_mas 70410: 6b000000 27ec0223 00077367 5f6e7365 k...'..#..sg_nse 70420: 67730000 001f2702 23040016 04000028 gs....'.#......( 70430: 8f144144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ 70440: 4e4f4e45 00001441 44465f4e 45545f43 NONE...ADF_NET_C 70450: 4b53554d 5f544350 5f554450 5f495076 KSUM_TCP_UDP_IPv 70460: 34000114 4144465f 4e45545f 434b5355 4...ADF_NET_CKSU 70470: 4d5f5443 505f5544 505f4950 76360002 M_TCP_UDP_IPv6.. 70480: 00036164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 70490: 74797065 5f740000 00283908 08000028 type_t...(9....( 704a0: d2077478 5f636b73 756d0000 00288f02 ..tx_cksum...(.. 704b0: 23000772 785f636b 73756d00 0000288f #..rx_cksum...(. 704c0: 02230400 03616466 5f6e6574 5f636b73 .#...adf_net_cks 704d0: 756d5f69 6e666f5f 74000000 28a91604 um_info_t...(... 704e0: 0000292b 14414446 5f4e4554 5f54534f ..)+.ADF_NET_TSO 704f0: 5f4e4f4e 45000014 4144465f 4e45545f _NONE...ADF_NET_ 70500: 54534f5f 49505634 00011441 44465f4e TSO_IPV4...ADF_N 70510: 45545f54 534f5f41 4c4c0002 00036164 ET_TSO_ALL....ad 70520: 665f6e65 745f7473 6f5f7479 70655f74 f_net_tso_type_t 70530: 00000028 ec081000 00297f07 636b7375 ...(.....)..cksu 70540: 6d5f6361 70000000 28d20223 00077473 m_cap...(..#..ts 70550: 6f000000 292b0223 0807766c 616e5f73 o...)+.#..vlan_s 70560: 7570706f 72746564 0000001f 8e02230c upported......#. 70570: 00082000 002a1807 74785f70 61636b65 .. ..*..tx_packe 70580: 74730000 001f2702 23000772 785f7061 ts....'.#..rx_pa 70590: 636b6574 73000000 1f270223 04077478 ckets....'.#..tx 705a0: 5f627974 65730000 001f2702 23080772 _bytes....'.#..r 705b0: 785f6279 74657300 00001f27 02230c07 x_bytes....'.#.. 705c0: 74785f64 726f7070 65640000 001f2702 tx_dropped....'. 705d0: 23100772 785f6472 6f707065 64000000 #..rx_dropped... 705e0: 1f270223 14077278 5f657272 6f727300 .'.#..rx_errors. 705f0: 00001f27 02231807 74785f65 72726f72 ...'.#..tx_error 70600: 73000000 1f270223 1c000361 64665f6e s....'.#...adf_n 70610: 65745f65 74686164 64725f74 00000025 et_ethaddr_t...% 70620: 52100000 2a180300 00002a3d 057f000c R...*.....*=.... 70630: 6164665f 6e65745f 636d645f 6d636164 adf_net_cmd_mcad 70640: 64720003 0400002a 74076e65 6c656d00 dr.....*t.nelem. 70650: 00001f27 02230007 6d636173 74000000 ...'.#..mcast... 70660: 2a2f0223 04000361 64665f6e 65745f63 */.#...adf_net_c 70670: 6d645f6c 696e6b5f 696e666f 5f740000 md_link_info_t.. 70680: 0024ec03 6164665f 6e65745f 636d645f .$..adf_net_cmd_ 70690: 706f6c6c 5f696e66 6f5f7400 0000275a poll_info_t...'Z 706a0: 03616466 5f6e6574 5f636d64 5f636b73 .adf_net_cmd_cks 706b0: 756d5f69 6e666f5f 74000000 28d20361 um_info_t...(..a 706c0: 64665f6e 65745f63 6d645f72 696e675f df_net_cmd_ring_ 706d0: 696e666f 5f740000 00271e03 6164665f info_t...'..adf_ 706e0: 6e65745f 636d645f 646d615f 696e666f net_cmd_dma_info 706f0: 5f740000 00280303 6164665f 6e65745f _t...(..adf_net_ 70700: 636d645f 7669645f 74000000 25880361 cmd_vid_t...%..a 70710: 64665f6e 65745f63 6d645f6f 66666c6f df_net_cmd_offlo 70720: 61645f63 61705f74 00000029 43036164 ad_cap_t...)C.ad 70730: 665f6e65 745f636d 645f7374 6174735f f_net_cmd_stats_ 70740: 74000000 297f0361 64665f6e 65745f63 t...)..adf_net_c 70750: 6d645f6d 63616464 725f7400 00002a3d md_mcaddr_t...*= 70760: 13616466 5f6e6574 5f636d64 5f6d6361 .adf_net_cmd_mca 70770: 73745f63 61700004 00002bb6 14414446 st_cap....+..ADF 70780: 5f4e4554 5f4d4341 53545f53 55500000 _NET_MCAST_SUP.. 70790: 14414446 5f4e4554 5f4d4341 53545f4e .ADF_NET_MCAST_N 707a0: 4f545355 50000100 03616466 5f6e6574 OTSUP....adf_net 707b0: 5f636d64 5f6d6361 73745f63 61705f74 _cmd_mcast_cap_t 707c0: 0000002b 6e180304 00002c88 076c696e ...+n.....,..lin 707d0: 6b5f696e 666f0000 002a7402 23000770 k_info...*t.#..p 707e0: 6f6c6c5f 696e666f 0000002a 91022300 oll_info...*..#. 707f0: 07636b73 756d5f69 6e666f00 00002aae .cksum_info...*. 70800: 02230007 72696e67 5f696e66 6f000000 .#..ring_info... 70810: 2acc0223 0007646d 615f696e 666f0000 *..#..dma_info.. 70820: 002ae902 23000776 69640000 002b0502 .*..#..vid...+.. 70830: 2300076f 66666c6f 61645f63 61700000 #..offload_cap.. 70840: 002b1c02 23000773 74617473 0000002b .+..#..stats...+ 70850: 3b022300 076d6361 73745f69 6e666f00 ;.#..mcast_info. 70860: 00002b54 02230007 6d636173 745f6361 ..+T.#..mcast_ca 70870: 70000000 2bb60223 00001604 00002cdf p...+..#......,. 70880: 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 70890: 554d5f4e 4f4e4500 00144144 465f4e42 UM_NONE...ADF_NB 708a0: 55465f52 585f434b 53554d5f 48570001 UF_RX_CKSUM_HW.. 708b0: 14414446 5f4e4255 465f5258 5f434b53 .ADF_NBUF_RX_CKS 708c0: 554d5f55 4e4e4543 45535341 52590002 UM_UNNECESSARY.. 708d0: 00036164 665f6e62 75665f72 785f636b ..adf_nbuf_rx_ck 708e0: 73756d5f 74797065 5f740000 002c8808 sum_type_t...,.. 708f0: 0800002d 1f077265 73756c74 0000002c ...-..result..., 70900: df022300 0776616c 0000001f 27022304 ..#..val....'.#. 70910: 00080800 002d4f07 74797065 00000029 .....-O.type...) 70920: 2b022300 076d7373 00000025 88022304 +.#..mss...%..#. 70930: 07686472 5f6f6666 0000001f 8e022306 .hdr_off......#. 70940: 00095f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe 70950: 6164000c 00002d8e 07686561 64000000 ad....-..head... 70960: 1d470223 00077461 696c0000 001d4702 .G.#..tail....G. 70970: 23040771 6c656e00 00001f27 02230800 #..qlen....'.#.. 70980: 035f5f61 64665f6e 6275665f 74000000 .__adf_nbuf_t... 70990: 1d470a00 001f9d04 000a0000 1f270400 .G...........'.. 709a0: 0d010e00 001c2901 0e00001f 27010e00 ......).....'... 709b0: 001f9d01 0e00001f 9d010a00 001c0a04 ................ 709c0: 00035f5f 6164665f 6e627566 5f716865 ..__adf_nbuf_qhe 709d0: 61645f74 0000002d 4f035f5f 6164665f ad_t...-O.__adf_ 709e0: 6e627566 5f717565 75655f74 0000002d nbuf_queue_t...- 709f0: cf0a0000 2de70400 0e00002d 8e010e00 ....-......-.... 70a00: 002d8e01 16040000 2f071441 5f535441 .-....../..A_STA 70a10: 5455535f 4f4b0000 14415f53 54415455 TUS_OK...A_STATU 70a20: 535f4641 494c4544 00011441 5f535441 S_FAILED...A_STA 70a30: 5455535f 454e4f45 4e540002 14415f53 TUS_ENOENT...A_S 70a40: 54415455 535f454e 4f4d454d 00031441 TATUS_ENOMEM...A 70a50: 5f535441 5455535f 45494e56 414c0004 _STATUS_EINVAL.. 70a60: 14415f53 54415455 535f4549 4e50524f .A_STATUS_EINPRO 70a70: 47524553 53000514 415f5354 41545553 GRESS...A_STATUS 70a80: 5f454e4f 54535550 50000614 415f5354 _ENOTSUPP...A_ST 70a90: 41545553 5f454255 53590007 14415f53 ATUS_EBUSY...A_S 70aa0: 54415455 535f4532 42494700 0814415f TATUS_E2BIG...A_ 70ab0: 53544154 55535f45 41444452 4e4f5441 STATUS_EADDRNOTA 70ac0: 5641494c 00091441 5f535441 5455535f VAIL...A_STATUS_ 70ad0: 454e5849 4f000a14 415f5354 41545553 ENXIO...A_STATUS 70ae0: 5f454641 554c5400 0b14415f 53544154 _EFAULT...A_STAT 70af0: 55535f45 494f000c 0003615f 73746174 US_EIO....a_stat 70b00: 75735f74 0000002e 120e0000 2f07010e us_t......../... 70b10: 00000161 010d0103 6164665f 6e627566 ...a....adf_nbuf 70b20: 5f740000 002d8e16 0400002f 6c144144 _t...-...../l.AD 70b30: 465f4f53 5f444d41 5f544f5f 44455649 F_OS_DMA_TO_DEVI 70b40: 43450000 14414446 5f4f535f 444d415f CE...ADF_OS_DMA_ 70b50: 46524f4d 5f444556 49434500 01000361 FROM_DEVICE....a 70b60: 64665f6f 735f646d 615f6469 725f7400 df_os_dma_dir_t. 70b70: 00002f35 0e00002f 07010d01 03616466 ../5.../.....adf 70b80: 5f6f735f 646d616d 61705f69 6e666f5f _os_dmamap_info_ 70b90: 74000000 1f440a00 002f8a04 000d010d t....D.../...... 70ba0: 010e0000 2f25010e 00002d8e 010d010d ..../%....-..... 70bb0: 010e0000 2f25010e 00002d8e 010e0000 ..../%....-..... 70bc0: 2f25010e 00002d8e 010e0000 2f25010d /%....-...../%.. 70bd0: 010d010e 00001f27 010e0000 1f9d010d .......'........ 70be0: 010d010e 00002433 010e0000 2380010e ......$3....#... 70bf0: 00002380 01036164 665f6f73 5f73676c ..#...adf_os_sgl 70c00: 6973745f 74000000 1fdc0a00 00300304 ist_t........0.. 70c10: 000d010d 010d010e 00001f9d 01036164 ..............ad 70c20: 665f6e62 75665f71 75657565 5f740000 f_nbuf_queue_t.. 70c30: 002de70a 0000302b 04000d01 0a00002d .-....0+.......- 70c40: cf04000d 010d010d 010e0000 2f25010e ............/%.. 70c50: 00002d8e 010e0000 1f27010e 00001f27 ..-......'.....' 70c60: 010e0000 2380010e 00002380 010e0000 ....#.....#..... 70c70: 288f010e 00001f27 01036164 665f6e62 (......'..adf_nb 70c80: 75665f72 785f636b 73756d5f 74000000 uf_rx_cksum_t... 70c90: 2cfd0a00 00308704 000d010d 01036164 ,....0........ad 70ca0: 665f6e62 75665f74 736f5f74 0000002d f_nbuf_tso_t...- 70cb0: 1f0a0000 30ab0400 0d010d01 03616466 ....0........adf 70cc0: 5f6e6574 5f68616e 646c655f 74000000 _net_handle_t... 70cd0: 02d60361 64665f6e 65745f76 6c616e68 ...adf_net_vlanh 70ce0: 64725f74 00000026 9b0a0000 30e00400 dr_t...&....0... 70cf0: 0e00002f 07010e00 002f0701 0d010d01 .../...../...... 70d00: 095f4849 465f434f 4e464947 00040000 ._HIF_CONFIG.... 70d10: 312f0764 756d6d79 00000001 61022300 1/.dummy....a.#. 70d20: 000d010a 0000312f 04000d01 0a000031 ......1/.......1 70d30: 38040009 5f484946 5f43414c 4c424143 8..._HIF_CALLBAC 70d40: 4b000c00 00318d07 73656e64 5f627566 K....1..send_buf 70d50: 5f646f6e 65000000 31310223 00077265 _done...11.#..re 70d60: 63765f62 75660000 00313a02 23040763 cv_buf...1:.#..c 70d70: 6f6e7465 78740000 0002d602 23080003 ontext......#... 70d80: 6869665f 68616e64 6c655f74 00000002 hif_handle_t.... 70d90: d6034849 465f434f 4e464947 00000031 ..HIF_CONFIG...1 70da0: 0e0a0000 319f0400 0e000031 8d010a00 ....1......1.... 70db0: 0031b604 000d010a 000031c3 04000348 .1........1....H 70dc0: 49465f43 414c4c42 41434b00 00003141 IF_CALLBACK...1A 70dd0: 0a000031 cc04000d 010a0000 31e50400 ...1........1... 70de0: 0e000001 61010a00 0031ee04 000d010a ....a....1...... 70df0: 000031fb 04000e00 00016101 0a000032 ..1.......a....2 70e00: 0404000d 010a0000 32110400 0e000001 ........2....... 70e10: 61010a00 00321a04 000d010a 00003227 a....2........2' 70e20: 04000968 69665f61 70690038 00003380 ...hif_api.8..3. 70e30: 075f696e 69740000 0031bc02 2300075f ._init...1..#.._ 70e40: 73687574 646f776e 00000031 c5022304 shutdown...1..#. 70e50: 075f7265 67697374 65725f63 616c6c62 ._register_callb 70e60: 61636b00 000031e7 02230807 5f676574 ack...1..#.._get 70e70: 5f746f74 616c5f63 72656469 745f636f _total_credit_co 70e80: 756e7400 000031f4 02230c07 5f737461 unt...1..#.._sta 70e90: 72740000 0031c502 2310075f 636f6e66 rt...1..#.._conf 70ea0: 69675f70 69706500 000031fd 02231407 ig_pipe...1..#.. 70eb0: 5f73656e 645f6275 66666572 00000032 _send_buffer...2 70ec0: 0a022318 075f7265 7475726e 5f726563 ..#.._return_rec 70ed0: 765f6275 66000000 32130223 1c075f69 v_buf...2..#.._i 70ee0: 735f7069 70655f73 7570706f 72746564 s_pipe_supported 70ef0: 00000032 20022320 075f6765 745f6d61 ...2 .# ._get_ma 70f00: 785f6d73 675f6c65 6e000000 32200223 x_msg_len...2 .# 70f10: 24075f67 65745f72 65736572 7665645f $._get_reserved_ 70f20: 68656164 726f6f6d 00000031 f4022328 headroom...1..#( 70f30: 075f6973 725f6861 6e646c65 72000000 ._isr_handler... 70f40: 31c50223 2c075f67 65745f64 65666175 1..#,._get_defau 70f50: 6c745f70 69706500 00003229 02233007 lt_pipe...2).#0. 70f60: 70526573 65727665 64000000 02d60223 pReserved......# 70f70: 34001364 6d615f65 6e67696e 65000400 4..dma_engine... 70f80: 00340914 444d415f 454e4749 4e455f52 .4..DMA_ENGINE_R 70f90: 58300000 14444d41 5f454e47 494e455f X0...DMA_ENGINE_ 70fa0: 52583100 0114444d 415f454e 47494e45 RX1...DMA_ENGINE 70fb0: 5f525832 00021444 4d415f45 4e47494e _RX2...DMA_ENGIN 70fc0: 455f5258 33000314 444d415f 454e4749 E_RX3...DMA_ENGI 70fd0: 4e455f54 58300004 14444d41 5f454e47 NE_TX0...DMA_ENG 70fe0: 494e455f 54583100 0514444d 415f454e INE_TX1...DMA_EN 70ff0: 47494e45 5f4d4158 00060003 646d615f GINE_MAX....dma_ 71000: 656e6769 6e655f74 00000033 8013646d engine_t...3..dm 71010: 615f6966 74797065 00040000 34561444 a_iftype....4V.D 71020: 4d415f49 465f474d 41430000 14444d41 MA_IF_GMAC...DMA 71030: 5f49465f 50434900 0114444d 415f4946 _IF_PCI...DMA_IF 71040: 5f504349 45000200 03646d61 5f696674 _PCIE....dma_ift 71050: 7970655f 74000000 341b0e00 001bfc01 ype_t...4....... 71060: 0a000034 6804000d 010a0000 34750400 ...4h.......4u.. 71070: 0d010a00 00347e04 000e0000 1237010a .....4~......7.. 71080: 00003487 04000e00 001bfc01 0a000034 ..4............4 71090: 9404000e 00001bfc 010a0000 34a10400 ............4... 710a0: 0e00001d 47010a00 0034ae04 000d010a ....G....4...... 710b0: 000034bb 04000964 6d615f6c 69625f61 ..4....dma_lib_a 710c0: 70690034 000035c2 0774785f 696e6974 pi.4..5..tx_init 710d0: 00000034 6e022300 0774785f 73746172 ...4n.#..tx_star 710e0: 74000000 34770223 04077278 5f696e69 t...4w.#..rx_ini 710f0: 74000000 346e0223 08077278 5f636f6e t...4n.#..rx_con 71100: 66696700 00003480 02230c07 72785f73 fig...4..#..rx_s 71110: 74617274 00000034 77022310 07696e74 tart...4w.#..int 71120: 725f7374 61747573 00000034 8d022314 r_status...4..#. 71130: 07686172 645f786d 69740000 00349a02 .hard_xmit...4.. 71140: 23180766 6c757368 5f786d69 74000000 #..flush_xmit... 71150: 34770223 1c07786d 69745f64 6f6e6500 4w.#..xmit_done. 71160: 000034a7 02232007 72656170 5f786d69 ..4..# .reap_xmi 71170: 74746564 00000034 b4022324 07726561 tted...4..#$.rea 71180: 705f7265 63760000 0034b402 23280772 p_recv...4..#(.r 71190: 65747572 6e5f7265 63760000 0034bd02 eturn_recv...4.. 711a0: 232c0772 6563765f 706b7400 000034a7 #,.recv_pkt...4. 711b0: 02233000 095f5f70 63695f73 6f667463 .#0..__pci_softc 711c0: 000c0000 35e00773 77000000 31cc0223 ....5..sw...1..# 711d0: 0000035f 5f706369 5f736f66 74635f74 ...__pci_softc_t 711e0: 00000035 c20a0000 35e00400 0d010a00 ...5....5....... 711f0: 0035fa04 000e0000 1be8010a 00003603 .5............6. 71200: 04001368 69665f70 63695f70 6970655f ...hif_pci_pipe_ 71210: 74780004 00003663 14484946 5f504349 tx....6c.HIF_PCI 71220: 5f504950 455f5458 30000014 4849465f _PIPE_TX0...HIF_ 71230: 5043495f 50495045 5f545831 00011448 PCI_PIPE_TX1...H 71240: 49465f50 43495f50 4950455f 54585f4d IF_PCI_PIPE_TX_M 71250: 41580002 00036869 665f7063 695f7069 AX....hif_pci_pi 71260: 70655f74 785f7400 00003610 0e000034 pe_tx_t...6....4 71270: 09010a00 00367a04 00136869 665f7063 .....6z...hif_pc 71280: 695f7069 70655f72 78000400 00370014 i_pipe_rx....7.. 71290: 4849465f 5043495f 50495045 5f525830 HIF_PCI_PIPE_RX0 712a0: 00001448 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 712b0: 52583100 01144849 465f5043 495f5049 RX1...HIF_PCI_PI 712c0: 50455f52 58320002 14484946 5f504349 PE_RX2...HIF_PCI 712d0: 5f504950 455f5258 33000314 4849465f _PIPE_RX3...HIF_ 712e0: 5043495f 50495045 5f52585f 4d415800 PCI_PIPE_RX_MAX. 712f0: 04000368 69665f70 63695f70 6970655f ...hif_pci_pipe_ 71300: 72785f74 00000036 870e0000 3409010a rx_t...6....4... 71310: 00003717 04000968 69665f70 63695f61 ..7....hif_pci_a 71320: 70690024 000037f5 07706369 5f626f6f pi.$..7..pci_boo 71330: 745f696e 69740000 00039c02 23000770 t_init......#..p 71340: 63695f69 6e697400 000031bc 02230407 ci_init...1..#.. 71350: 7063695f 72657365 74000000 039c0223 pci_reset......# 71360: 08077063 695f656e 61626c65 00000003 ..pci_enable.... 71370: 9c02230c 07706369 5f726561 705f786d ..#..pci_reap_xm 71380: 69747465 64000000 35fc0223 10077063 itted...5..#..pc 71390: 695f7265 61705f72 65637600 000035fc i_reap_recv...5. 713a0: 02231407 7063695f 6765745f 70697065 .#..pci_get_pipe 713b0: 00000036 09022318 07706369 5f676574 ...6..#..pci_get 713c0: 5f74785f 656e6700 00003680 02231c07 _tx_eng...6..#.. 713d0: 7063695f 6765745f 72785f65 6e670000 pci_get_rx_eng.. 713e0: 00371d02 23200009 676d6163 5f617069 .7..# ..gmac_api 713f0: 00040000 381c0767 6d61635f 626f6f74 ....8..gmac_boot 71400: 5f696e69 74000000 039c0223 00000400 _init......#.... 71410: 00011f06 00003829 05050009 5f5f6574 ......8)....__et 71420: 68686472 000e0000 385f0764 73740000 hhdr....8_.dst.. 71430: 00381c02 23000773 72630000 00381c02 .8..#..src...8.. 71440: 23060765 74797065 0000001b fc02230c #..etype......#. 71450: 00095f5f 61746868 64720004 000038ad ..__athhdr....8. 71460: 17726573 0000001b e8010002 02230017 .res.........#.. 71470: 70726f74 6f000000 1be80102 06022300 proto.........#. 71480: 07726573 5f6c6f00 00001be8 02230107 .res_lo......#.. 71490: 7265735f 68690000 001bfc02 23020009 res_hi......#... 714a0: 5f5f676d 61635f68 64720014 000038e9 __gmac_hdr....8. 714b0: 07657468 00000038 29022300 07617468 .eth...8).#..ath 714c0: 00000038 5f02230e 07616c69 676e5f70 ...8_.#..align_p 714d0: 61640000 001bfc02 23120003 5f5f676d ad......#...__gm 714e0: 61635f68 64725f74 00000038 ad095f5f ac_hdr_t...8..__ 714f0: 676d6163 5f736f66 74630024 00003933 gmac_softc.$..93 71500: 07686472 00000038 e9022300 07677261 .hdr...8..#..gra 71510: 6e000000 1bfc0223 14077377 00000031 n......#..sw...1 71520: cc022318 00125f41 5f6f735f 6c696e6b ..#..._A_os_link 71530: 6167655f 63686563 6b000001 0a000039 age_check......9 71540: 3304000e 00000161 010a0000 39510400 3......a....9Q.. 71550: 0a000001 0304000c 5f415f63 6d6e6f73 ........_A_cmnos 71560: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 71570: 6c650001 b800003a a1076861 6c5f6c69 le.....:..hal_li 71580: 6e6b6167 655f6368 65636b00 00003957 nkage_check...9W 71590: 02230007 73746172 745f6273 73000000 .#..start_bss... 715a0: 395e0223 04076170 705f7374 61727400 9^.#..app_start. 715b0: 0000039c 02230807 6d656d00 00000d63 .....#..mem....c 715c0: 02230c07 6d697363 0000000e 82022320 .#..misc......# 715d0: 07707269 6e746600 00000aae 02234407 .printf......#D. 715e0: 75617274 0000000b 4c02234c 07676d61 uart....L.#L.gma 715f0: 63000000 37f50223 6c077573 62000000 c...7..#l.usb... 71600: 18a80223 7007636c 6f636b00 000013f1 ...#p.clock..... 71610: 0323e001 0774696d 65720000 00109a03 .#...timer...... 71620: 23840207 696e7472 00000015 41032398 #...intr....A.#. 71630: 0207616c 6c6f6372 616d0000 00125203 ..allocram....R. 71640: 23c40207 726f6d70 00000011 440323d0 #...romp....D.#. 71650: 02077764 745f7469 6d657200 0000171e ..wdt_timer..... 71660: 0323e002 07656570 00000018 4c0323fc .#...eep....L.#. 71670: 02077374 72696e67 0000000f a603238c ..string......#. 71680: 03077461 736b6c65 74000000 134f0323 ..tasklet....O.# 71690: a4030009 5f555342 5f464946 4f5f434f ...._USB_FIFO_CO 716a0: 4e464947 00100000 3b140767 65745f63 NFIG....;..get_c 716b0: 6f6d6d61 6e645f62 75660000 001d5402 ommand_buf....T. 716c0: 23000772 6563765f 636f6d6d 616e6400 #..recv_command. 716d0: 00001d6a 02230407 6765745f 6576656e ...j.#..get_even 716e0: 745f6275 66000000 1d540223 08077365 t_buf....T.#..se 716f0: 6e645f65 76656e74 5f646f6e 65000000 nd_event_done... 71700: 1d6a0223 0c000355 53425f46 49464f5f .j.#...USB_FIFO_ 71710: 434f4e46 49470000 003aa10a 00003b14 CONFIG...:....;. 71720: 04000d01 0a00003b 30040009 75736266 .......;0...usbf 71730: 69666f5f 61706900 0c00003b 86075f69 ifo_api....;.._i 71740: 6e697400 00003b32 02230007 5f656e61 nit...;2.#.._ena 71750: 626c655f 6576656e 745f6973 72000000 ble_event_isr... 71760: 039c0223 04077052 65736572 76656400 ...#..pReserved. 71770: 000002d6 02230800 0400001f 8e020000 .....#.......... 71780: 3b930501 00095f48 54435f46 52414d45 ;....._HTC_FRAME 71790: 5f484452 00080000 3c050745 6e64706f _HDR....<..Endpo 717a0: 696e7449 44000000 1f8e0223 0007466c intID......#..Fl 717b0: 61677300 00001f8e 02230107 5061796c ags......#..Payl 717c0: 6f61644c 656e0000 00258802 23020743 oadLen...%..#..C 717d0: 6f6e7472 6f6c4279 74657300 00003b86 ontrolBytes...;. 717e0: 02230407 486f7374 5365714e 756d0000 .#..HostSeqNum.. 717f0: 00258802 23060008 0200003c 1e074d65 .%..#......<..Me 71800: 73736167 65494400 00002588 02230000 ssageID...%..#.. 71810: 08080000 3c81074d 65737361 67654944 ....<..MessageID 71820: 00000025 88022300 07437265 64697443 ...%..#..CreditC 71830: 6f756e74 00000025 88022302 07437265 ount...%..#..Cre 71840: 64697453 697a6500 00002588 02230407 ditSize...%..#.. 71850: 4d617845 6e64706f 696e7473 0000001f MaxEndpoints.... 71860: 8e022306 075f5061 64310000 001f8e02 ..#.._Pad1...... 71870: 23070008 0a00003d 18074d65 73736167 #......=..Messag 71880: 65494400 00002588 02230007 53657276 eID...%..#..Serv 71890: 69636549 44000000 25880223 0207436f iceID...%..#..Co 718a0: 6e6e6563 74696f6e 466c6167 73000000 nnectionFlags... 718b0: 25880223 0407446f 776e4c69 6e6b5069 %..#..DownLinkPi 718c0: 70654944 0000001f 8e022306 0755704c peID......#..UpL 718d0: 696e6b50 69706549 44000000 1f8e0223 inkPipeID......# 718e0: 07075365 72766963 654d6574 614c656e ..ServiceMetaLen 718f0: 67746800 00001f8e 02230807 5f506164 gth......#.._Pad 71900: 31000000 1f8e0223 0900080a 00003da0 1......#......=. 71910: 074d6573 73616765 49440000 00258802 .MessageID...%.. 71920: 23000753 65727669 63654944 00000025 #..ServiceID...% 71930: 88022302 07537461 74757300 00001f8e ..#..Status..... 71940: 02230407 456e6470 6f696e74 49440000 .#..EndpointID.. 71950: 001f8e02 2305074d 61784d73 6753697a ....#..MaxMsgSiz 71960: 65000000 25880223 06075365 72766963 e...%..#..Servic 71970: 654d6574 614c656e 67746800 00001f8e eMetaLength..... 71980: 02230807 5f506164 31000000 1f8e0223 .#.._Pad1......# 71990: 09000802 00003db9 074d6573 73616765 ......=..Message 719a0: 49440000 00258802 23000008 0400003d ID...%..#......= 719b0: f5074d65 73736167 65494400 00002588 ..MessageID...%. 719c0: 02230007 50697065 49440000 001f8e02 .#..PipeID...... 719d0: 23020743 72656469 74436f75 6e740000 #..CreditCount.. 719e0: 001f8e02 23030008 0400003e 2c074d65 ....#......>,.Me 719f0: 73736167 65494400 00002588 02230007 ssageID...%..#.. 71a00: 50697065 49440000 001f8e02 23020753 PipeID......#..S 71a10: 74617475 73000000 1f8e0223 03000802 tatus......#.... 71a20: 00003e53 07526563 6f726449 44000000 ..>S.RecordID... 71a30: 1f8e0223 00074c65 6e677468 0000001f ...#..Length.... 71a40: 8e022301 00080200 003e7d07 456e6470 ..#......>}.Endp 71a50: 6f696e74 49440000 001f8e02 23000743 ointID......#..C 71a60: 72656469 74730000 001f8e02 23010008 redits......#... 71a70: 0400003e be07456e 64706f69 6e744944 ...>..EndpointID 71a80: 0000001f 8e022300 07437265 64697473 ......#..Credits 71a90: 0000001f 8e022301 07546774 43726564 ......#..TgtCred 71aa0: 69745365 714e6f00 00002588 02230200 itSeqNo...%..#.. 71ab0: 0400001f 8e040000 3ecb0503 00080600 ........>....... 71ac0: 003f0707 50726556 616c6964 0000001f .?..PreValid.... 71ad0: 8e022300 074c6f6f 6b416865 61640000 ..#..LookAhead.. 71ae0: 003ebe02 23010750 6f737456 616c6964 .>..#..PostValid 71af0: 0000001f 8e022305 0003706f 6f6c5f68 ......#...pool_h 71b00: 616e646c 655f7400 000002d6 0e00003f andle_t........? 71b10: 07010a00 003f1a04 000d010a 00003f27 .....?........?' 71b20: 04001604 00003fa5 14504f4f 4c5f4944 ......?..POOL_ID 71b30: 5f485443 5f434f4e 54524f4c 00001450 _HTC_CONTROL...P 71b40: 4f4f4c5f 49445f57 4d495f53 56435f43 OOL_ID_WMI_SVC_C 71b50: 4d445f52 45504c59 00011450 4f4f4c5f MD_REPLY...POOL_ 71b60: 49445f57 4d495f53 56435f45 56454e54 ID_WMI_SVC_EVENT 71b70: 00021450 4f4f4c5f 49445f57 4c414e5f ...POOL_ID_WLAN_ 71b80: 52585f42 55460003 14504f4f 4c5f4944 RX_BUF...POOL_ID 71b90: 5f4d4158 000a0003 4255465f 504f4f4c _MAX....BUF_POOL 71ba0: 5f494400 00003f30 0d010a00 003fb604 _ID...?0.....?.. 71bb0: 000e0000 2f25010a 00003fbf 04000e00 ..../%....?..... 71bc0: 002f2501 0a00003f cc04000d 010a0000 ./%....?........ 71bd0: 3fd90400 09627566 5f706f6f 6c5f6170 ?....buf_pool_ap 71be0: 69001c00 00407b07 5f696e69 74000000 i....@{._init... 71bf0: 3f200223 00075f73 68757464 6f776e00 ? .#.._shutdown. 71c00: 00003f29 02230407 5f637265 6174655f ..?).#.._create_ 71c10: 706f6f6c 0000003f b8022308 075f616c pool...?..#.._al 71c20: 6c6f635f 62756600 00003fc5 02230c07 loc_buf...?..#.. 71c30: 5f616c6c 6f635f62 75665f61 6c69676e _alloc_buf_align 71c40: 0000003f d2022310 075f6672 65655f62 ...?..#.._free_b 71c50: 75660000 003fdb02 23140770 52657365 uf...?..#..pRese 71c60: 72766564 00000002 d6022318 00095f48 rved......#..._H 71c70: 54435f53 45525649 4345001c 0000415a TC_SERVICE....AZ 71c80: 07704e65 78740000 00415a02 23000750 .pNext...AZ.#..P 71c90: 726f6365 73735265 63764d73 67000000 rocessRecvMsg... 71ca0: 420f0223 04075072 6f636573 7353656e B..#..ProcessSen 71cb0: 64427566 66657243 6f6d706c 65746500 dBufferComplete. 71cc0: 00004218 02230807 50726f63 65737343 ..B..#..ProcessC 71cd0: 6f6e6e65 63740000 00422c02 230c0753 onnect...B,.#..S 71ce0: 65727669 63654944 0000001b fc022310 erviceID......#. 71cf0: 07536572 76696365 466c6167 73000000 .ServiceFlags... 71d00: 1bfc0223 12074d61 78537663 4d736753 ...#..MaxSvcMsgS 71d10: 697a6500 00001bfc 02231407 54726169 ize......#..Trai 71d20: 6c657253 70634368 65636b4c 696d6974 lerSpcCheckLimit 71d30: 0000001b fc022316 07536572 76696365 ......#..Service 71d40: 43747800 000002d6 02231800 0a000040 Ctx......#.....@ 71d50: 7b040016 04000041 f819454e 44504f49 {......A..ENDPOI 71d60: 4e545f55 4e555345 4400ffff ffff1445 NT_UNUSED......E 71d70: 4e44504f 494e5430 00001445 4e44504f NDPOINT0...ENDPO 71d80: 494e5431 00011445 4e44504f 494e5432 INT1...ENDPOINT2 71d90: 00021445 4e44504f 494e5433 00031445 ...ENDPOINT3...E 71da0: 4e44504f 494e5434 00041445 4e44504f NDPOINT4...ENDPO 71db0: 494e5435 00051445 4e44504f 494e5436 INT5...ENDPOINT6 71dc0: 00061445 4e44504f 494e5437 00071445 ...ENDPOINT7...E 71dd0: 4e44504f 494e5438 00081445 4e44504f NDPOINT8...ENDPO 71de0: 494e545f 4d415800 16000348 54435f45 INT_MAX....HTC_E 71df0: 4e44504f 494e545f 49440000 0041610d NDPOINT_ID...Aa. 71e00: 010a0000 420d0400 0d010a00 00421604 ....B........B.. 71e10: 000a0000 01610400 0e00001b e8010a00 .....a.......... 71e20: 00422604 000a0000 407b0400 095f4854 .B&.....@{..._HT 71e30: 435f434f 4e464947 00140000 42ab0743 C_CONFIG....B..C 71e40: 72656469 7453697a 65000000 01610223 reditSize....a.# 71e50: 00074372 65646974 4e756d62 65720000 ..CreditNumber.. 71e60: 00016102 2304074f 5348616e 646c6500 ..a.#..OSHandle. 71e70: 00002331 02230807 48494648 616e646c ..#1.#..HIFHandl 71e80: 65000000 318d0223 0c07506f 6f6c4861 e...1..#..PoolHa 71e90: 6e646c65 0000003f 07022310 00095f48 ndle...?..#..._H 71ea0: 54435f42 55465f43 4f4e5445 58540002 TC_BUF_CONTEXT.. 71eb0: 000042e7 07656e64 5f706f69 6e740000 ..B..end_point.. 71ec0: 001be802 23000768 74635f66 6c616773 ....#..htc_flags 71ed0: 0000001b e8022301 00036874 635f6861 ......#...htc_ha 71ee0: 6e646c65 5f740000 0002d603 4854435f ndle_t......HTC_ 71ef0: 53455455 505f434f 4d504c45 54455f43 SETUP_COMPLETE_C 71f00: 42000000 039c0348 54435f43 4f4e4649 B......HTC_CONFI 71f10: 47000000 423a0a00 00431404 000e0000 G...B:...C...... 71f20: 42e7010a 0000432b 04000d01 0a000043 B.....C+.......C 71f30: 38040003 4854435f 53455256 49434500 8...HTC_SERVICE. 71f40: 0000407b 0a000043 4104000d 010a0000 ..@{...CA....... 71f50: 43590400 0d010a00 00436204 000d010a CY.......Cb..... 71f60: 0000436b 04000e00 00016101 0a000043 ..Ck......a....C 71f70: 74040009 6874635f 61706973 00340000 t...htc_apis.4.. 71f80: 44f1075f 4854435f 496e6974 00000043 D.._HTC_Init...C 71f90: 31022300 075f4854 435f5368 7574646f 1.#.._HTC_Shutdo 71fa0: 776e0000 00433a02 2304075f 4854435f wn...C:.#.._HTC_ 71fb0: 52656769 73746572 53657276 69636500 RegisterService. 71fc0: 0000435b 02230807 5f485443 5f526561 ..C[.#.._HTC_Rea 71fd0: 64790000 00433a02 230c075f 4854435f dy...C:.#.._HTC_ 71fe0: 52657475 726e4275 66666572 73000000 ReturnBuffers... 71ff0: 43640223 10075f48 54435f52 65747572 Cd.#.._HTC_Retur 72000: 6e427566 66657273 4c697374 00000043 nBuffersList...C 72010: 6d022314 075f4854 435f5365 6e644d73 m.#.._HTC_SendMs 72020: 67000000 43640223 18075f48 54435f47 g...Cd.#.._HTC_G 72030: 65745265 73657276 65644865 6164726f etReservedHeadro 72040: 6f6d0000 00437a02 231c075f 4854435f om...Cz.#.._HTC_ 72050: 4d736752 65637648 616e646c 65720000 MsgRecvHandler.. 72060: 00313a02 2320075f 4854435f 53656e64 .1:.# ._HTC_Send 72070: 446f6e65 48616e64 6c657200 00003131 DoneHandler...11 72080: 02232407 5f485443 5f436f6e 74726f6c .#$._HTC_Control 72090: 53766350 726f6365 73734d73 67000000 SvcProcessMsg... 720a0: 420f0223 28075f48 54435f43 6f6e7472 B..#(._HTC_Contr 720b0: 6f6c5376 6350726f 63657373 53656e64 olSvcProcessSend 720c0: 436f6d70 6c657465 00000042 1802232c Complete...B..#, 720d0: 07705265 73657276 65640000 0002d602 .pReserved...... 720e0: 23300009 686f7374 5f617070 5f617265 #0..host_app_are 720f0: 615f7300 04000045 2107776d 695f7072 a_s....E!.wmi_pr 72100: 6f746f63 6f6c5f76 65720000 001f2702 otocol_ver....'. 72110: 23000008 0e000045 58076473 744d6163 #......EX.dstMac 72120: 00000025 45022300 07737263 4d616300 ...%E.#..srcMac. 72130: 00002545 02230607 74797065 4f724c65 ..%E.#..typeOrLe 72140: 6e000000 25880223 0c000400 001f8e03 n...%..#........ 72150: 00004565 05020008 08000045 b5076473 ..Ee.......E..ds 72160: 61700000 001f8e02 23000773 73617000 ap......#..ssap. 72170: 00001f8e 02230107 636e746c 0000001f .....#..cntl.... 72180: 8e022302 076f7267 436f6465 00000045 ..#..orgCode...E 72190: 58022303 07657468 65725479 70650000 X.#..etherType.. 721a0: 00258802 23060008 02000045 d6077273 .%..#......E..rs 721b0: 73690000 0024de02 23000769 6e666f00 si...$..#..info. 721c0: 00001f8e 02230100 08040000 45fd0763 .....#......E..c 721d0: 6f6d6d61 6e644964 00000025 88022300 ommandId...%..#. 721e0: 07736571 4e6f0000 00258802 23020004 .seqNo...%..#... 721f0: 00001f8e 01000046 0a050000 08020000 .......F........ 72200: 4631076d 73675369 7a650000 001f8e02 F1.msgSize...... 72210: 2300076d 73674461 74610000 0045fd02 #..msgData...E.. 72220: 23010008 08000046 78076164 64726573 #......Fx.addres 72230: 734c0000 00258802 23000761 64647265 sL...%..#..addre 72240: 73734800 00002588 02230207 76616c75 ssH...%..#..valu 72250: 654c0000 00258802 23040776 616c7565 eL...%..#..value 72260: 48000000 25880223 06000357 4d495f41 H...%..#...WMI_A 72270: 56540000 00463104 00004678 08000046 VT...F1...Fx...F 72280: 92050000 080c0000 46c90774 75706c65 ........F..tuple 72290: 4e756d4c 00000025 88022300 07747570 NumL...%..#..tup 722a0: 6c654e75 6d480000 00258802 23020761 leNumH...%..#..a 722b0: 76740000 00468502 23040008 01000046 vt...F..#......F 722c0: eb076265 61636f6e 50656e64 696e6743 ..beaconPendingC 722d0: 6f756e74 0000001f 8e022300 00095f57 ount......#..._W 722e0: 4d495f53 56435f43 4f4e4649 47001000 MI_SVC_CONFIG... 722f0: 00475407 48746348 616e646c 65000000 .GT.HtcHandle... 72300: 42e70223 0007506f 6f6c4861 6e646c65 B..#..PoolHandle 72310: 0000003f 07022304 074d6178 436d6452 ...?..#..MaxCmdR 72320: 65706c79 45767473 00000001 61022308 eplyEvts....a.#. 72330: 074d6178 4576656e 74457674 73000000 .MaxEventEvts... 72340: 01610223 0c000d01 0a000047 54040003 .a.#.......GT... 72350: 574d495f 434d445f 48414e44 4c455200 WMI_CMD_HANDLER. 72360: 00004756 095f574d 495f4449 53504154 ..GV._WMI_DISPAT 72370: 43485f45 4e545259 00080000 47bd0770 CH_ENTRY....G..p 72380: 436d6448 616e646c 65720000 00475d02 CmdHandler...G]. 72390: 23000743 6d644944 0000001b fc022304 #..CmdID......#. 723a0: 07466c61 67730000 001bfc02 23060009 .Flags......#... 723b0: 5f574d49 5f444953 50415443 485f5441 _WMI_DISPATCH_TA 723c0: 424c4500 10000048 1e07704e 65787400 BLE....H..pNext. 723d0: 0000481e 02230007 70436f6e 74657874 ..H..#..pContext 723e0: 00000002 d6022304 074e756d 6265724f ......#..NumberO 723f0: 66456e74 72696573 00000001 61022308 fEntries....a.#. 72400: 07705461 626c6500 0000483d 02230c00 .pTable...H=.#.. 72410: 0a000047 bd040003 574d495f 44495350 ...G....WMI_DISP 72420: 41544348 5f454e54 52590000 0047720a ATCH_ENTRY...Gr. 72430: 00004825 04000a00 0047bd04 00034854 ..H%.....G....HT 72440: 435f4255 465f434f 4e544558 54000000 C_BUF_CONTEXT... 72450: 42ab1357 4d495f45 56545f43 4c415353 B..WMI_EVT_CLASS 72460: 00040000 48d51957 4d495f45 56545f43 ....H..WMI_EVT_C 72470: 4c415353 5f4e4f4e 4500ffff ffff1457 LASS_NONE......W 72480: 4d495f45 56545f43 4c415353 5f434d44 MI_EVT_CLASS_CMD 72490: 5f455645 4e540000 14574d49 5f455654 _EVENT...WMI_EVT 724a0: 5f434c41 53535f43 4d445f52 45504c59 _CLASS_CMD_REPLY 724b0: 00011457 4d495f45 56545f43 4c415353 ...WMI_EVT_CLASS 724c0: 5f4d4158 00020003 574d495f 4556545f _MAX....WMI_EVT_ 724d0: 434c4153 53000000 4860095f 574d495f CLASS...H`._WMI_ 724e0: 4255465f 434f4e54 45585400 0c000049 BUF_CONTEXT....I 724f0: 33074874 63427566 43747800 0000484b 3.HtcBufCtx...HK 72500: 02230007 4576656e 74436c61 73730000 .#..EventClass.. 72510: 0048d502 23040746 6c616773 0000001b .H..#..Flags.... 72520: fc022308 0003776d 695f6861 6e646c65 ..#...wmi_handle 72530: 5f740000 0002d603 574d495f 5356435f _t......WMI_SVC_ 72540: 434f4e46 49470000 0046eb0a 00004945 CONFIG...F....IE 72550: 04000e00 00493301 0a000049 60040003 .....I3....I`... 72560: 574d495f 44495350 41544348 5f544142 WMI_DISPATCH_TAB 72570: 4c450000 0047bd0a 0000496d 04000d01 LE...G....Im.... 72580: 0a000049 8c04000e 00002f25 010a0000 ...I....../%.... 72590: 49950400 0d010a00 0049a204 000e0000 I........I...... 725a0: 0161010a 000049ab 04000d01 0a000049 .a....I........I 725b0: b804000e 00001be8 010a0000 49c10400 ............I... 725c0: 095f776d 695f7376 635f6170 6973002c ._wmi_svc_apis., 725d0: 00004b09 075f574d 495f496e 69740000 ..K.._WMI_Init.. 725e0: 00496602 2300075f 574d495f 52656769 .If.#.._WMI_Regi 725f0: 73746572 44697370 61746368 5461626c sterDispatchTabl 72600: 65000000 498e0223 04075f57 4d495f41 e...I..#.._WMI_A 72610: 6c6c6f63 4576656e 74000000 499b0223 llocEvent...I..# 72620: 08075f57 4d495f53 656e6445 76656e74 .._WMI_SendEvent 72630: 00000049 a402230c 075f574d 495f4765 ...I..#.._WMI_Ge 72640: 7450656e 64696e67 4576656e 7473436f tPendingEventsCo 72650: 756e7400 000049b1 02231007 5f574d49 unt...I..#.._WMI 72660: 5f53656e 64436f6d 706c6574 6548616e _SendCompleteHan 72670: 646c6572 00000042 18022314 075f574d dler...B..#.._WM 72680: 495f4765 74436f6e 74726f6c 45700000 I_GetControlEp.. 72690: 0049b102 2318075f 574d495f 53687574 .I..#.._WMI_Shut 726a0: 646f776e 00000049 ba02231c 075f574d down...I..#.._WM 726b0: 495f5265 63764d65 73736167 6548616e I_RecvMessageHan 726c0: 646c6572 00000042 0f022320 075f574d dler...B..# ._WM 726d0: 495f5365 72766963 65436f6e 6e656374 I_ServiceConnect 726e0: 00000049 c7022324 07705265 73657276 ...I..#$.pReserv 726f0: 65640000 0002d602 23280009 7a73446d ed......#(..zsDm 72700: 61446573 63001400 004b8b07 6374726c aDesc....K..ctrl 72710: 00000007 c5022300 07737461 74757300 ......#..status. 72720: 000007c5 02230207 746f7461 6c4c656e .....#..totalLen 72730: 00000007 c5022304 07646174 6153697a ......#..dataSiz 72740: 65000000 07c50223 06076c61 73744164 e......#..lastAd 72750: 64720000 004b8b02 23080764 61746141 dr...K..#..dataA 72760: 64647200 000001f4 02230c07 6e657874 ddr......#..next 72770: 41646472 0000004b 8b022310 000a0000 Addr...K..#..... 72780: 4b090400 0a00004b 09040009 7a73446d K......K....zsDm 72790: 61517565 75650008 00004bcb 07686561 aQueue....K..hea 727a0: 64000000 4b920223 00077465 726d696e d...K..#..termin 727b0: 61746f72 0000004b 92022304 00097a73 ator...K..#...zs 727c0: 5478446d 61517565 75650010 00004c2f TxDmaQueue....L/ 727d0: 07686561 64000000 4b920223 00077465 .head...K..#..te 727e0: 726d696e 61746f72 0000004b 92022304 rminator...K..#. 727f0: 07786d69 7465645f 6275665f 68656164 .xmited_buf_head 72800: 0000001d 47022308 07786d69 7465645f ....G.#..xmited_ 72810: 6275665f 7461696c 0000001d 4702230c buf_tail....G.#. 72820: 000d010a 00004c2f 04000a00 004b9904 ......L/.....K.. 72830: 000d010a 00004c3f 04000a00 004bcb04 ......L?.....K.. 72840: 000d010a 00004c4f 04000d01 0a00004c ......LO.......L 72850: 5804000d 010a0000 4c610400 0e00001d X.......La...... 72860: 47010a00 004c6a04 000d010a 00004c77 G....Lj.......Lw 72870: 04000e00 001d4701 0a00004c 8004000d ......G....L.... 72880: 010a0000 4c8d0400 0e000001 61010a00 ....L.......a... 72890: 004c9604 000e0000 4b92010a 00004ca3 .L......K.....L. 728a0: 04000d01 0a00004c b0040009 646d615f .......L....dma_ 728b0: 656e6769 6e655f61 70690040 00004e26 engine_api.@..N& 728c0: 075f696e 69740000 004c3102 2300075f ._init...L1.#.._ 728d0: 696e6974 5f72785f 71756575 65000000 init_rx_queue... 728e0: 4c410223 04075f69 6e69745f 74785f71 LA.#.._init_tx_q 728f0: 75657565 0000004c 51022308 075f636f ueue...LQ.#.._co 72900: 6e666967 5f72785f 71756575 65000000 nfig_rx_queue... 72910: 4c5a0223 0c075f78 6d69745f 62756600 LZ.#.._xmit_buf. 72920: 00004c63 02231007 5f666c75 73685f78 ..Lc.#.._flush_x 72930: 6d697400 00004c41 02231407 5f726561 mit...LA.#.._rea 72940: 705f7265 63765f62 75660000 004c7002 p_recv_buf...Lp. 72950: 2318075f 72657475 726e5f72 6563765f #.._return_recv_ 72960: 62756600 00004c79 02231c07 5f726561 buf...Ly.#.._rea 72970: 705f786d 69746564 5f627566 0000004c p_xmited_buf...L 72980: 86022320 075f7377 61705f64 61746100 ..# ._swap_data. 72990: 00004c8f 02232407 5f686173 5f636f6d ..L..#$._has_com 729a0: 706c5f70 61636b65 74730000 004c9c02 pl_packets...L.. 729b0: 2328075f 64657363 5f64756d 70000000 #(._desc_dump... 729c0: 4c410223 2c075f67 65745f70 61636b65 LA.#,._get_packe 729d0: 74000000 4ca90223 30075f72 65636c61 t...L..#0._recla 729e0: 696d5f70 61636b65 74000000 4cb20223 im_packet...L..# 729f0: 34075f70 75745f70 61636b65 74000000 4._put_packet... 72a00: 4cb20223 38077052 65736572 76656400 L..#8.pReserved. 72a10: 000002d6 02233c00 035f415f 636d6e6f .....#<.._A_cmno 72a20: 735f696e 64697265 6374696f 6e5f7461 s_indirection_ta 72a30: 626c655f 74000000 39650357 4d495f53 ble_t...9e.WMI_S 72a40: 56435f41 50495300 000049ce 0c5f415f VC_APIS...I.._A_ 72a50: 6d616770 69655f69 6e646972 65637469 magpie_indirecti 72a60: 6f6e5f74 61626c65 00034c00 004f5407 on_table..L..OT. 72a70: 636d6e6f 73000000 4e260223 00076462 cmnos...N&.#..db 72a80: 67000000 0d000323 b8030768 69660000 g......#...hif.. 72a90: 00323003 23c00307 68746300 00004381 .20.#...htc...C. 72aa0: 0323f803 07776d69 5f737663 5f617069 .#...wmi_svc_api 72ab0: 0000004e 480323ac 04077573 62666966 ...NH.#...usbfif 72ac0: 6f5f6170 69000000 3b390323 d8040762 o_api...;9.#...b 72ad0: 75665f70 6f6f6c00 00003fe2 0323e404 uf_pool...?..#.. 72ae0: 07766275 66000000 1d710323 80050776 .vbuf....q.#...v 72af0: 64657363 0000001c 53032394 0507616c desc....S.#...al 72b00: 6c6f6372 616d0000 00125203 23a80507 locram....R.#... 72b10: 646d615f 656e6769 6e650000 004cb903 dma_engine...L.. 72b20: 23b40507 646d615f 6c696200 000034c4 #...dma_lib...4. 72b30: 0323f405 07686966 5f706369 00000037 .#...hif_pci...7 72b40: 240323a8 0600035f 415f6d61 67706965 $.#...._A_magpie 72b50: 5f696e64 69726563 74696f6e 5f746162 _indirection_tab 72b60: 6c655f74 0000004e 5a1a013b 7a66446d le_t...NZ..;zfDm 72b70: 61476574 5061636b 65740000 004b9201 aGetPacket...K.. 72b80: 01039201 20029000 008e5cf0 008e5d29 .... .....\...]) 72b90: 00004fb8 1b013b71 0000004c 3801521c ..O...;q...L8.R. 72ba0: 64657363 0000004b 92001d01 657a6644 desc...K....ezfD 72bb0: 6d615265 636c6169 6d506163 6b657400 maReclaimPacket. 72bc0: 01010492 01c00002 9000008e 5d2c008e ............],.. 72bd0: 5dbe0000 50331b01 65710000 004c3801 ]...P3..eq...L8. 72be0: 521b0165 64657363 0000004b 9201531c R..edesc...K..S. 72bf0: 746d7044 65736300 00004b92 1e746465 tmpDesc...K..tde 72c00: 73630000 004b0902 91401c76 64657363 sc...K...@.vdesc 72c10: 0000001c 291c7674 65726d64 65736300 ....).vtermdesc. 72c20: 00001c29 001f01b7 7a66446d 61507574 ...)....zfDmaPut 72c30: 5061636b 65740001 01049201 c0000290 Packet.......... 72c40: 00008e5d c0008e5e 5f1b01b7 71000000 ...]...^_...q... 72c50: 4c380152 1b01b764 65736300 00004b92 L8.R...desc...K. 72c60: 01531c74 6d704465 73630000 004b921e .S.tmpDesc...K.. 72c70: 74646573 63000000 4b090291 401c7664 tdesc...K...@.vd 72c80: 65736300 00001c29 1c767465 726d6465 esc....).vtermde 72c90: 73630000 001c2900 00000000 4e220002 sc....).....N".. 72ca0: 00002983 04012f72 6f6f742f 576f726b ..).../root/Work 72cb0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 72cc0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 72cd0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 72ce0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 72cf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 72d00: 726f6d2f 6677642f 2f667764 2e63002f rom/fwd//fwd.c./ 72d10: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 72d20: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 72d30: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 72d40: 6d2f6677 64007874 2d786363 20666f72 m/fwd.xt-xcc for 72d50: 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig 72d60: 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 72d70: 32202d4f 32202d67 33202d4f 50543a73 2 -O2 -g3 -OPT:s 72d80: 70616365 00010000 019aa702 01030000 pace............ 72d90: 00f10400 04696e74 00050404 63686172 .....int....char 72da0: 00070105 00000101 05000001 01030000 ................ 72db0: 010e0400 06000000 fa010300 00011a04 ................ 72dc0: 00077072 696e7466 5f617069 00080000 ..printf_api.... 72dd0: 015e085f 7072696e 74665f69 6e697400 .^._printf_init. 72de0: 000000f3 02230008 5f707269 6e746600 .....#.._printf. 72df0: 00000120 02230400 0473686f 72742075 ... .#...short u 72e00: 6e736967 6e656420 696e7400 07020975 nsigned int....u 72e10: 696e7431 365f7400 0000015e 046c6f6e int16_t....^.lon 72e20: 6720756e 7369676e 65642069 6e740007 g unsigned int.. 72e30: 04097569 6e743332 5f740000 00018207 ..uint32_t...... 72e40: 75617274 5f666966 6f000800 0001f008 uart_fifo....... 72e50: 73746172 745f696e 64657800 00000174 start_index....t 72e60: 02230008 656e645f 696e6465 78000000 .#..end_index... 72e70: 01740223 02086f76 65727275 6e5f6572 .t.#..overrun_er 72e80: 72000000 01970223 04000775 6172745f r......#...uart_ 72e90: 61706900 20000002 a9085f75 6172745f api. ....._uart_ 72ea0: 696e6974 00000003 00022300 085f7561 init......#.._ua 72eb0: 72745f63 6861725f 70757400 00000327 rt_char_put....' 72ec0: 02230408 5f756172 745f6368 61725f67 .#.._uart_char_g 72ed0: 65740000 00033b02 2308085f 75617274 et....;.#.._uart 72ee0: 5f737472 5f6f7574 00000003 4402230c _str_out....D.#. 72ef0: 085f7561 72745f74 61736b00 000000f3 ._uart_task..... 72f00: 02231008 5f756172 745f7374 61747573 .#.._uart_status 72f10: 00000003 00022314 085f7561 72745f63 ......#.._uart_c 72f20: 6f6e6669 67000000 034d0223 18085f75 onfig....M.#.._u 72f30: 6172745f 6877696e 69740000 00035602 art_hwinit....V. 72f40: 231c0003 000001f0 04000775 6172745f #..........uart_ 72f50: 626c6b00 10000002 fa086465 6275675f blk.......debug_ 72f60: 6d6f6465 00000001 74022300 08626175 mode....t.#..bau 72f70: 64000000 01740223 02085f75 61727400 d....t.#.._uart. 72f80: 000002a9 02230408 5f747800 000001a5 .....#.._tx..... 72f90: 02230800 06000001 97010300 0002fa04 .#.............. 72fa0: 0004756e 7369676e 65642063 68617200 ..unsigned char. 72fb0: 07010975 696e7438 5f740000 00030702 ...uint8_t...... 72fc0: 01030000 03250400 03000003 18040006 .....%.......... 72fd0: 00000174 01030000 03350400 02010300 ...t.....5...... 72fe0: 00034204 00020103 0000034b 04000201 ..B........K.... 72ff0: 03000003 54040003 00000101 04000600 ....T........... 73000: 0000fa01 03000003 64040007 44425f43 ........d...DB_C 73010: 4f4d4d41 4e445f53 54525543 54000c00 OMMAND_STRUCT... 73020: 0003bc08 636d645f 73747200 0000035d ....cmd_str....] 73030: 02230008 68656c70 5f737472 00000003 .#..help_str.... 73040: 5d022304 08636d64 5f66756e 63000000 ].#..cmd_func... 73050: 036a0223 08000764 62675f61 70690008 .j.#...dbg_api.. 73060: 000003ef 085f6462 675f696e 69740000 ....._dbg_init.. 73070: 0000f302 2300085f 6462675f 7461736b ....#.._dbg_task 73080: 00000000 f3022304 000a0400 04756e73 ......#......uns 73090: 69676e65 6420696e 74000704 06000003 igned int....... 730a0: ef010300 00040204 000b0b03 00000410 ................ 730b0: 04000600 0003ef01 03000004 18040006 ................ 730c0: 000000fa 01030000 04250400 076d656d .........%...mem 730d0: 5f617069 00140000 0494085f 6d656d5f _api......._mem_ 730e0: 696e6974 00000000 f3022300 085f6d65 init......#.._me 730f0: 6d736574 00000004 08022304 085f6d65 mset......#.._me 73100: 6d637079 00000004 1e022308 085f6d65 mcpy......#.._me 73110: 6d6d6f76 65000000 041e0223 0c085f6d mmove......#.._m 73120: 656d636d 70000000 042b0223 10000c72 emcmp....+.#...r 73130: 65676973 7465725f 64756d70 5f730000 egister_dump_s.. 73140: 01030000 04940400 02010300 0004ae04 ................ 73150: 00020103 000004b7 04000600 0000fa01 ................ 73160: 03000004 c004000d 686f7374 69665f73 ........hostif_s 73170: 00040000 051c0e48 49465f55 53420000 .......HIF_USB.. 73180: 0e484946 5f504349 4500010e 4849465f .HIF_PCIE...HIF_ 73190: 474d4143 00020e48 49465f50 43490003 GMAC...HIF_PCI.. 731a0: 0e484946 5f4e554d 00040e48 49465f4e .HIF_NUM...HIF_N 731b0: 4f4e4500 05000941 5f484f53 54494600 ONE....A_HOSTIF. 731c0: 000004cd 06000005 1c010300 00052a04 ..............*. 731d0: 00060000 03180103 00000537 04000600 ...........7.... 731e0: 00017401 03000005 44040007 6d697363 ..t.....D...misc 731f0: 5f617069 00240000 0634085f 73797374 _api.$...4._syst 73200: 656d5f72 65736574 00000000 f3022300 em_reset......#. 73210: 085f6d61 635f7265 73657400 000000f3 ._mac_reset..... 73220: 02230408 5f617373 6661696c 00000004 .#.._assfail.... 73230: b0022308 085f6d69 73616c69 676e6564 ..#.._misaligned 73240: 5f6c6f61 645f6861 6e646c65 72000000 _load_handler... 73250: 04b00223 0c085f72 65706f72 745f6661 ...#.._report_fa 73260: 696c7572 655f746f 5f686f73 74000000 ilure_to_host... 73270: 04b90223 10085f74 61726765 745f6964 ...#.._target_id 73280: 5f676574 00000004 c6022314 085f6973 _get......#.._is 73290: 5f686f73 745f7072 6573656e 74000000 _host_present... 732a0: 05300223 18085f6b 62686974 00000005 .0.#.._kbhit.... 732b0: 3d02231c 085f726f 6d5f7665 7273696f =.#.._rom_versio 732c0: 6e5f6765 74000000 054a0223 20000600 n_get....J.# ... 732d0: 00035d01 03000006 34040006 0000035d ..].....4......] 732e0: 01030000 06410400 06000000 fa010300 .....A.......... 732f0: 00064e04 00060000 00fa0103 0000065b ..N............[ 73300: 04000600 0000fa01 03000006 68040007 ............h... 73310: 73747269 6e675f61 70690018 000006ee string_api...... 73320: 085f7374 72696e67 5f696e69 74000000 ._string_init... 73330: 00f30223 00085f73 74726370 79000000 ...#.._strcpy... 73340: 063a0223 04085f73 74726e63 70790000 .:.#.._strncpy.. 73350: 00064702 2308085f 7374726c 656e0000 ..G.#.._strlen.. 73360: 00065402 230c085f 73747263 6d700000 ..T.#.._strcmp.. 73370: 00066102 2310085f 7374726e 636d7000 ..a.#.._strncmp. 73380: 0000066e 02231400 0f000003 f2140000 ...n.#.......... 73390: 06fb1004 00095f41 5f54494d 45525f53 ......_A_TIMER_S 733a0: 50414345 00000006 ee09415f 74696d65 PACE......A_time 733b0: 725f7400 000006fb 03000007 0f040002 r_t............. 733c0: 01030000 07250400 02010300 00072e04 .....%.......... 733d0: 0009415f 48414e44 4c450000 0003f202 ..A_HANDLE...... 733e0: 0109415f 54494d45 525f4655 4e430000 ..A_TIMER_FUNC.. 733f0: 00074503 00000747 04000201 03000007 ..E....G........ 73400: 60040007 74696d65 725f6170 69001400 `...timer_api... 73410: 0007df08 5f74696d 65725f69 6e697400 ...._timer_init. 73420: 000000f3 02230008 5f74696d 65725f61 .....#.._timer_a 73430: 726d0000 00072702 2304085f 74696d65 rm....'.#.._time 73440: 725f6469 7361726d 00000007 30022308 r_disarm....0.#. 73450: 085f7469 6d65725f 73657466 6e000000 ._timer_setfn... 73460: 07620223 0c085f74 696d6572 5f72756e .b.#.._timer_run 73470: 00000000 f3022310 0009424f 4f4c4541 ......#...BOOLEA 73480: 4e000000 01740600 0007df01 03000007 N....t.......... 73490: ec040006 000007df 01030000 07f90400 ................ 734a0: 06000007 df010300 00080604 0007726f ..............ro 734b0: 6d705f61 70690010 00000878 085f726f mp_api.....x._ro 734c0: 6d705f69 6e697400 000000f3 02230008 mp_init......#.. 734d0: 5f726f6d 705f646f 776e6c6f 61640000 _romp_download.. 734e0: 0007f202 2304085f 726f6d70 5f696e73 ....#.._romp_ins 734f0: 74616c6c 00000007 ff022308 085f726f tall......#.._ro 73500: 6d705f64 65636f64 65000000 080c0223 mp_decode......# 73510: 0c000772 6f6d5f70 61746368 5f737400 ...rom_patch_st. 73520: 10000008 d4086372 63313600 00000174 ......crc16....t 73530: 02230008 6c656e00 00000174 02230208 .#..len....t.#.. 73540: 6c645f61 64647200 00000197 02230408 ld_addr......#.. 73550: 66756e5f 61646472 00000001 97022308 fun_addr......#. 73560: 08706675 6e000000 032e0223 0c000765 .pfun......#...e 73570: 65705f72 65646972 5f616464 72000400 ep_redir_addr... 73580: 00090608 6f666673 65740000 00017402 ....offset....t. 73590: 23000873 697a6500 00000174 02230200 #..size....t.#.. 735a0: 09415f55 494e5433 32000000 03f20600 .A_UINT32....... 735b0: 0003ef01 03000009 14040007 616c6c6f ............allo 735c0: 6372616d 5f617069 000c0000 09850863 cram_api.......c 735d0: 6d6e6f73 5f616c6c 6f637261 6d5f696e mnos_allocram_in 735e0: 69740000 00091a02 23000863 6d6e6f73 it......#..cmnos 735f0: 5f616c6c 6f637261 6d000000 091a0223 _allocram......# 73600: 0408636d 6e6f735f 616c6c6f 6372616d ..cmnos_allocram 73610: 5f646562 75670000 0000f302 23080002 _debug......#... 73620: 01030000 09850400 09415f54 41534b4c .........A_TASKL 73630: 45545f46 554e4300 00000987 075f7461 ET_FUNC......_ta 73640: 736b6c65 74001000 0009e608 66756e63 sklet.......func 73650: 00000009 8e022300 08617267 00000003 ......#..arg.... 73660: ef022304 08737461 74650000 0000fa02 ..#..state...... 73670: 2308086e 65787400 000009e6 02230c00 #..next......#.. 73680: 03000009 a2040003 000009a2 04000941 ...............A 73690: 5f746173 6b6c6574 5f740000 0009a203 _tasklet_t...... 736a0: 000009f4 04000201 0300000a 0c040002 ................ 736b0: 01030000 0a150400 07746173 6b6c6574 .........tasklet 736c0: 5f617069 00140000 0aaa085f 7461736b _api......._task 736d0: 6c65745f 696e6974 00000000 f3022300 let_init......#. 736e0: 085f7461 736b6c65 745f696e 69745f74 ._tasklet_init_t 736f0: 61736b00 00000a0e 02230408 5f746173 ask......#.._tas 73700: 6b6c6574 5f646973 61626c65 0000000a klet_disable.... 73710: 17022308 085f7461 736b6c65 745f7363 ..#.._tasklet_sc 73720: 68656475 6c650000 000a1702 230c085f hedule......#.._ 73730: 7461736b 6c65745f 72756e00 000000f3 tasklet_run..... 73740: 02231000 02010300 000aaa04 00060000 .#.............. 73750: 09060103 00000ab3 04000201 0300000a ................ 73760: c0040007 636c6f63 6b5f6170 69002400 ....clock_api.$. 73770: 000ba208 5f636c6f 636b5f69 6e697400 ...._clock_init. 73780: 00000aac 02230008 5f636c6f 636b7265 .....#.._clockre 73790: 67735f69 6e697400 000000f3 02230408 gs_init......#.. 737a0: 5f756172 745f6672 65717565 6e637900 _uart_frequency. 737b0: 00000ab9 02230808 5f64656c 61795f75 .....#.._delay_u 737c0: 73000000 0ac20223 0c085f77 6c616e5f s......#.._wlan_ 737d0: 62616e64 5f736574 0000000a c2022310 band_set......#. 737e0: 085f7265 66636c6b 5f737065 65645f67 ._refclk_speed_g 737f0: 65740000 000ab902 2314085f 6d696c6c et......#.._mill 73800: 69736563 6f6e6473 0000000a b9022318 iseconds......#. 73810: 085f7379 73636c6b 5f636861 6e676500 ._sysclk_change. 73820: 000000f3 02231c08 5f636c6f 636b5f74 .....#.._clock_t 73830: 69636b00 000000f3 02232000 06000001 ick......# ..... 73840: 97010300 000ba204 0009415f 6f6c645f ..........A_old_ 73850: 696e7472 5f740000 00019706 00000baf intr_t.......... 73860: 01030000 0bc10400 02010300 000bce04 ................ 73870: 00020103 00000bd7 04000600 00019701 ................ 73880: 0300000b e0040009 415f6973 725f7400 ........A_isr_t. 73890: 00000be6 02010300 000bfa04 00060000 ................ 738a0: 03f20103 00000c03 04000201 0300000c ................ 738b0: 10040007 696e7472 5f617069 002c0000 ....intr_api.,.. 738c0: 0d32085f 696e7472 5f696e69 74000000 .2._intr_init... 738d0: 00f30223 00085f69 6e74725f 696e766f ...#.._intr_invo 738e0: 6b655f69 73720000 000ba802 2304085f ke_isr......#.._ 738f0: 696e7472 5f646973 61626c65 0000000b intr_disable.... 73900: c7022308 085f696e 74725f72 6573746f ..#.._intr_resto 73910: 72650000 000bd002 230c085f 696e7472 re......#.._intr 73920: 5f6d6173 6b5f696e 756d0000 000bd902 _mask_inum...... 73930: 2310085f 696e7472 5f756e6d 61736b5f #.._intr_unmask_ 73940: 696e756d 0000000b d9022314 085f696e inum......#.._in 73950: 74725f61 74746163 685f6973 72000000 tr_attach_isr... 73960: 0bfc0223 18085f67 65745f69 6e747265 ...#.._get_intre 73970: 6e61626c 65000000 0c090223 1c085f73 nable......#.._s 73980: 65745f69 6e747265 6e61626c 65000000 et_intrenable... 73990: 0c120223 20085f67 65745f69 6e747270 ...# ._get_intrp 739a0: 656e6469 6e670000 000c0902 2324085f ending......#$._ 739b0: 756e626c 6f636b5f 616c6c5f 696e7472 unblock_all_intr 739c0: 6c766c00 000000f3 02232800 11040000 lvl......#(..... 739d0: 0d580874 696d656f 75740000 00019702 .X.timeout...... 739e0: 23000861 6374696f 6e000000 01970223 #..action......# 739f0: 00001208 00000d73 08636d64 00000001 .......s.cmd.... 73a00: 97022300 1300000d 32022304 0009545f ..#.....2.#...T_ 73a10: 5744545f 434d4400 00000d58 02010300 WDT_CMD....X.... 73a20: 000d8204 00140400 000dd80e 454e554d ............ENUM 73a30: 5f574454 5f424f4f 5400010e 454e554d _WDT_BOOT...ENUM 73a40: 5f434f4c 445f424f 4f540002 0e454e55 _COLD_BOOT...ENU 73a50: 4d5f5355 53505f42 4f4f5400 030e454e M_SUSP_BOOT...EN 73a60: 554d5f55 4e4b4e4f 574e5f42 4f4f5400 UM_UNKNOWN_BOOT. 73a70: 04000954 5f424f4f 545f5459 50450000 ...T_BOOT_TYPE.. 73a80: 000d8b06 00000dd8 01030000 0de90400 ................ 73a90: 07776474 5f617069 001c0000 0e8d085f .wdt_api......._ 73aa0: 7764745f 696e6974 00000000 f3022300 wdt_init......#. 73ab0: 085f7764 745f656e 61626c65 00000000 ._wdt_enable.... 73ac0: f3022304 085f7764 745f6469 7361626c ..#.._wdt_disabl 73ad0: 65000000 00f30223 08085f77 64745f73 e......#.._wdt_s 73ae0: 65740000 000d8402 230c085f 7764745f et......#.._wdt_ 73af0: 7461736b 00000000 f3022310 085f7764 task......#.._wd 73b00: 745f7265 73657400 000000f3 02231408 t_reset......#.. 73b10: 5f776474 5f6c6173 745f626f 6f740000 _wdt_last_boot.. 73b20: 000def02 23180014 0400000e f40e5245 ....#.........RE 73b30: 545f5355 43434553 5300000e 5245545f T_SUCCESS...RET_ 73b40: 4e4f545f 494e4954 00010e52 45545f4e NOT_INIT...RET_N 73b50: 4f545f45 58495354 00020e52 45545f45 OT_EXIST...RET_E 73b60: 45505f43 4f525255 50540003 0e524554 EP_CORRUPT...RET 73b70: 5f454550 5f4f5645 52464c4f 5700040e _EEP_OVERFLOW... 73b80: 5245545f 554e4b4e 4f574e00 05000954 RET_UNKNOWN....T 73b90: 5f454550 5f524554 0000000e 8d030000 _EEP_RET........ 73ba0: 01740400 0600000e f4010300 000f0a04 .t.............. 73bb0: 00060000 0ef40103 00000f17 04000765 ...............e 73bc0: 65705f61 70690010 00000f80 085f6565 ep_api......._ee 73bd0: 705f696e 69740000 0000f302 2300085f p_init......#.._ 73be0: 6565705f 72656164 0000000f 10022304 eep_read......#. 73bf0: 085f6565 705f7772 69746500 00000f10 ._eep_write..... 73c00: 02230808 5f656570 5f69735f 65786973 .#.._eep_is_exis 73c10: 74000000 0f1d0223 0c000775 73625f61 t......#...usb_a 73c20: 70690070 0000122d 085f7573 625f696e pi.p...-._usb_in 73c30: 69740000 0000f302 2300085f 7573625f it......#.._usb_ 73c40: 726f6d5f 7461736b 00000000 f3022304 rom_task......#. 73c50: 085f7573 625f6677 5f746173 6b000000 ._usb_fw_task... 73c60: 00f30223 08085f75 73625f69 6e69745f ...#.._usb_init_ 73c70: 70687900 000000f3 02230c08 5f757362 phy......#.._usb 73c80: 5f657030 5f736574 75700000 0000f302 _ep0_setup...... 73c90: 2310085f 7573625f 6570305f 74780000 #.._usb_ep0_tx.. 73ca0: 0000f302 2314085f 7573625f 6570305f ....#.._usb_ep0_ 73cb0: 72780000 0000f302 2318085f 7573625f rx......#.._usb_ 73cc0: 6765745f 696e7465 72666163 65000000 get_interface... 73cd0: 07ff0223 1c085f75 73625f73 65745f69 ...#.._usb_set_i 73ce0: 6e746572 66616365 00000007 ff022320 nterface......# 73cf0: 085f7573 625f6765 745f636f 6e666967 ._usb_get_config 73d00: 75726174 696f6e00 000007ff 02232408 uration......#$. 73d10: 5f757362 5f736574 5f636f6e 66696775 _usb_set_configu 73d20: 72617469 6f6e0000 0007ff02 2328085f ration......#(._ 73d30: 7573625f 7374616e 64617264 5f636d64 usb_standard_cmd 73d40: 00000007 ff02232c 085f7573 625f7665 ......#,._usb_ve 73d50: 6e646f72 5f636d64 00000000 f3022330 ndor_cmd......#0 73d60: 085f7573 625f706f 7765725f 6f666600 ._usb_power_off. 73d70: 000000f3 02233408 5f757362 5f726573 .....#4._usb_res 73d80: 65745f66 69666f00 000000f3 02233808 et_fifo......#8. 73d90: 5f757362 5f67656e 5f776474 00000000 _usb_gen_wdt.... 73da0: f302233c 085f7573 625f6a75 6d705f62 ..#<._usb_jump_b 73db0: 6f6f7400 000000f3 02234008 5f757362 oot......#@._usb 73dc0: 5f636c72 5f666561 74757265 00000007 _clr_feature.... 73dd0: ff022344 085f7573 625f7365 745f6665 ..#D._usb_set_fe 73de0: 61747572 65000000 07ff0223 48085f75 ature......#H._u 73df0: 73625f73 65745f61 64647265 73730000 sb_set_address.. 73e00: 0007ff02 234c085f 7573625f 6765745f ....#L._usb_get_ 73e10: 64657363 72697074 6f720000 0007ff02 descriptor...... 73e20: 2350085f 7573625f 6765745f 73746174 #P._usb_get_stat 73e30: 75730000 0007ff02 2354085f 7573625f us......#T._usb_ 73e40: 73657475 705f6465 73630000 0000f302 setup_desc...... 73e50: 2358085f 7573625f 7265675f 6f757400 #X._usb_reg_out. 73e60: 000000f3 02235c08 5f757362 5f737461 .....#\._usb_sta 73e70: 7475735f 696e0000 0000f302 2360085f tus_in......#`._ 73e80: 7573625f 6570305f 74785f64 61746100 usb_ep0_tx_data. 73e90: 000000f3 02236408 5f757362 5f657030 .....#d._usb_ep0 73ea0: 5f72785f 64617461 00000000 f3022368 _rx_data......#h 73eb0: 085f7573 625f636c 6b5f696e 69740000 ._usb_clk_init.. 73ec0: 0000f302 236c0007 5f564445 53430024 ....#l.._VDESC.$ 73ed0: 000012b9 086e6578 745f6465 73630000 .....next_desc.. 73ee0: 0012b902 23000862 75665f61 64647200 ....#..buf_addr. 73ef0: 000012cd 02230408 6275665f 73697a65 .....#..buf_size 73f00: 00000012 d4022308 08646174 615f6f66 ......#..data_of 73f10: 66736574 00000012 d402230a 08646174 fset......#..dat 73f20: 615f7369 7a650000 0012d402 230c0863 a_size......#..c 73f30: 6f6e7472 6f6c0000 0012d402 230e0868 ontrol......#..h 73f40: 775f6465 73635f62 75660000 0012e202 w_desc_buf...... 73f50: 23100003 0000122d 04000941 5f55494e #......-...A_UIN 73f60: 54380000 00030703 000012c0 04000941 T8.............A 73f70: 5f55494e 54313600 0000015e 0f000012 _UINT16....^.... 73f80: c0140000 12ef1013 00030000 122d0400 .............-.. 73f90: 09564445 53430000 00122d03 000012f6 .VDESC....-..... 73fa0: 04000600 00130101 03000013 08040006 ................ 73fb0: 000012cd 01030000 13150400 02010300 ................ 73fc0: 00132204 00077664 6573635f 61706900 .."...vdesc_api. 73fd0: 14000013 9a085f69 6e697400 00000ac2 ......_init..... 73fe0: 02230008 5f616c6c 6f635f76 64657363 .#.._alloc_vdesc 73ff0: 00000013 0e022304 085f6765 745f6877 ......#.._get_hw 74000: 5f646573 63000000 131b0223 08085f73 _desc......#.._s 74010: 7761705f 76646573 63000000 13240223 wap_vdesc....$.# 74020: 0c087052 65736572 76656400 000003ef ..pReserved..... 74030: 02231000 075f5642 55460020 000013fa .#..._VBUF. .... 74040: 08646573 635f6c69 73740000 00130102 .desc_list...... 74050: 2300086e 6578745f 62756600 000013fa #..next_buf..... 74060: 02230408 6275665f 6c656e67 74680000 .#..buf_length.. 74070: 0012d402 23080872 65736572 76656400 ....#..reserved. 74080: 00001401 02230a08 63747800 000012e2 .....#..ctx..... 74090: 02230c00 03000013 9a04000f 000012c0 .#.............. 740a0: 02000014 0e100100 03000013 9a040009 ................ 740b0: 56425546 00000013 9a030000 14150400 VBUF............ 740c0: 06000014 1f010300 00142604 00060000 ..........&..... 740d0: 141f0103 00001433 04000201 03000014 .......3........ 740e0: 40040007 76627566 5f617069 00140000 @...vbuf_api.... 740f0: 14be085f 696e6974 0000000a c2022300 ..._init......#. 74100: 085f616c 6c6f635f 76627566 00000014 ._alloc_vbuf.... 74110: 2c022304 085f616c 6c6f635f 76627566 ,.#.._alloc_vbuf 74120: 5f776974 685f7369 7a650000 00143902 _with_size....9. 74130: 2308085f 66726565 5f766275 66000000 #.._free_vbuf... 74140: 14420223 0c087052 65736572 76656400 .B.#..pReserved. 74150: 000003ef 02231000 075f5f61 64665f64 .....#...__adf_d 74160: 65766963 65000400 0014e008 64756d6d evice.......dumm 74170: 79000000 00fa0223 00000300 00090604 y......#........ 74180: 00075f5f 6164665f 646d615f 6d617000 ..__adf_dma_map. 74190: 0c000015 27086275 66000000 141f0223 ....'.buf......# 741a0: 00086473 5f616464 72000000 14e00223 ..ds_addr......# 741b0: 04086473 5f6c656e 00000012 d4022308 ..ds_len......#. 741c0: 00120c00 00156108 5f5f7661 5f73746b ......a.__va_stk 741d0: 00000003 5d022300 085f5f76 615f7265 ....].#..__va_re 741e0: 67000000 035d0223 04085f5f 76615f6e g....].#..__va_n 741f0: 64780000 0000fa02 23080009 5f5f6164 dx......#...__ad 74200: 665f6f73 5f646d61 5f616464 725f7400 f_os_dma_addr_t. 74210: 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ 74220: 61646472 5f740000 00156109 5f5f6164 addr_t....a.__ad 74230: 665f6f73 5f646d61 5f73697a 655f7400 f_os_dma_size_t. 74240: 00000906 09616466 5f6f735f 646d615f .....adf_os_dma_ 74250: 73697a65 5f740000 00159107 5f5f646d size_t......__dm 74260: 615f7365 67730008 000015ed 08706164 a_segs.......pad 74270: 64720000 00157a02 2300086c 656e0000 dr....z.#..len.. 74280: 0015aa02 23040009 5f5f615f 75696e74 ....#...__a_uint 74290: 33325f74 00000009 0609615f 75696e74 32_t......a_uint 742a0: 33325f74 00000015 ed0f0000 15c10800 32_t............ 742b0: 00161c10 00000761 64665f6f 735f646d .......adf_os_dm 742c0: 616d6170 5f696e66 6f000c00 00165508 amap_info.....U. 742d0: 6e736567 73000000 15ff0223 0008646d nsegs......#..dm 742e0: 615f7365 67730000 00160f02 23040009 a_segs......#... 742f0: 5f5f615f 75696e74 385f7400 000012c0 __a_uint8_t..... 74300: 09615f75 696e7438 5f740000 00165503 .a_uint8_t....U. 74310: 00001666 0400075f 5f73675f 73656773 ...f...__sg_segs 74320: 00080000 16a70876 61646472 00000016 .......vaddr.... 74330: 75022300 086c656e 00000015 ff022304 u.#..len......#. 74340: 000f0000 167c2000 0016b410 03000761 .....| ........a 74350: 64665f6f 735f7367 6c697374 00240000 df_os_sglist.$.. 74360: 16e7086e 73656773 00000015 ff022300 ...nsegs......#. 74370: 0873675f 73656773 00000016 a7022304 .sg_segs......#. 74380: 00121000 00173008 76656e64 6f720000 ......0.vendor.. 74390: 0015ff02 23000864 65766963 65000000 ....#..device... 743a0: 15ff0223 04087375 6276656e 646f7200 ...#..subvendor. 743b0: 000015ff 02230808 73756264 65766963 .....#..subdevic 743c0: 65000000 15ff0223 0c00046c 6f6e6720 e......#...long 743d0: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 743e0: 74000708 09415f55 494e5436 34000000 t....A_UINT64... 743f0: 1730095f 5f615f75 696e7436 345f7400 .0.__a_uint64_t. 74400: 0000174a 09615f75 696e7436 345f7400 ...J.a_uint64_t. 74410: 00001758 14040000 17b60e41 44465f4f ...X.......ADF_O 74420: 535f5245 534f5552 43455f54 5950455f S_RESOURCE_TYPE_ 74430: 4d454d00 000e4144 465f4f53 5f524553 MEM...ADF_OS_RES 74440: 4f555243 455f5459 50455f49 4f000100 OURCE_TYPE_IO... 74450: 09616466 5f6f735f 7265736f 75726365 .adf_os_resource 74460: 5f747970 655f7400 0000177a 12180000 _type_t....z.... 74470: 18000873 74617274 00000017 6a022300 ...start....j.#. 74480: 08656e64 00000017 6a022308 08747970 .end....j.#..typ 74490: 65000000 17b60223 10000961 64665f6f e......#...adf_o 744a0: 735f7063 695f6465 765f6964 5f740000 s_pci_dev_id_t.. 744b0: 0016e703 00001800 04001104 0000183f ...............? 744c0: 08706369 00000018 19022300 08726177 .pci......#..raw 744d0: 00000003 ef022300 00111000 00185e08 ......#.......^. 744e0: 70636900 00001800 02230008 72617700 pci......#..raw. 744f0: 000003ef 02230000 09616466 5f647276 .....#...adf_drv 74500: 5f68616e 646c655f 74000000 03ef0961 _handle_t......a 74510: 64665f6f 735f7265 736f7572 63655f74 df_os_resource_t 74520: 00000017 d2030000 18740400 09616466 .........t...adf 74530: 5f6f735f 61747461 63685f64 6174615f _os_attach_data_ 74540: 74000000 183f0300 00189204 00030000 t....?.......... 74550: 14be0400 095f5f61 64665f6f 735f6465 .....__adf_os_de 74560: 76696365 5f740000 0018b309 6164665f vice_t......adf_ 74570: 6f735f64 65766963 655f7400 000018ba os_device_t..... 74580: 06000018 5e010300 0018e604 00020103 ....^........... 74590: 000018f3 04000961 64665f6f 735f706d .......adf_os_pm 745a0: 5f740000 0003ef02 01030000 190d0400 _t.............. 745b0: 14040000 194d0e41 44465f4f 535f4255 .....M.ADF_OS_BU 745c0: 535f5459 50455f50 43490001 0e414446 S_TYPE_PCI...ADF 745d0: 5f4f535f 4255535f 54595045 5f47454e _OS_BUS_TYPE_GEN 745e0: 45524943 00020009 6164665f 6f735f62 ERIC....adf_os_b 745f0: 75735f74 7970655f 74000000 19160961 us_type_t......a 74600: 64665f6f 735f6275 735f7265 675f6461 df_os_bus_reg_da 74610: 74615f74 00000018 20030000 03070400 ta_t.... ....... 74620: 075f6164 665f6472 765f696e 666f0020 ._adf_drv_info. 74630: 00001a2a 08647276 5f617474 61636800 ...*.drv_attach. 74640: 000018ec 02230008 6472765f 64657461 .....#..drv_deta 74650: 63680000 0018f502 23040864 72765f73 ch......#..drv_s 74660: 75737065 6e640000 00190f02 23080864 uspend......#..d 74670: 72765f72 6573756d 65000000 18f50223 rv_resume......# 74680: 0c086275 735f7479 70650000 00194d02 ..bus_type....M. 74690: 23100862 75735f64 61746100 00001964 #..bus_data....d 746a0: 02231408 6d6f645f 6e616d65 00000019 .#..mod_name.... 746b0: 7f022318 0869666e 616d6500 0000197f ..#..ifname..... 746c0: 02231c00 09616466 5f6f735f 68616e64 .#...adf_os_hand 746d0: 6c655f74 00000003 ef030000 16550400 le_t.........U.. 746e0: 02010201 095f5f61 64665f6f 735f7369 .....__adf_os_si 746f0: 7a655f74 00000003 f2140400 001a790e ze_t..........y. 74700: 415f4641 4c534500 000e415f 54525545 A_FALSE...A_TRUE 74710: 00010009 615f626f 6f6c5f74 0000001a ....a_bool_t.... 74720: 5f030000 14e70400 095f5f61 64665f6f _........__adf_o 74730: 735f646d 615f6d61 705f7400 00001a87 s_dma_map_t..... 74740: 02010d61 64665f6f 735f6361 6368655f ...adf_os_cache_ 74750: 73796e63 00040000 1b110e41 44465f53 sync.......ADF_S 74760: 594e435f 50524552 45414400 000e4144 YNC_PREREAD...AD 74770: 465f5359 4e435f50 52455752 49544500 F_SYNC_PREWRITE. 74780: 020e4144 465f5359 4e435f50 4f535452 ..ADF_SYNC_POSTR 74790: 45414400 010e4144 465f5359 4e435f50 EAD...ADF_SYNC_P 747a0: 4f535457 52495445 00030009 6164665f OSTWRITE....adf_ 747b0: 6f735f63 61636865 5f73796e 635f7400 os_cache_sync_t. 747c0: 00001aa8 02010961 64665f6f 735f7369 .......adf_os_si 747d0: 7a655f74 0000001a 4a060000 1b2c0109 ze_t....J....,.. 747e0: 6164665f 6f735f64 6d615f6d 61705f74 adf_os_dma_map_t 747f0: 0000001a 8e030000 1b450400 06000003 .........E...... 74800: ef010300 001a8e04 00060000 03ef0102 ................ 74810: 01060000 157a0102 01047368 6f727420 .....z....short 74820: 696e7400 05020941 5f494e54 31360000 int....A_INT16.. 74830: 001b7f09 5f5f615f 696e7431 365f7400 ....__a_int16_t. 74840: 00001b8c 09615f69 6e743136 5f740000 .....a_int16_t.. 74850: 001b9904 7369676e 65642063 68617200 ....signed char. 74860: 05010941 5f494e54 38000000 1bb9095f ...A_INT8......_ 74870: 5f615f69 6e74385f 74000000 1bc80961 _a_int8_t......a 74880: 5f696e74 385f7400 00001bd4 120c0000 _int8_t......... 74890: 1c4b0873 7570706f 72746564 00000015 .K.supported.... 748a0: ff022300 08616476 65727469 7a656400 ..#..advertized. 748b0: 000015ff 02230408 73706565 64000000 .....#..speed... 748c0: 1baa0223 08086475 706c6578 0000001b ...#..duplex.... 748d0: e402230a 08617574 6f6e6567 00000016 ..#..autoneg.... 748e0: 6602230b 000f0000 16660600 001c5810 f.#......f....X. 748f0: 05000761 64665f6e 65745f65 74686164 ...adf_net_ethad 74900: 64720006 00001c7c 08616464 72000000 dr.....|.addr... 74910: 1c4b0223 0000095f 5f615f75 696e7431 .K.#...__a_uint1 74920: 365f7400 000012d4 09615f75 696e7431 6_t......a_uint1 74930: 365f7400 00001c7c 120e0000 1ce00865 6_t....|.......e 74940: 74686572 5f64686f 73740000 001c4b02 ther_dhost....K. 74950: 23000865 74686572 5f73686f 73740000 #..ether_shost.. 74960: 001c4b02 23060865 74686572 5f747970 ..K.#..ether_typ 74970: 65000000 1c8e0223 0c001214 00001da1 e......#........ 74980: 1569705f 76657273 696f6e00 00001666 .ip_version....f 74990: 01000402 23001569 705f686c 00000016 ....#..ip_hl.... 749a0: 66010404 02230008 69705f74 6f730000 f....#..ip_tos.. 749b0: 00166602 23010869 705f6c65 6e000000 ..f.#..ip_len... 749c0: 1c8e0223 02086970 5f696400 00001c8e ...#..ip_id..... 749d0: 02230408 69705f66 7261675f 6f666600 .#..ip_frag_off. 749e0: 00001c8e 02230608 69705f74 746c0000 .....#..ip_ttl.. 749f0: 00166602 23080869 705f7072 6f746f00 ..f.#..ip_proto. 74a00: 00001666 02230908 69705f63 6865636b ...f.#..ip_check 74a10: 0000001c 8e02230a 0869705f 73616464 ......#..ip_sadd 74a20: 72000000 15ff0223 0c086970 5f646164 r......#..ip_dad 74a30: 64720000 0015ff02 23100007 6164665f dr......#...adf_ 74a40: 6e65745f 766c616e 68647200 0400001d net_vlanhdr..... 74a50: f3087470 69640000 001c8e02 23001570 ..tpid......#..p 74a60: 72696f00 00001666 01000302 23021563 rio....f....#..c 74a70: 66690000 00166601 03010223 02157669 fi....f....#..vi 74a80: 64000000 1c8e0204 0c022302 00076164 d.........#...ad 74a90: 665f6e65 745f7669 64000200 001e2415 f_net_vid.....$. 74aa0: 72657300 00001666 01000402 23001576 res....f....#..v 74ab0: 616c0000 001c8e02 040c0223 0000120c al.........#.... 74ac0: 00001e60 0872785f 62756673 697a6500 ...`.rx_bufsize. 74ad0: 000015ff 02230008 72785f6e 64657363 .....#..rx_ndesc 74ae0: 00000015 ff022304 0874785f 6e646573 ......#..tx_ndes 74af0: 63000000 15ff0223 08001208 00001e86 c......#........ 74b00: 08706f6c 6c656400 00001a79 02230008 .polled....y.#.. 74b10: 706f6c6c 5f777400 000015ff 02230400 poll_wt......#.. 74b20: 0f000016 66400000 1e93103f 00124600 ....f@.....?..F. 74b30: 001ebb08 69665f6e 616d6500 00001e86 ....if_name..... 74b40: 02230008 6465765f 61646472 0000001c .#..dev_addr.... 74b50: 4b022340 00140400 001ef20e 4144465f K.#@........ADF_ 74b60: 4f535f44 4d415f4d 41534b5f 33324249 OS_DMA_MASK_32BI 74b70: 5400000e 4144465f 4f535f44 4d415f4d T...ADF_OS_DMA_M 74b80: 41534b5f 36344249 54000100 09616466 ASK_64BIT....adf 74b90: 5f6f735f 646d615f 6d61736b 5f740000 _os_dma_mask_t.. 74ba0: 001ebb07 6164665f 646d615f 696e666f ....adf_dma_info 74bb0: 00080000 1f3f0864 6d615f6d 61736b00 .....?.dma_mask. 74bc0: 00001ef2 02230008 73675f6e 73656773 .....#..sg_nsegs 74bd0: 00000015 ff022304 00140400 001f950e ......#......... 74be0: 4144465f 4e45545f 434b5355 4d5f4e4f ADF_NET_CKSUM_NO 74bf0: 4e450000 0e414446 5f4e4554 5f434b53 NE...ADF_NET_CKS 74c00: 554d5f54 43505f55 44505f49 50763400 UM_TCP_UDP_IPv4. 74c10: 010e4144 465f4e45 545f434b 53554d5f ..ADF_NET_CKSUM_ 74c20: 5443505f 5544505f 49507636 00020009 TCP_UDP_IPv6.... 74c30: 6164665f 6e65745f 636b7375 6d5f7479 adf_net_cksum_ty 74c40: 70655f74 0000001f 3f120800 001fd808 pe_t....?....... 74c50: 74785f63 6b73756d 0000001f 95022300 tx_cksum......#. 74c60: 0872785f 636b7375 6d000000 1f950223 .rx_cksum......# 74c70: 04000961 64665f6e 65745f63 6b73756d ...adf_net_cksum 74c80: 5f696e66 6f5f7400 00001faf 14040000 _info_t......... 74c90: 20310e41 44465f4e 45545f54 534f5f4e 1.ADF_NET_TSO_N 74ca0: 4f4e4500 000e4144 465f4e45 545f5453 ONE...ADF_NET_TS 74cb0: 4f5f4950 56340001 0e414446 5f4e4554 O_IPV4...ADF_NET 74cc0: 5f54534f 5f414c4c 00020009 6164665f _TSO_ALL....adf_ 74cd0: 6e65745f 74736f5f 74797065 5f740000 net_tso_type_t.. 74ce0: 001ff212 10000020 8508636b 73756d5f ....... ..cksum_ 74cf0: 63617000 00001fd8 02230008 74736f00 cap......#..tso. 74d00: 00002031 02230808 766c616e 5f737570 .. 1.#..vlan_sup 74d10: 706f7274 65640000 00166602 230c0012 ported....f.#... 74d20: 20000021 1e087478 5f706163 6b657473 ..!..tx_packets 74d30: 00000015 ff022300 0872785f 7061636b ......#..rx_pack 74d40: 65747300 000015ff 02230408 74785f62 ets......#..tx_b 74d50: 79746573 00000015 ff022308 0872785f ytes......#..rx_ 74d60: 62797465 73000000 15ff0223 0c087478 bytes......#..tx 74d70: 5f64726f 70706564 00000015 ff022310 _dropped......#. 74d80: 0872785f 64726f70 70656400 000015ff .rx_dropped..... 74d90: 02231408 72785f65 72726f72 73000000 .#..rx_errors... 74da0: 15ff0223 18087478 5f657272 6f727300 ...#..tx_errors. 74db0: 000015ff 02231c00 09616466 5f6e6574 .....#...adf_net 74dc0: 5f657468 61646472 5f740000 001c5816 _ethaddr_t....X. 74dd0: 0000211e 03000000 2143107f 00176164 ..!.....!C....ad 74de0: 665f6e65 745f636d 645f6d63 61646472 f_net_cmd_mcaddr 74df0: 00030400 00217a08 6e656c65 6d000000 .....!z.nelem... 74e00: 15ff0223 00086d63 61737400 00002135 ...#..mcast...!5 74e10: 02230400 09616466 5f6e6574 5f636d64 .#...adf_net_cmd 74e20: 5f6c696e 6b5f696e 666f5f74 0000001b _link_info_t.... 74e30: f2096164 665f6e65 745f636d 645f706f ..adf_net_cmd_po 74e40: 6c6c5f69 6e666f5f 74000000 1e600961 ll_info_t....`.a 74e50: 64665f6e 65745f63 6d645f63 6b73756d df_net_cmd_cksum 74e60: 5f696e66 6f5f7400 00001fd8 09616466 _info_t......adf 74e70: 5f6e6574 5f636d64 5f72696e 675f696e _net_cmd_ring_in 74e80: 666f5f74 0000001e 24096164 665f6e65 fo_t....$.adf_ne 74e90: 745f636d 645f646d 615f696e 666f5f74 t_cmd_dma_info_t 74ea0: 0000001f 09096164 665f6e65 745f636d ......adf_net_cm 74eb0: 645f7669 645f7400 00001c8e 09616466 d_vid_t......adf 74ec0: 5f6e6574 5f636d64 5f6f6666 6c6f6164 _net_cmd_offload 74ed0: 5f636170 5f740000 00204909 6164665f _cap_t... I.adf_ 74ee0: 6e65745f 636d645f 73746174 735f7400 net_cmd_stats_t. 74ef0: 00002085 09616466 5f6e6574 5f636d64 .. ..adf_net_cmd 74f00: 5f6d6361 6464725f 74000000 21430d61 _mcaddr_t...!C.a 74f10: 64665f6e 65745f63 6d645f6d 63617374 df_net_cmd_mcast 74f20: 5f636170 00040000 22bc0e41 44465f4e _cap...."..ADF_N 74f30: 45545f4d 43415354 5f535550 00000e41 ET_MCAST_SUP...A 74f40: 44465f4e 45545f4d 43415354 5f4e4f54 DF_NET_MCAST_NOT 74f50: 53555000 01000961 64665f6e 65745f63 SUP....adf_net_c 74f60: 6d645f6d 63617374 5f636170 5f740000 md_mcast_cap_t.. 74f70: 00227418 03040000 238e086c 696e6b5f ."t.....#..link_ 74f80: 696e666f 00000021 7a022300 08706f6c info...!z.#..pol 74f90: 6c5f696e 666f0000 00219702 23000863 l_info...!..#..c 74fa0: 6b73756d 5f696e66 6f000000 21b40223 ksum_info...!..# 74fb0: 00087269 6e675f69 6e666f00 000021d2 ..ring_info...!. 74fc0: 02230008 646d615f 696e666f 00000021 .#..dma_info...! 74fd0: ef022300 08766964 00000022 0b022300 ..#..vid..."..#. 74fe0: 086f6666 6c6f6164 5f636170 00000022 .offload_cap..." 74ff0: 22022300 08737461 74730000 00224102 ".#..stats..."A. 75000: 2300086d 63617374 5f696e66 6f000000 #..mcast_info... 75010: 225a0223 00086d63 6173745f 63617000 "Z.#..mcast_cap. 75020: 000022bc 02230000 14040000 23e50e41 .."..#......#..A 75030: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 75040: 5f4e4f4e 4500000e 4144465f 4e425546 _NONE...ADF_NBUF 75050: 5f52585f 434b5355 4d5f4857 00010e41 _RX_CKSUM_HW...A 75060: 44465f4e 4255465f 52585f43 4b53554d DF_NBUF_RX_CKSUM 75070: 5f554e4e 45434553 53415259 00020009 _UNNECESSARY.... 75080: 6164665f 6e627566 5f72785f 636b7375 adf_nbuf_rx_cksu 75090: 6d5f7479 70655f74 00000023 8e120800 m_type_t...#.... 750a0: 00242508 72657375 6c740000 0023e502 .$%.result...#.. 750b0: 23000876 616c0000 0015ff02 23040012 #..val......#... 750c0: 08000024 55087479 70650000 00203102 ...$U.type... 1. 750d0: 2300086d 73730000 001c8e02 23040868 #..mss......#..h 750e0: 64725f6f 66660000 00166602 23060007 dr_off....f.#... 750f0: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 75100: 000c0000 24940868 65616400 0000141f ....$..head..... 75110: 02230008 7461696c 00000014 1f022304 .#..tail......#. 75120: 08716c65 6e000000 15ff0223 0800095f .qlen......#..._ 75130: 5f616466 5f6e6275 665f7400 0000141f _adf_nbuf_t..... 75140: 03000016 75040003 000015ff 04000201 ....u........... 75150: 06000013 01010600 0015ff01 06000016 ................ 75160: 75010600 00167501 03000012 e2040009 u.....u......... 75170: 5f5f6164 665f6e62 75665f71 68656164 __adf_nbuf_qhead 75180: 5f740000 00245509 5f5f6164 665f6e62 _t...$U.__adf_nb 75190: 75665f71 75657565 5f740000 0024d503 uf_queue_t...$.. 751a0: 000024ed 04000600 00249401 06000024 ..$......$.....$ 751b0: 94011404 0000260d 0e415f53 54415455 ......&..A_STATU 751c0: 535f4f4b 00000e41 5f535441 5455535f S_OK...A_STATUS_ 751d0: 4641494c 45440001 0e415f53 54415455 FAILED...A_STATU 751e0: 535f454e 4f454e54 00020e41 5f535441 S_ENOENT...A_STA 751f0: 5455535f 454e4f4d 454d0003 0e415f53 TUS_ENOMEM...A_S 75200: 54415455 535f4549 4e56414c 00040e41 TATUS_EINVAL...A 75210: 5f535441 5455535f 45494e50 524f4752 _STATUS_EINPROGR 75220: 45535300 050e415f 53544154 55535f45 ESS...A_STATUS_E 75230: 4e4f5453 55505000 060e415f 53544154 NOTSUPP...A_STAT 75240: 55535f45 42555359 00070e41 5f535441 US_EBUSY...A_STA 75250: 5455535f 45324249 4700080e 415f5354 TUS_E2BIG...A_ST 75260: 41545553 5f454144 44524e4f 54415641 ATUS_EADDRNOTAVA 75270: 494c0009 0e415f53 54415455 535f454e IL...A_STATUS_EN 75280: 58494f00 0a0e415f 53544154 55535f45 XIO...A_STATUS_E 75290: 4641554c 54000b0e 415f5354 41545553 FAULT...A_STATUS 752a0: 5f45494f 000c0009 615f7374 61747573 _EIO....a_status 752b0: 5f740000 00251806 0000260d 01060000 _t...%....&..... 752c0: 00fa0102 01096164 665f6e62 75665f74 ......adf_nbuf_t 752d0: 00000024 94140400 0026720e 4144465f ...$.....&r.ADF_ 752e0: 4f535f44 4d415f54 4f5f4445 56494345 OS_DMA_TO_DEVICE 752f0: 00000e41 44465f4f 535f444d 415f4652 ...ADF_OS_DMA_FR 75300: 4f4d5f44 45564943 45000100 09616466 OM_DEVICE....adf 75310: 5f6f735f 646d615f 6469725f 74000000 _os_dma_dir_t... 75320: 263b0600 00260d01 02010961 64665f6f &;...&.....adf_o 75330: 735f646d 616d6170 5f696e66 6f5f7400 s_dmamap_info_t. 75340: 0000161c 03000026 90040002 01020106 .......&........ 75350: 0000262b 01060000 24940102 01020106 ..&+....$....... 75360: 0000262b 01060000 24940106 0000262b ..&+....$.....&+ 75370: 01060000 24940106 0000262b 01020102 ....$.....&+.... 75380: 01060000 15ff0106 00001675 01020102 ...........u.... 75390: 01060000 1b2c0106 00001a79 01060000 .....,.....y.... 753a0: 1a790109 6164665f 6f735f73 676c6973 .y..adf_os_sglis 753b0: 745f7400 000016b4 03000027 09040002 t_t........'.... 753c0: 01020102 01060000 16750109 6164665f .........u..adf_ 753d0: 6e627566 5f717565 75655f74 00000024 nbuf_queue_t...$ 753e0: ed030000 27310400 02010300 0024d504 ....'1.......$.. 753f0: 00020102 01020106 0000262b 01060000 ..........&+.... 75400: 24940106 000015ff 01060000 15ff0106 $............... 75410: 00001a79 01060000 1a790106 00001f95 ...y.....y...... 75420: 01060000 15ff0109 6164665f 6e627566 ........adf_nbuf 75430: 5f72785f 636b7375 6d5f7400 00002403 _rx_cksum_t...$. 75440: 03000027 8d040002 01020109 6164665f ...'........adf_ 75450: 6e627566 5f74736f 5f740000 00242503 nbuf_tso_t...$%. 75460: 000027b1 04000201 02010961 64665f6e ..'........adf_n 75470: 65745f68 616e646c 655f7400 000003ef et_handle_t..... 75480: 09616466 5f6e6574 5f766c61 6e686472 .adf_net_vlanhdr 75490: 5f740000 001da103 000027e6 04000600 _t........'..... 754a0: 00260d01 06000026 0d010201 0201075f .&.....&......._ 754b0: 4849465f 434f4e46 49470004 00002835 HIF_CONFIG....(5 754c0: 0864756d 6d790000 0000fa02 23000002 .dummy......#... 754d0: 01030000 28350400 02010300 00283e04 ....(5.......(>. 754e0: 00075f48 49465f43 414c4c42 41434b00 .._HIF_CALLBACK. 754f0: 0c000028 93087365 6e645f62 75665f64 ...(..send_buf_d 75500: 6f6e6500 00002837 02230008 72656376 one...(7.#..recv 75510: 5f627566 00000028 40022304 08636f6e _buf...(@.#..con 75520: 74657874 00000003 ef022308 00096869 text......#...hi 75530: 665f6861 6e646c65 5f740000 0003ef09 f_handle_t...... 75540: 4849465f 434f4e46 49470000 00281403 HIF_CONFIG...(.. 75550: 000028a5 04000600 00289301 03000028 ..(......(.....( 75560: bc040002 01030000 28c90400 09484946 ........(....HIF 75570: 5f43414c 4c424143 4b000000 28470300 _CALLBACK...(G.. 75580: 0028d204 00020103 000028eb 04000600 .(........(..... 75590: 0000fa01 03000028 f4040002 01030000 .......(........ 755a0: 29010400 06000000 fa010300 00290a04 )............).. 755b0: 00020103 00002917 04000600 0000fa01 ......)......... 755c0: 03000029 20040002 01030000 292d0400 ...) .......)-.. 755d0: 07686966 5f617069 00380000 2a86085f .hif_api.8..*.._ 755e0: 696e6974 00000028 c2022300 085f7368 init...(..#.._sh 755f0: 7574646f 776e0000 0028cb02 2304085f utdown...(..#.._ 75600: 72656769 73746572 5f63616c 6c626163 register_callbac 75610: 6b000000 28ed0223 08085f67 65745f74 k...(..#.._get_t 75620: 6f74616c 5f637265 6469745f 636f756e otal_credit_coun 75630: 74000000 28fa0223 0c085f73 74617274 t...(..#.._start 75640: 00000028 cb022310 085f636f 6e666967 ...(..#.._config 75650: 5f706970 65000000 29030223 14085f73 _pipe...)..#.._s 75660: 656e645f 62756666 65720000 00291002 end_buffer...).. 75670: 2318085f 72657475 726e5f72 6563765f #.._return_recv_ 75680: 62756600 00002919 02231c08 5f69735f buf...)..#.._is_ 75690: 70697065 5f737570 706f7274 65640000 pipe_supported.. 756a0: 00292602 2320085f 6765745f 6d61785f .)&.# ._get_max_ 756b0: 6d73675f 6c656e00 00002926 02232408 msg_len...)&.#$. 756c0: 5f676574 5f726573 65727665 645f6865 _get_reserved_he 756d0: 6164726f 6f6d0000 0028fa02 2328085f adroom...(..#(._ 756e0: 6973725f 68616e64 6c657200 000028cb isr_handler...(. 756f0: 02232c08 5f676574 5f646566 61756c74 .#,._get_default 75700: 5f706970 65000000 292f0223 30087052 _pipe...)/.#0.pR 75710: 65736572 76656400 000003ef 02233400 eserved......#4. 75720: 0d646d61 5f656e67 696e6500 0400002b .dma_engine....+ 75730: 0f0e444d 415f454e 47494e45 5f525830 ..DMA_ENGINE_RX0 75740: 00000e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 75750: 3100010e 444d415f 454e4749 4e455f52 1...DMA_ENGINE_R 75760: 58320002 0e444d41 5f454e47 494e455f X2...DMA_ENGINE_ 75770: 52583300 030e444d 415f454e 47494e45 RX3...DMA_ENGINE 75780: 5f545830 00040e44 4d415f45 4e47494e _TX0...DMA_ENGIN 75790: 455f5458 3100050e 444d415f 454e4749 E_TX1...DMA_ENGI 757a0: 4e455f4d 41580006 0009646d 615f656e NE_MAX....dma_en 757b0: 67696e65 5f740000 002a860d 646d615f gine_t...*..dma_ 757c0: 69667479 70650004 00002b5c 0e444d41 iftype....+\.DMA 757d0: 5f49465f 474d4143 00000e44 4d415f49 _IF_GMAC...DMA_I 757e0: 465f5043 4900010e 444d415f 49465f50 F_PCI...DMA_IF_P 757f0: 43494500 02000964 6d615f69 66747970 CIE....dma_iftyp 75800: 655f7400 00002b21 06000012 d4010300 e_t...+!........ 75810: 002b6e04 00020103 00002b7b 04000201 .+n.......+{.... 75820: 0300002b 84040006 00000906 01030000 ...+............ 75830: 2b8d0400 06000012 d4010300 002b9a04 +............+.. 75840: 00060000 12d40103 00002ba7 04000600 ..........+..... 75850: 00141f01 0300002b b4040002 01030000 .......+........ 75860: 2bc10400 07646d61 5f6c6962 5f617069 +....dma_lib_api 75870: 00340000 2cc80874 785f696e 69740000 .4..,..tx_init.. 75880: 002b7402 23000874 785f7374 61727400 .+t.#..tx_start. 75890: 00002b7d 02230408 72785f69 6e697400 ..+}.#..rx_init. 758a0: 00002b74 02230808 72785f63 6f6e6669 ..+t.#..rx_confi 758b0: 67000000 2b860223 0c087278 5f737461 g...+..#..rx_sta 758c0: 72740000 002b7d02 23100869 6e74725f rt...+}.#..intr_ 758d0: 73746174 75730000 002b9302 23140868 status...+..#..h 758e0: 6172645f 786d6974 0000002b a0022318 ard_xmit...+..#. 758f0: 08666c75 73685f78 6d697400 00002b7d .flush_xmit...+} 75900: 02231c08 786d6974 5f646f6e 65000000 .#..xmit_done... 75910: 2bad0223 20087265 61705f78 6d697474 +..# .reap_xmitt 75920: 65640000 002bba02 23240872 6561705f ed...+..#$.reap_ 75930: 72656376 0000002b ba022328 08726574 recv...+..#(.ret 75940: 75726e5f 72656376 0000002b c302232c urn_recv...+..#, 75950: 08726563 765f706b 74000000 2bad0223 .recv_pkt...+..# 75960: 3000075f 5f706369 5f736f66 7463000c 0..__pci_softc.. 75970: 00002ce6 08737700 000028d2 02230000 ..,..sw...(..#.. 75980: 095f5f70 63695f73 6f667463 5f740000 .__pci_softc_t.. 75990: 002cc803 00002ce6 04000201 0300002d .,....,........- 759a0: 00040006 000012c0 01030000 2d090400 ............-... 759b0: 0d686966 5f706369 5f706970 655f7478 .hif_pci_pipe_tx 759c0: 00040000 2d690e48 49465f50 43495f50 ....-i.HIF_PCI_P 759d0: 4950455f 54583000 000e4849 465f5043 IPE_TX0...HIF_PC 759e0: 495f5049 50455f54 58310001 0e484946 I_PIPE_TX1...HIF 759f0: 5f504349 5f504950 455f5458 5f4d4158 _PCI_PIPE_TX_MAX 75a00: 00020009 6869665f 7063695f 70697065 ....hif_pci_pipe 75a10: 5f74785f 74000000 2d160600 002b0f01 _tx_t...-....+.. 75a20: 0300002d 8004000d 6869665f 7063695f ...-....hif_pci_ 75a30: 70697065 5f727800 0400002e 060e4849 pipe_rx.......HI 75a40: 465f5043 495f5049 50455f52 58300000 F_PCI_PIPE_RX0.. 75a50: 0e484946 5f504349 5f504950 455f5258 .HIF_PCI_PIPE_RX 75a60: 3100010e 4849465f 5043495f 50495045 1...HIF_PCI_PIPE 75a70: 5f525832 00020e48 49465f50 43495f50 _RX2...HIF_PCI_P 75a80: 4950455f 52583300 030e4849 465f5043 IPE_RX3...HIF_PC 75a90: 495f5049 50455f52 585f4d41 58000400 I_PIPE_RX_MAX... 75aa0: 09686966 5f706369 5f706970 655f7278 .hif_pci_pipe_rx 75ab0: 5f740000 002d8d06 00002b0f 01030000 _t...-....+..... 75ac0: 2e1d0400 07686966 5f706369 5f617069 .....hif_pci_api 75ad0: 00240000 2efb0870 63695f62 6f6f745f .$.....pci_boot_ 75ae0: 696e6974 00000000 f3022300 08706369 init......#..pci 75af0: 5f696e69 74000000 28c20223 04087063 _init...(..#..pc 75b00: 695f7265 73657400 000000f3 02230808 i_reset......#.. 75b10: 7063695f 656e6162 6c650000 0000f302 pci_enable...... 75b20: 230c0870 63695f72 6561705f 786d6974 #..pci_reap_xmit 75b30: 74656400 00002d02 02231008 7063695f ted...-..#..pci_ 75b40: 72656170 5f726563 76000000 2d020223 reap_recv...-..# 75b50: 14087063 695f6765 745f7069 70650000 ..pci_get_pipe.. 75b60: 002d0f02 23180870 63695f67 65745f74 .-..#..pci_get_t 75b70: 785f656e 67000000 2d860223 1c087063 x_eng...-..#..pc 75b80: 695f6765 745f7278 5f656e67 0000002e i_get_rx_eng.... 75b90: 23022320 0007676d 61635f61 70690004 #.# ..gmac_api.. 75ba0: 00002f22 08676d61 635f626f 6f745f69 ../".gmac_boot_i 75bb0: 6e697400 000000f3 02230000 0f000003 nit......#...... 75bc0: 07060000 2f2f1005 00075f5f 65746868 ....//....__ethh 75bd0: 6472000e 00002f65 08647374 0000002f dr..../e.dst.../ 75be0: 22022300 08737263 0000002f 22022306 ".#..src.../".#. 75bf0: 08657479 70650000 0012d402 230c0007 .etype......#... 75c00: 5f5f6174 68686472 00040000 2fb31572 __athhdr..../..r 75c10: 65730000 0012c001 00020223 00157072 es.........#..pr 75c20: 6f746f00 000012c0 01020602 23000872 oto.........#..r 75c30: 65735f6c 6f000000 12c00223 01087265 es_lo......#..re 75c40: 735f6869 00000012 d4022302 00075f5f s_hi......#...__ 75c50: 676d6163 5f686472 00140000 2fef0865 gmac_hdr..../..e 75c60: 74680000 002f2f02 23000861 74680000 th...//.#..ath.. 75c70: 002f6502 230e0861 6c69676e 5f706164 ./e.#..align_pad 75c80: 00000012 d4022312 00095f5f 676d6163 ......#...__gmac 75c90: 5f686472 5f740000 002fb307 5f5f676d _hdr_t.../..__gm 75ca0: 61635f73 6f667463 00240000 30390868 ac_softc.$..09.h 75cb0: 64720000 002fef02 23000867 72616e00 dr.../..#..gran. 75cc0: 000012d4 02231408 73770000 0028d202 .....#..sw...(.. 75cd0: 2318000c 5f415f6f 735f6c69 6e6b6167 #..._A_os_linkag 75ce0: 655f6368 65636b00 00010300 00303904 e_check......09. 75cf0: 00060000 00fa0103 00003057 04000300 ..........0W.... 75d00: 0003f204 00175f41 5f636d6e 6f735f69 ......_A_cmnos_i 75d10: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 75d20: 0001b800 0031a708 68616c5f 6c696e6b .....1..hal_link 75d30: 6167655f 63686563 6b000000 305d0223 age_check...0].# 75d40: 00087374 6172745f 62737300 00003064 ..start_bss...0d 75d50: 02230408 6170705f 73746172 74000000 .#..app_start... 75d60: 00f30223 08086d65 6d000000 04320223 ...#..mem....2.# 75d70: 0c086d69 73630000 00055102 23200870 ..misc....Q.# .p 75d80: 72696e74 66000000 01270223 44087561 rintf....'.#D.ua 75d90: 72740000 0001f002 234c0867 6d616300 rt......#L.gmac. 75da0: 00002efb 02236c08 75736200 00000f80 .....#l.usb..... 75db0: 02237008 636c6f63 6b000000 0ac90323 .#p.clock......# 75dc0: e0010874 696d6572 00000007 69032384 ...timer....i.#. 75dd0: 0208696e 74720000 000c1903 23980208 ..intr......#... 75de0: 616c6c6f 6372616d 00000009 210323c4 allocram....!.#. 75df0: 0208726f 6d700000 00081303 23d00208 ..romp......#... 75e00: 7764745f 74696d65 72000000 0df60323 wdt_timer......# 75e10: e0020865 65700000 000f2403 23fc0208 ...eep....$.#... 75e20: 73747269 6e670000 00067503 238c0308 string....u.#... 75e30: 7461736b 6c657400 00000a1e 0323a403 tasklet......#.. 75e40: 00075f55 53425f46 49464f5f 434f4e46 .._USB_FIFO_CONF 75e50: 49470010 0000321a 08676574 5f636f6d IG....2..get_com 75e60: 6d616e64 5f627566 00000014 2c022300 mand_buf....,.#. 75e70: 08726563 765f636f 6d6d616e 64000000 .recv_command... 75e80: 14420223 04086765 745f6576 656e745f .B.#..get_event_ 75e90: 62756600 0000142c 02230808 73656e64 buf....,.#..send 75ea0: 5f657665 6e745f64 6f6e6500 00001442 _event_done....B 75eb0: 02230c00 09555342 5f464946 4f5f434f .#...USB_FIFO_CO 75ec0: 4e464947 00000031 a7030000 321a0400 NFIG...1....2... 75ed0: 02010300 00323604 00077573 62666966 .....26...usbfif 75ee0: 6f5f6170 69000c00 00328c08 5f696e69 o_api....2.._ini 75ef0: 74000000 32380223 00085f65 6e61626c t...28.#.._enabl 75f00: 655f6576 656e745f 69737200 000000f3 e_event_isr..... 75f10: 02230408 70526573 65727665 64000000 .#..pReserved... 75f20: 03ef0223 08000f00 00166602 00003299 ...#......f...2. 75f30: 10010007 5f485443 5f465241 4d455f48 ...._HTC_FRAME_H 75f40: 44520008 0000330b 08456e64 706f696e DR....3..Endpoin 75f50: 74494400 00001666 02230008 466c6167 tID....f.#..Flag 75f60: 73000000 16660223 01085061 796c6f61 s....f.#..Payloa 75f70: 644c656e 0000001c 8e022302 08436f6e dLen......#..Con 75f80: 74726f6c 42797465 73000000 328c0223 trolBytes...2..# 75f90: 0408486f 73745365 714e756d 0000001c ..HostSeqNum.... 75fa0: 8e022306 00120200 00332408 4d657373 ..#......3$.Mess 75fb0: 61676549 44000000 1c8e0223 00001208 ageID......#.... 75fc0: 00003387 084d6573 73616765 49440000 ..3..MessageID.. 75fd0: 001c8e02 23000843 72656469 74436f75 ....#..CreditCou 75fe0: 6e740000 001c8e02 23020843 72656469 nt......#..Credi 75ff0: 7453697a 65000000 1c8e0223 04084d61 tSize......#..Ma 76000: 78456e64 706f696e 74730000 00166602 xEndpoints....f. 76010: 2306085f 50616431 00000016 66022307 #.._Pad1....f.#. 76020: 00120a00 00341e08 4d657373 61676549 .....4..MessageI 76030: 44000000 1c8e0223 00085365 72766963 D......#..Servic 76040: 65494400 00001c8e 02230208 436f6e6e eID......#..Conn 76050: 65637469 6f6e466c 61677300 00001c8e ectionFlags..... 76060: 02230408 446f776e 4c696e6b 50697065 .#..DownLinkPipe 76070: 49440000 00166602 23060855 704c696e ID....f.#..UpLin 76080: 6b506970 65494400 00001666 02230708 kPipeID....f.#.. 76090: 53657276 6963654d 6574614c 656e6774 ServiceMetaLengt 760a0: 68000000 16660223 08085f50 61643100 h....f.#.._Pad1. 760b0: 00001666 02230900 120a0000 34a6084d ...f.#......4..M 760c0: 65737361 67654944 0000001c 8e022300 essageID......#. 760d0: 08536572 76696365 49440000 001c8e02 .ServiceID...... 760e0: 23020853 74617475 73000000 16660223 #..Status....f.# 760f0: 0408456e 64706f69 6e744944 00000016 ..EndpointID.... 76100: 66022305 084d6178 4d736753 697a6500 f.#..MaxMsgSize. 76110: 00001c8e 02230608 53657276 6963654d .....#..ServiceM 76120: 6574614c 656e6774 68000000 16660223 etaLength....f.# 76130: 08085f50 61643100 00001666 02230900 .._Pad1....f.#.. 76140: 12020000 34bf084d 65737361 67654944 ....4..MessageID 76150: 0000001c 8e022300 00120400 0034fb08 ......#......4.. 76160: 4d657373 61676549 44000000 1c8e0223 MessageID......# 76170: 00085069 70654944 00000016 66022302 ..PipeID....f.#. 76180: 08437265 64697443 6f756e74 00000016 .CreditCount.... 76190: 66022303 00120400 00353208 4d657373 f.#......52.Mess 761a0: 61676549 44000000 1c8e0223 00085069 ageID......#..Pi 761b0: 70654944 00000016 66022302 08537461 peID....f.#..Sta 761c0: 74757300 00001666 02230300 12020000 tus....f.#...... 761d0: 35590852 65636f72 64494400 00001666 5Y.RecordID....f 761e0: 02230008 4c656e67 74680000 00166602 .#..Length....f. 761f0: 23010012 02000035 8308456e 64706f69 #......5..Endpoi 76200: 6e744944 00000016 66022300 08437265 ntID....f.#..Cre 76210: 64697473 00000016 66022301 00120400 dits....f.#..... 76220: 0035c408 456e6470 6f696e74 49440000 .5..EndpointID.. 76230: 00166602 23000843 72656469 74730000 ..f.#..Credits.. 76240: 00166602 23010854 67744372 65646974 ..f.#..TgtCredit 76250: 5365714e 6f000000 1c8e0223 02000f00 SeqNo......#.... 76260: 00166604 000035d1 10030012 06000036 ..f...5........6 76270: 0d085072 6556616c 69640000 00166602 ..PreValid....f. 76280: 2300084c 6f6f6b41 68656164 00000035 #..LookAhead...5 76290: c4022301 08506f73 7456616c 69640000 ..#..PostValid.. 762a0: 00166602 23050009 706f6f6c 5f68616e ..f.#...pool_han 762b0: 646c655f 74000000 03ef0600 00360d01 dle_t........6.. 762c0: 03000036 20040002 01030000 362d0400 ...6 .......6-.. 762d0: 14040000 36ab0e50 4f4f4c5f 49445f48 ....6..POOL_ID_H 762e0: 54435f43 4f4e5452 4f4c0000 0e504f4f TC_CONTROL...POO 762f0: 4c5f4944 5f574d49 5f535643 5f434d44 L_ID_WMI_SVC_CMD 76300: 5f524550 4c590001 0e504f4f 4c5f4944 _REPLY...POOL_ID 76310: 5f574d49 5f535643 5f455645 4e540002 _WMI_SVC_EVENT.. 76320: 0e504f4f 4c5f4944 5f574c41 4e5f5258 .POOL_ID_WLAN_RX 76330: 5f425546 00030e50 4f4f4c5f 49445f4d _BUF...POOL_ID_M 76340: 4158000a 00094255 465f504f 4f4c5f49 AX....BUF_POOL_I 76350: 44000000 36360201 03000036 bc040006 D...66.....6.... 76360: 0000262b 01030000 36c50400 06000026 ..&+....6......& 76370: 2b010300 0036d204 00020103 000036df +....6........6. 76380: 04000762 75665f70 6f6f6c5f 61706900 ...buf_pool_api. 76390: 1c000037 81085f69 6e697400 00003626 ...7.._init...6& 763a0: 02230008 5f736875 74646f77 6e000000 .#.._shutdown... 763b0: 362f0223 04085f63 72656174 655f706f 6/.#.._create_po 763c0: 6f6c0000 0036be02 2308085f 616c6c6f ol...6..#.._allo 763d0: 635f6275 66000000 36cb0223 0c085f61 c_buf...6..#.._a 763e0: 6c6c6f63 5f627566 5f616c69 676e0000 lloc_buf_align.. 763f0: 0036d802 2310085f 66726565 5f627566 .6..#.._free_buf 76400: 00000036 e1022314 08705265 73657276 ...6..#..pReserv 76410: 65640000 0003ef02 23180007 5f485443 ed......#..._HTC 76420: 5f534552 56494345 001c0000 38600870 _SERVICE....8`.p 76430: 4e657874 00000038 60022300 0850726f Next...8`.#..Pro 76440: 63657373 52656376 4d736700 00003915 cessRecvMsg...9. 76450: 02230408 50726f63 65737353 656e6442 .#..ProcessSendB 76460: 75666665 72436f6d 706c6574 65000000 ufferComplete... 76470: 391e0223 08085072 6f636573 73436f6e 9..#..ProcessCon 76480: 6e656374 00000039 3202230c 08536572 nect...92.#..Ser 76490: 76696365 49440000 0012d402 23100853 viceID......#..S 764a0: 65727669 6365466c 61677300 000012d4 erviceFlags..... 764b0: 02231208 4d617853 76634d73 6753697a .#..MaxSvcMsgSiz 764c0: 65000000 12d40223 14085472 61696c65 e......#..Traile 764d0: 72537063 43686563 6b4c696d 69740000 rSpcCheckLimit.. 764e0: 0012d402 23160853 65727669 63654374 ....#..ServiceCt 764f0: 78000000 03ef0223 18000300 00378104 x......#.....7.. 76500: 00140400 0038fe19 454e4450 4f494e54 .....8..ENDPOINT 76510: 5f554e55 53454400 ffffffff 0e454e44 _UNUSED......END 76520: 504f494e 54300000 0e454e44 504f494e POINT0...ENDPOIN 76530: 54310001 0e454e44 504f494e 54320002 T1...ENDPOINT2.. 76540: 0e454e44 504f494e 54330003 0e454e44 .ENDPOINT3...END 76550: 504f494e 54340004 0e454e44 504f494e POINT4...ENDPOIN 76560: 54350005 0e454e44 504f494e 54360006 T5...ENDPOINT6.. 76570: 0e454e44 504f494e 54370007 0e454e44 .ENDPOINT7...END 76580: 504f494e 54380008 0e454e44 504f494e POINT8...ENDPOIN 76590: 545f4d41 58001600 09485443 5f454e44 T_MAX....HTC_END 765a0: 504f494e 545f4944 00000038 67020103 POINT_ID...8g... 765b0: 00003913 04000201 03000039 1c040003 ..9........9.... 765c0: 000000fa 04000600 0012c001 03000039 ...............9 765d0: 2c040003 00003781 0400075f 4854435f ,.....7...._HTC_ 765e0: 434f4e46 49470014 000039b1 08437265 CONFIG....9..Cre 765f0: 64697453 697a6500 000000fa 02230008 ditSize......#.. 76600: 43726564 69744e75 6d626572 00000000 CreditNumber.... 76610: fa022304 084f5348 616e646c 65000000 ..#..OSHandle... 76620: 1a2a0223 08084849 4648616e 646c6500 .*.#..HIFHandle. 76630: 00002893 02230c08 506f6f6c 48616e64 ..(..#..PoolHand 76640: 6c650000 00360d02 23100007 5f485443 le...6..#..._HTC 76650: 5f425546 5f434f4e 54455854 00020000 _BUF_CONTEXT.... 76660: 39ed0865 6e645f70 6f696e74 00000012 9..end_point.... 76670: c0022300 08687463 5f666c61 67730000 ..#..htc_flags.. 76680: 0012c002 23010009 6874635f 68616e64 ....#...htc_hand 76690: 6c655f74 00000003 ef094854 435f5345 le_t......HTC_SE 766a0: 5455505f 434f4d50 4c455445 5f434200 TUP_COMPLETE_CB. 766b0: 000000f3 09485443 5f434f4e 46494700 .....HTC_CONFIG. 766c0: 00003940 0300003a 1a040006 000039ed ..9@...:......9. 766d0: 01030000 3a310400 02010300 003a3e04 ....:1.......:>. 766e0: 00094854 435f5345 52564943 45000000 ..HTC_SERVICE... 766f0: 37810300 003a4704 00020103 00003a5f 7....:G.......:_ 76700: 04000201 0300003a 68040002 01030000 .......:h....... 76710: 3a710400 06000000 fa010300 003a7a04 :q...........:z. 76720: 00076874 635f6170 69730034 00003bf7 ..htc_apis.4..;. 76730: 085f4854 435f496e 69740000 003a3702 ._HTC_Init...:7. 76740: 2300085f 4854435f 53687574 646f776e #.._HTC_Shutdown 76750: 0000003a 40022304 085f4854 435f5265 ...:@.#.._HTC_Re 76760: 67697374 65725365 72766963 65000000 gisterService... 76770: 3a610223 08085f48 54435f52 65616479 :a.#.._HTC_Ready 76780: 0000003a 4002230c 085f4854 435f5265 ...:@.#.._HTC_Re 76790: 7475726e 42756666 65727300 00003a6a turnBuffers...:j 767a0: 02231008 5f485443 5f526574 75726e42 .#.._HTC_ReturnB 767b0: 75666665 72734c69 73740000 003a7302 uffersList...:s. 767c0: 2314085f 4854435f 53656e64 4d736700 #.._HTC_SendMsg. 767d0: 00003a6a 02231808 5f485443 5f476574 ..:j.#.._HTC_Get 767e0: 52657365 72766564 48656164 726f6f6d ReservedHeadroom 767f0: 0000003a 8002231c 085f4854 435f4d73 ...:..#.._HTC_Ms 76800: 67526563 7648616e 646c6572 00000028 gRecvHandler...( 76810: 40022320 085f4854 435f5365 6e64446f @.# ._HTC_SendDo 76820: 6e654861 6e646c65 72000000 28370223 neHandler...(7.# 76830: 24085f48 54435f43 6f6e7472 6f6c5376 $._HTC_ControlSv 76840: 6350726f 63657373 4d736700 00003915 cProcessMsg...9. 76850: 02232808 5f485443 5f436f6e 74726f6c .#(._HTC_Control 76860: 53766350 726f6365 73735365 6e64436f SvcProcessSendCo 76870: 6d706c65 74650000 00391e02 232c0870 mplete...9..#,.p 76880: 52657365 72766564 00000003 ef022330 Reserved......#0 76890: 0007686f 73745f61 70705f61 7265615f ..host_app_area_ 768a0: 73000400 003c2708 776d695f 70726f74 s....<'.wmi_prot 768b0: 6f636f6c 5f766572 00000015 ff022300 ocol_ver......#. 768c0: 00120e00 003c5e08 6473744d 61630000 .....<^.dstMac.. 768d0: 001c4b02 23000873 72634d61 63000000 ..K.#..srcMac... 768e0: 1c4b0223 06087479 70654f72 4c656e00 .K.#..typeOrLen. 768f0: 00001c8e 02230c00 0f000016 66030000 .....#......f... 76900: 3c6b1002 00120800 003cbb08 64736170 76aa0: 5a084874 6348616e 646c6500 000039ed Z.HtcHandle...9. 76ab0: 02230008 506f6f6c 48616e64 6c650000 .#..PoolHandle.. 76ac0: 00360d02 2304084d 6178436d 64526570 .6..#..MaxCmdRep 76ad0: 6c794576 74730000 0000fa02 2308084d lyEvts......#..M 76ae0: 61784576 656e7445 76747300 000000fa axEventEvts..... 76af0: 02230c00 02010300 003e5a04 0009574d .#.......>Z...WM 76b00: 495f434d 445f4841 4e444c45 52000000 I_CMD_HANDLER... 76b10: 3e5c075f 574d495f 44495350 41544348 >\._WMI_DISPATCH 76b20: 5f454e54 52590008 00003ec3 0870436d _ENTRY....>..pCm 76b30: 6448616e 646c6572 0000003e 63022300 dHandler...>c.#. 76b40: 08436d64 49440000 0012d402 23040846 .CmdID......#..F 76b50: 6c616773 00000012 d4022306 00075f57 lags......#..._W 76b60: 4d495f44 49535041 5443485f 5441424c MI_DISPATCH_TABL 76b70: 45001000 003f2408 704e6578 74000000 E....?$.pNext... 76b80: 3f240223 00087043 6f6e7465 78740000 ?$.#..pContext.. 76b90: 0003ef02 2304084e 756d6265 724f6645 ....#..NumberOfE 76ba0: 6e747269 65730000 0000fa02 23080870 ntries......#..p 76bb0: 5461626c 65000000 3f430223 0c000300 Table...?C.#.... 76bc0: 003ec304 0009574d 495f4449 53504154 .>....WMI_DISPAT 76bd0: 43485f45 4e545259 0000003e 78030000 CH_ENTRY...>x... 76be0: 3f2b0400 0300003e c3040009 4854435f ?+.....>....HTC_ 76bf0: 4255465f 434f4e54 45585400 000039b1 BUF_CONTEXT...9. 76c00: 0d574d49 5f455654 5f434c41 53530004 .WMI_EVT_CLASS.. 76c10: 00003fdb 19574d49 5f455654 5f434c41 ..?..WMI_EVT_CLA 76c20: 53535f4e 4f4e4500 ffffffff 0e574d49 SS_NONE......WMI 76c30: 5f455654 5f434c41 53535f43 4d445f45 _EVT_CLASS_CMD_E 76c40: 56454e54 00000e57 4d495f45 56545f43 VENT...WMI_EVT_C 76c50: 4c415353 5f434d44 5f524550 4c590001 LASS_CMD_REPLY.. 76c60: 0e574d49 5f455654 5f434c41 53535f4d .WMI_EVT_CLASS_M 76c70: 41580002 0009574d 495f4556 545f434c AX....WMI_EVT_CL 76c80: 41535300 00003f66 075f574d 495f4255 ASS...?f._WMI_BU 76c90: 465f434f 4e544558 54000c00 00403908 F_CONTEXT....@9. 76ca0: 48746342 75664374 78000000 3f510223 HtcBufCtx...?Q.# 76cb0: 00084576 656e7443 6c617373 0000003f ..EventClass...? 76cc0: db022304 08466c61 67730000 0012d402 ..#..Flags...... 76cd0: 23080009 776d695f 68616e64 6c655f74 #...wmi_handle_t 76ce0: 00000003 ef09574d 495f5356 435f434f ......WMI_SVC_CO 76cf0: 4e464947 0000003d f1030000 404b0400 NFIG...=....@K.. 76d00: 06000040 39010300 00406604 0009574d ...@9....@f...WM 76d10: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 76d20: 0000003e c3030000 40730400 02010300 ...>....@s...... 76d30: 00409204 00060000 262b0103 0000409b .@......&+....@. 76d40: 04000201 03000040 a8040006 000000fa .......@........ 76d50: 01030000 40b10400 02010300 0040be04 ....@........@.. 76d60: 00060000 12c00103 000040c7 0400075f ..........@...._ 76d70: 776d695f 7376635f 61706973 002c0000 wmi_svc_apis.,.. 76d80: 420f085f 574d495f 496e6974 00000040 B.._WMI_Init...@ 76d90: 6c022300 085f574d 495f5265 67697374 l.#.._WMI_Regist 76da0: 65724469 73706174 63685461 626c6500 erDispatchTable. 76db0: 00004094 02230408 5f574d49 5f416c6c ..@..#.._WMI_All 76dc0: 6f634576 656e7400 000040a1 02230808 ocEvent...@..#.. 76dd0: 5f574d49 5f53656e 64457665 6e740000 _WMI_SendEvent.. 76de0: 0040aa02 230c085f 574d495f 47657450 .@..#.._WMI_GetP 76df0: 656e6469 6e674576 656e7473 436f756e endingEventsCoun 76e00: 74000000 40b70223 10085f57 4d495f53 t...@..#.._WMI_S 76e10: 656e6443 6f6d706c 65746548 616e646c endCompleteHandl 76e20: 65720000 00391e02 2314085f 574d495f er...9..#.._WMI_ 76e30: 47657443 6f6e7472 6f6c4570 00000040 GetControlEp...@ 76e40: b7022318 085f574d 495f5368 7574646f ..#.._WMI_Shutdo 76e50: 776e0000 0040c002 231c085f 574d495f wn...@..#.._WMI_ 76e60: 52656376 4d657373 61676548 616e646c RecvMessageHandl 76e70: 65720000 00391502 2320085f 574d495f er...9..# ._WMI_ 76e80: 53657276 69636543 6f6e6e65 63740000 ServiceConnect.. 76e90: 0040cd02 23240870 52657365 72766564 .@..#$.pReserved 76ea0: 00000003 ef022328 00077a73 446d6144 ......#(..zsDmaD 76eb0: 65736300 14000042 91086374 726c0000 esc....B..ctrl.. 76ec0: 00015e02 23000873 74617475 73000000 ..^.#..status... 76ed0: 015e0223 0208746f 74616c4c 656e0000 .^.#..totalLen.. 76ee0: 00015e02 23040864 61746153 697a6500 ..^.#..dataSize. 76ef0: 0000015e 02230608 6c617374 41646472 ...^.#..lastAddr 76f00: 00000042 91022308 08646174 61416464 ...B..#..dataAdd 76f10: 72000000 01820223 0c086e65 78744164 r......#..nextAd 76f20: 64720000 00429102 23100003 0000420f dr...B..#.....B. 76f30: 04000300 00420f04 00077a73 446d6151 .....B....zsDmaQ 76f40: 75657565 00080000 42d10868 65616400 ueue....B..head. 76f50: 00004298 02230008 7465726d 696e6174 ..B..#..terminat 76f60: 6f720000 00429802 23040007 7a735478 or...B..#...zsTx 76f70: 446d6151 75657565 00100000 43350868 DmaQueue....C5.h 76f80: 65616400 00004298 02230008 7465726d ead...B..#..term 76f90: 696e6174 6f720000 00429802 23040878 inator...B..#..x 76fa0: 6d697465 645f6275 665f6865 61640000 mited_buf_head.. 76fb0: 00141f02 23080878 6d697465 645f6275 ....#..xmited_bu 76fc0: 665f7461 696c0000 00141f02 230c0002 f_tail......#... 76fd0: 01030000 43350400 03000042 9f040002 ....C5.....B.... 76fe0: 01030000 43450400 03000042 d1040002 ....CE.....B.... 76ff0: 01030000 43550400 02010300 00435e04 ....CU.......C^. 77000: 00020103 00004367 04000600 00141f01 ......Cg........ 77010: 03000043 70040002 01030000 437d0400 ...Cp.......C}.. 77020: 06000014 1f010300 00438604 00020103 .........C...... 77030: 00004393 04000600 0000fa01 03000043 ..C............C 77040: 9c040006 00004298 01030000 43a90400 ......B.....C... 77050: 02010300 0043b604 0007646d 615f656e .....C....dma_en 77060: 67696e65 5f617069 00400000 452c085f gine_api.@..E,._ 77070: 696e6974 00000043 37022300 085f696e init...C7.#.._in 77080: 69745f72 785f7175 65756500 00004347 it_rx_queue...CG 77090: 02230408 5f696e69 745f7478 5f717565 .#.._init_tx_que 770a0: 75650000 00435702 2308085f 636f6e66 ue...CW.#.._conf 770b0: 69675f72 785f7175 65756500 00004360 ig_rx_queue...C` 770c0: 02230c08 5f786d69 745f6275 66000000 .#.._xmit_buf... 770d0: 43690223 10085f66 6c757368 5f786d69 Ci.#.._flush_xmi 770e0: 74000000 43470223 14085f72 6561705f t...CG.#.._reap_ 770f0: 72656376 5f627566 00000043 76022318 recv_buf...Cv.#. 77100: 085f7265 7475726e 5f726563 765f6275 ._return_recv_bu 77110: 66000000 437f0223 1c085f72 6561705f f...C..#.._reap_ 77120: 786d6974 65645f62 75660000 00438c02 xmited_buf...C.. 77130: 2320085f 73776170 5f646174 61000000 # ._swap_data... 77140: 43950223 24085f68 61735f63 6f6d706c C..#$._has_compl 77150: 5f706163 6b657473 00000043 a2022328 _packets...C..#( 77160: 085f6465 73635f64 756d7000 00004347 ._desc_dump...CG 77170: 02232c08 5f676574 5f706163 6b657400 .#,._get_packet. 77180: 000043af 02233008 5f726563 6c61696d ..C..#0._reclaim 77190: 5f706163 6b657400 000043b8 02233408 _packet...C..#4. 771a0: 5f707574 5f706163 6b657400 000043b8 _put_packet...C. 771b0: 02233808 70526573 65727665 64000000 .#8.pReserved... 771c0: 03ef0223 3c00095f 415f636d 6e6f735f ...#<.._A_cmnos_ 771d0: 696e6469 72656374 696f6e5f 7461626c indirection_tabl 771e0: 655f7400 0000306b 09574d49 5f535643 e_t...0k.WMI_SVC 771f0: 5f415049 53000000 40d4175f 415f6d61 _APIS...@.._A_ma 77200: 67706965 5f696e64 69726563 74696f6e gpie_indirection 77210: 5f746162 6c650003 4c000046 5a08636d _table..L..FZ.cm 77220: 6e6f7300 0000452c 02230008 64626700 nos...E,.#..dbg. 77230: 000003bc 0323b803 08686966 00000029 .....#...hif...) 77240: 360323c0 03086874 63000000 3a870323 6.#...htc...:..# 77250: f8030877 6d695f73 76635f61 70690000 ...wmi_svc_api.. 77260: 00454e03 23ac0408 75736266 69666f5f .EN.#...usbfifo_ 77270: 61706900 0000323f 0323d804 08627566 api...2?.#...buf 77280: 5f706f6f 6c000000 36e80323 e4040876 _pool...6..#...v 77290: 62756600 00001449 03238005 08766465 buf....I.#...vde 772a0: 73630000 00132b03 23940508 616c6c6f sc....+.#...allo 772b0: 6372616d 00000009 210323a8 0508646d cram....!.#...dm 772c0: 615f656e 67696e65 00000043 bf0323b4 a_engine...C..#. 772d0: 0508646d 615f6c69 62000000 2bca0323 ..dma_lib...+..# 772e0: f4050868 69665f70 63690000 002e2a03 ...hif_pci....*. 772f0: 23a80600 12080000 468e086d 6f72655f #.......F..more_ 77300: 64617461 0000001c 8e022300 086c656e data......#..len 77310: 0000001c 8e022302 086f6666 73657400 ......#..offset. 77320: 000015ff 02230400 12080000 46b00872 .....#......F..r 77330: 73700000 0015ff02 2300086f 66667365 sp......#..offse 77340: 74000000 15ff0223 0400120c 000046f7 t......#......F. 77350: 08616464 72000000 15ff0223 00086869 .addr......#..hi 77360: 665f6861 6e646c65 00000028 93022304 f_handle...(..#. 77370: 0872785f 70697065 00000016 66022308 .rx_pipe....f.#. 77380: 0874785f 70697065 00000016 66022309 .tx_pipe....f.#. 77390: 0009415f 494e5433 32000000 00fa095f ..A_INT32......_ 773a0: 5f615f69 6e743332 5f740000 0046f709 _a_int32_t...F.. 773b0: 615f696e 7433325f 74000000 4704076d a_int32_t...G..m 773c0: 64696f5f 62775f65 78656300 18000047 dio_bw_exec....G 773d0: ac087374 6172745f 61646472 65737300 ..start_address. 773e0: 000015ff 02230008 6c656e67 74680000 .....#..length.. 773f0: 0015ff02 23040865 7865635f 61646472 ....#..exec_addr 77400: 65737300 000015ff 02230808 63686563 ess......#..chec 77410: 6b73756d 00000015 ff02230c 08667764 ksum......#..fwd 77420: 5f737461 74650000 00471502 23100863 _state...G..#..c 77430: 75727265 6e745f77 725f7074 72000000 urrent_wr_ptr... 77440: 16750223 14000600 0000fa01 03000001 .u.#............ 77450: 97040009 5f415f6d 61677069 655f696e ...._A_magpie_in 77460: 64697265 6374696f 6e5f7461 626c655f direction_table_ 77470: 74000000 45600966 77645f74 67745f73 t...E`.fwd_tgt_s 77480: 6f667463 5f740000 0046b01a 6677645f oftc_t...F..fwd_ 77490: 73630000 0047dc05 0300500b 0c010600 sc...G....P..... 774a0: 00289301 02010300 00480a04 00020103 .(.......H...... 774b0: 00004813 04000300 0047dc04 00060000 ..H......G...... 774c0: 260d0103 00001666 04000966 77645f63 &......f...fwd_c 774d0: 6d645f74 00000046 5a030000 48300400 md_t...FZ...H0.. 774e0: 03000048 30040003 00000906 04000966 ...H0..........f 774f0: 77645f72 73705f74 00000046 8e030000 wd_rsp_t...F.... 77500: 48540400 03000048 54040009 6a6d705f HT.....HT...jmp_ 77510: 66756e63 00000000 f3030000 29360400 func........)6.. 77520: 02010f00 0001011b 00004895 101a0003 ..........H..... 77530: 00004888 04000f00 00010119 000048a9 ..H...........H. 77540: 10180003 0000489c 04000201 06000000 ......H......... 77550: fa010f00 00010129 000048c5 10280003 .......)..H..(.. 77560: 000048b8 04000f00 0001012b 000048d9 ..H........+..H. 77570: 102a0003 000048cc 04000600 0003f201 .*....H......... 77580: 096d6469 6f5f6277 5f657865 635f7400 .mdio_bw_exec_t. 77590: 00004724 03000048 e6040006 000000fa ..G$...H........ 775a0: 010f0000 01011f00 00491410 1e000300 .........I...... 775b0: 00490704 000f0000 01011c00 00492810 .I...........I(. 775c0: 1b000300 00491b04 000f0000 01011800 .....I.......... 775d0: 00493c10 17000300 00492f04 000f0000 .I<......I/..... 775e0: 01012c00 00495010 2b000300 00494304 ..,..IP.+....IC. 775f0: 000f0000 01012500 00496410 24000300 ......%..Id.$... 77600: 00495704 001b3d36 6d69695f 7265675f .IW...=6mii_reg_ 77610: 77726974 655f3332 00000000 fa010392 write_32........ 77620: 01200290 00008e5e 60008e5e 75000049 . .....^`..^u..I 77630: b31c3d36 61646472 00000001 9701521c ..=6addr......R. 77640: 3d367661 6c000000 01740153 001d011a =6val....t.S.... 77650: 6677645f 696e6974 00000028 93010392 fwd_init...(.... 77660: 01300290 00008e5e 78008e5e b000004a .0.....^x..^...J 77670: 061e6869 66636f6e 66696700 000028d2 ..hifconfig...(. 77680: 0291501f 7265735f 68656164 726f6f6d ..P.res_headroom 77690: 00000009 061f7369 7a650000 00090600 ......size...... 776a0: 20012f66 77645f72 65746275 665f6861 ./fwd_retbuf_ha 776b0: 6e646c65 72000101 03920120 02900000 ndler...... .... 776c0: 8e5eb000 8e5ec800 004a531c 012f6275 .^...^...JS../bu 776d0: 66000000 141f0152 1c012f53 65727669 f......R../Servi 776e0: 63654374 78000000 03ef0153 00210135 ceCtx......S.!.5 776f0: 6677645f 7467745f 70726f63 6573735f fwd_tgt_process_ 77700: 6c617374 00000026 0d010103 92012002 last...&...... . 77710: 9000008e 5ec8008e 5ef60000 4ac21c01 ....^...^...J... 77720: 3573697a 65000000 09060152 1c013563 5size......R..5c 77730: 6b73756d 00000009 0601531f 63686563 ksum......S.chec 77740: 6b73756d 00000000 fa1f696d 61676500 ksum......image. 77750: 000014e0 1f690000 0000fa00 20014666 .....i...... .Ff 77760: 77645f74 67745f72 65637600 01010392 wd_tgt_recv..... 77770: 01200290 00008e5e f8008e5f d700004b . .....^..._...K 77780: a01c0146 6864725f 62756600 0000141f ...Fhdr_buf..... 77790: 01521c01 46627566 00000014 1f01531c .R..Fbuf......S. 777a0: 01466374 78000000 03ef0154 1f646573 .Fctx......T.des 777b0: 63000000 13011f64 61746100 00004829 c......data...H) 777c0: 1f736567 6c656e00 00000906 1f630000 .seglen......c.. 777d0: 00483f1f 6c656e00 00000906 1f6f6666 .H?.len......off 777e0: 73657400 00000906 1f6d6f72 65000000 set......more... 777f0: 09061f69 6d616765 00000048 4d1f6461 ...image...HM.da 77800: 64647200 0000484d 1f690000 0009061f ddr...HM.i...... 77810: 72000000 48631f73 74617475 73000000 r...Hc.status... 77820: 260d1f65 6c6f6300 00000906 1f66756e &..eloc......fun 77830: 63707472 00000048 71002001 926d6167 cptr...Hq. ..mag 77840: 7069655f 6d64696f 5f6d6f64 756c655f pie_mdio_module_ 77850: 696e7374 616c6c00 01010392 01200290 install...... .. 77860: 00008e5f d8008e5f fa00004b e21c0192 ..._..._...K.... 77870: 61706973 00000048 7f015200 2001a46d apis...H..R. ..m 77880: 61677069 655f6d64 696f5f62 6f6f745f agpie_mdio_boot_ 77890: 696e6974 00010103 92012002 9000008e init...... ..... 778a0: 5ffc008e 60be0000 4c1a1f76 616c0000 _...`...L..val.. 778b0: 00019700 2101cf6d 61677069 655f6d64 ....!..magpie_md 778c0: 696f5f77 6169745f 666f725f 6c6f636b io_wait_for_lock 778d0: 00000000 fa010103 92013002 9000008e ..........0..... 778e0: 60c0008e 60f90000 4c5d1e76 616c0000 `...`...L].val.. 778f0: 00017402 91500020 01dd6d61 67706965 ..t..P. ..magpie 77900: 5f6d6469 6f5f7265 6c656173 655f6c6f _mdio_release_lo 77910: 636b0001 01039201 20029000 008e60fc ck...... .....`. 77920: 008e6112 00004ca4 1c01dd65 78747261 ..a...L....extra 77930: 5f666c61 67730000 00030701 52002101 _flags......R.!. 77940: e36d6469 6f5f7265 61645f62 6c6f636b .mdio_read_block 77950: 00000000 fa010103 92012002 9000008e .......... ..... 77960: 6114008e 61590000 4d0e1c01 e3707472 a...aY..M....ptr 77970: 00000019 7f01521c 01e36c65 6e000000 ......R...len... 77980: 00fa0153 1f6a0000 0000fa1f 6e657874 ...S.j......next 77990: 5f726561 645f7265 67000000 00fa1f76 _read_reg......v 779a0: 616c0000 00017400 2101f96d 61677069 al....t.!..magpi 779b0: 655f6d64 696f5f63 6f70795f 62797465 e_mdio_copy_byte 779c0: 73000000 00fa0101 03920120 02900000 s.......... .... 779d0: 8e615c00 8e619900 004d751c 01f9746f .a\..a...Mu...to 779e0: 00000019 7f01521c 01f96c65 6e677468 ......R...length 779f0: 00000000 fa01531f 6377696e 64657800 ......S.cwindex. 77a00: 000000fa 1f696c65 6e000000 00fa0022 .....ilen......" 77a10: 01010a66 775f636f 6d707574 655f636b ...fw_compute_ck 77a20: 73756d00 000003f2 01010392 01200290 sum.......... .. 77a30: 00008e61 9c008e61 bb00004d d0230101 ...a...a...M.#.. 77a40: 0a707472 00000030 64015223 01010a6c .ptr...0d.R#...l 77a50: 656e0000 0000fa01 531f7375 6d000000 en......S.sum... 77a60: 03f21f69 00000000 fa002401 011a6d64 ...i......$...md 77a70: 696f5f67 65745f66 775f696d 61676500 io_get_fw_image. 77a80: 000000fa 01010392 01200290 00008e61 ......... .....a 77a90: bc008e62 64230101 1a66775f 62775f73 ...bd#...fw_bw_s 77aa0: 74617465 00000048 fa01521f 6c000000 tate...H..R.l... 77ab0: 00fa1f72 646c656e 00000000 fa000000 ...rdlen........ 77ac0: 000049eb 00020000 2b560401 2f726f6f ..I.....+V../roo 77ad0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 77ae0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 77af0: 6d616770 69655f31 5f312f69 6d616765 magpie_1_1/image 77b00: 2f6d6167 7069652f 2e2e2f2e 2e2f2e2e /magpie/../../.. 77b10: 2f2e2e2f 2f627569 6c642f6d 61677069 /..//build/magpi 77b20: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po 77b30: 6f6c2f73 72632f62 75665f70 6f6f6c5f ol/src/buf_pool_ 77b40: 73746174 69632e63 002f726f 6f742f57 static.c./root/W 77b50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 77b60: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 77b70: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ 77b80: 706f6f6c 0078742d 78636320 666f7220 pool.xt-xcc for 77b90: 372e312e 30202d4f 50543a61 6c69676e 7.1.0 -OPT:align 77ba0: 5f696e73 74727563 74696f6e 733d3332 _instructions=32 77bb0: 202d4f32 202d6733 202d4f50 543a7370 -O2 -g3 -OPT:sp 77bc0: 61636500 01000001 abf50201 03000001 ace............. 77bd0: 0a040004 696e7400 05040463 68617200 ....int....char. 77be0: 07010500 00011a05 0000011a 03000001 ................ 77bf0: 27040006 00000113 01030000 01330400 '............3.. 77c00: 07707269 6e74665f 61706900 08000001 .printf_api..... 77c10: 77085f70 72696e74 665f696e 69740000 w._printf_init.. 77c20: 00010c02 2300085f 7072696e 74660000 ....#.._printf.. 77c30: 00013902 23040004 73686f72 7420756e ..9.#...short un 77c40: 7369676e 65642069 6e740007 02097569 signed int....ui 77c50: 6e743136 5f740000 00017704 6c6f6e67 nt16_t....w.long 77c60: 20756e73 69676e65 6420696e 74000704 unsigned int... 77c70: 0975696e 7433325f 74000000 019b0775 .uint32_t......u 77c80: 6172745f 6669666f 00080000 02090873 art_fifo.......s 77c90: 74617274 5f696e64 65780000 00018d02 tart_index...... 77ca0: 23000865 6e645f69 6e646578 00000001 #..end_index.... 77cb0: 8d022302 086f7665 7272756e 5f657272 ..#..overrun_err 77cc0: 00000001 b0022304 00077561 72745f61 ......#...uart_a 77cd0: 70690020 000002c2 085f7561 72745f69 pi. ....._uart_i 77ce0: 6e697400 00000319 02230008 5f756172 nit......#.._uar 77cf0: 745f6368 61725f70 75740000 00034002 t_char_put....@. 77d00: 2304085f 75617274 5f636861 725f6765 #.._uart_char_ge 77d10: 74000000 03540223 08085f75 6172745f t....T.#.._uart_ 77d20: 7374725f 6f757400 0000035d 02230c08 str_out....].#.. 77d30: 5f756172 745f7461 736b0000 00010c02 _uart_task...... 77d40: 2310085f 75617274 5f737461 74757300 #.._uart_status. 77d50: 00000319 02231408 5f756172 745f636f .....#.._uart_co 77d60: 6e666967 00000003 66022318 085f7561 nfig....f.#.._ua 77d70: 72745f68 77696e69 74000000 036f0223 rt_hwinit....o.# 77d80: 1c000300 00020904 00077561 72745f62 ..........uart_b 77d90: 6c6b0010 00000313 08646562 75675f6d lk.......debug_m 77da0: 6f646500 0000018d 02230008 62617564 ode......#..baud 77db0: 00000001 8d022302 085f7561 72740000 ......#.._uart.. 77dc0: 0002c202 2304085f 74780000 0001be02 ....#.._tx...... 77dd0: 23080006 000001b0 01030000 03130400 #............... 77de0: 04756e73 69676e65 64206368 61720007 .unsigned char.. 77df0: 01097569 6e74385f 74000000 03200201 ..uint8_t.... .. 77e00: 03000003 3e040003 00000331 04000600 ....>......1.... 77e10: 00018d01 03000003 4e040002 01030000 ........N....... 77e20: 035b0400 02010300 00036404 00020103 .[........d..... 77e30: 0000036d 04000300 00011a04 00060000 ...m............ 77e40: 01130103 0000037d 04000744 425f434f .......}...DB_CO 77e50: 4d4d414e 445f5354 52554354 000c0000 MMAND_STRUCT.... 77e60: 03d50863 6d645f73 74720000 00037602 ...cmd_str....v. 77e70: 23000868 656c705f 73747200 00000376 #..help_str....v 77e80: 02230408 636d645f 66756e63 00000003 .#..cmd_func.... 77e90: 83022308 00076462 675f6170 69000800 ..#...dbg_api... 77ea0: 00040808 5f646267 5f696e69 74000000 ...._dbg_init... 77eb0: 010c0223 00085f64 62675f74 61736b00 ...#.._dbg_task. 77ec0: 0000010c 02230400 0a040004 756e7369 .....#......unsi 77ed0: 676e6564 20696e74 00070406 00000408 gned int........ 77ee0: 01030000 041b0400 0b0b0300 00042904 ..............). 77ef0: 00060000 04080103 00000431 04000600 ...........1.... 77f00: 00011301 03000004 3e040007 6d656d5f ........>...mem_ 77f10: 61706900 14000004 ad085f6d 656d5f69 api......._mem_i 77f20: 6e697400 0000010c 02230008 5f6d656d nit......#.._mem 77f30: 73657400 00000421 02230408 5f6d656d set....!.#.._mem 77f40: 63707900 00000437 02230808 5f6d656d cpy....7.#.._mem 77f50: 6d6f7665 00000004 3702230c 085f6d65 move....7.#.._me 77f60: 6d636d70 00000004 44022310 000c7265 mcmp....D.#...re 77f70: 67697374 65725f64 756d705f 73000001 gister_dump_s... 77f80: 03000004 ad040002 01030000 04c70400 ................ 77f90: 02010300 0004d004 00060000 01130103 ................ 77fa0: 000004d9 04000d68 6f737469 665f7300 .......hostif_s. 77fb0: 04000005 350e4849 465f5553 4200000e ....5.HIF_USB... 77fc0: 4849465f 50434945 00010e48 49465f47 HIF_PCIE...HIF_G 77fd0: 4d414300 020e4849 465f5043 4900030e MAC...HIF_PCI... 77fe0: 4849465f 4e554d00 040e4849 465f4e4f HIF_NUM...HIF_NO 77ff0: 4e450005 0009415f 484f5354 49460000 NE....A_HOSTIF.. 78000: 0004e606 00000535 01030000 05430400 .......5.....C.. 78010: 06000003 31010300 00055004 00060000 ....1.....P..... 78020: 018d0103 0000055d 0400076d 6973635f .......]...misc_ 78030: 61706900 24000006 4d085f73 79737465 api.$...M._syste 78040: 6d5f7265 73657400 0000010c 02230008 m_reset......#.. 78050: 5f6d6163 5f726573 65740000 00010c02 _mac_reset...... 78060: 2304085f 61737366 61696c00 000004c9 #.._assfail..... 78070: 02230808 5f6d6973 616c6967 6e65645f .#.._misaligned_ 78080: 6c6f6164 5f68616e 646c6572 00000004 load_handler.... 78090: c902230c 085f7265 706f7274 5f666169 ..#.._report_fai 780a0: 6c757265 5f746f5f 686f7374 00000004 lure_to_host.... 780b0: d2022310 085f7461 72676574 5f69645f ..#.._target_id_ 780c0: 67657400 000004df 02231408 5f69735f get......#.._is_ 780d0: 686f7374 5f707265 73656e74 00000005 host_present.... 780e0: 49022318 085f6b62 68697400 00000556 I.#.._kbhit....V 780f0: 02231c08 5f726f6d 5f766572 73696f6e .#.._rom_version 78100: 5f676574 00000005 63022320 00060000 _get....c.# .... 78110: 03760103 0000064d 04000600 00037601 .v.....M......v. 78120: 03000006 5a040006 00000113 01030000 ....Z........... 78130: 06670400 06000001 13010300 00067404 .g............t. 78140: 00060000 01130103 00000681 04000773 ...............s 78150: 7472696e 675f6170 69001800 00070708 tring_api....... 78160: 5f737472 696e675f 696e6974 00000001 _string_init.... 78170: 0c022300 085f7374 72637079 00000006 ..#.._strcpy.... 78180: 53022304 085f7374 726e6370 79000000 S.#.._strncpy... 78190: 06600223 08085f73 74726c65 6e000000 .`.#.._strlen... 781a0: 066d0223 0c085f73 7472636d 70000000 .m.#.._strcmp... 781b0: 067a0223 10085f73 74726e63 6d700000 .z.#.._strncmp.. 781c0: 00068702 2314000f 0000040b 14000007 ....#........... 781d0: 14100400 095f415f 54494d45 525f5350 ....._A_TIMER_SP 781e0: 41434500 00000707 09415f74 696d6572 ACE......A_timer 781f0: 5f740000 00071403 00000728 04000201 _t.........(.... 78200: 03000007 3e040002 01030000 07470400 ....>........G.. 78210: 09415f48 414e444c 45000000 040b0201 .A_HANDLE....... 78220: 09415f54 494d4552 5f46554e 43000000 .A_TIMER_FUNC... 78230: 075e0300 00076004 00020103 00000779 .^....`........y 78240: 04000774 696d6572 5f617069 00140000 ...timer_api.... 78250: 07f8085f 74696d65 725f696e 69740000 ..._timer_init.. 78260: 00010c02 2300085f 74696d65 725f6172 ....#.._timer_ar 78270: 6d000000 07400223 04085f74 696d6572 m....@.#.._timer 78280: 5f646973 61726d00 00000749 02230808 _disarm....I.#.. 78290: 5f74696d 65725f73 6574666e 00000007 _timer_setfn.... 782a0: 7b02230c 085f7469 6d65725f 72756e00 {.#.._timer_run. 782b0: 0000010c 02231000 09424f4f 4c45414e .....#...BOOLEAN 782c0: 00000001 8d060000 07f80103 00000805 ................ 782d0: 04000600 0007f801 03000008 12040006 ................ 782e0: 000007f8 01030000 081f0400 07726f6d .............rom 782f0: 705f6170 69001000 00089108 5f726f6d p_api......._rom 78300: 705f696e 69740000 00010c02 2300085f p_init......#.._ 78310: 726f6d70 5f646f77 6e6c6f61 64000000 romp_download... 78320: 080b0223 04085f72 6f6d705f 696e7374 ...#.._romp_inst 78330: 616c6c00 00000818 02230808 5f726f6d all......#.._rom 78340: 705f6465 636f6465 00000008 2502230c p_decode....%.#. 78350: 0007726f 6d5f7061 7463685f 73740010 ..rom_patch_st.. 78360: 000008ed 08637263 31360000 00018d02 .....crc16...... 78370: 2300086c 656e0000 00018d02 2302086c #..len......#..l 78380: 645f6164 64720000 0001b002 23040866 d_addr......#..f 78390: 756e5f61 64647200 000001b0 02230808 un_addr......#.. 783a0: 7066756e 00000003 4702230c 00076565 pfun....G.#...ee 783b0: 705f7265 6469725f 61646472 00040000 p_redir_addr.... 783c0: 091f086f 66667365 74000000 018d0223 ...offset......# 783d0: 00087369 7a650000 00018d02 23020009 ..size......#... 783e0: 415f5549 4e543332 00000004 0b060000 A_UINT32........ 783f0: 04080103 0000092d 04000761 6c6c6f63 .......-...alloc 78400: 72616d5f 61706900 0c000009 9e08636d ram_api.......cm 78410: 6e6f735f 616c6c6f 6372616d 5f696e69 nos_allocram_ini 78420: 74000000 09330223 0008636d 6e6f735f t....3.#..cmnos_ 78430: 616c6c6f 6372616d 00000009 33022304 allocram....3.#. 78440: 08636d6e 6f735f61 6c6c6f63 72616d5f .cmnos_allocram_ 78450: 64656275 67000000 010c0223 08000201 debug......#.... 78460: 03000009 9e040009 415f5441 534b4c45 ........A_TASKLE 78470: 545f4655 4e430000 0009a007 5f746173 T_FUNC......_tas 78480: 6b6c6574 00100000 09ff0866 756e6300 klet.......func. 78490: 000009a7 02230008 61726700 00000408 .....#..arg..... 784a0: 02230408 73746174 65000000 01130223 .#..state......# 784b0: 08086e65 78740000 0009ff02 230c0003 ..next......#... 784c0: 000009bb 04000300 0009bb04 0009415f ..............A_ 784d0: 7461736b 6c65745f 74000000 09bb0300 tasklet_t....... 784e0: 000a0d04 00020103 00000a25 04000201 ...........%.... 784f0: 0300000a 2e040007 7461736b 6c65745f ........tasklet_ 78500: 61706900 1400000a c3085f74 61736b6c api......._taskl 78510: 65745f69 6e697400 0000010c 02230008 et_init......#.. 78520: 5f746173 6b6c6574 5f696e69 745f7461 _tasklet_init_ta 78530: 736b0000 000a2702 2304085f 7461736b sk....'.#.._task 78540: 6c65745f 64697361 626c6500 00000a30 let_disable....0 78550: 02230808 5f746173 6b6c6574 5f736368 .#.._tasklet_sch 78560: 6564756c 65000000 0a300223 0c085f74 edule....0.#.._t 78570: 61736b6c 65745f72 756e0000 00010c02 asklet_run...... 78580: 23100002 01030000 0ac30400 06000009 #............... 78590: 1f010300 000acc04 00020103 00000ad9 ................ 785a0: 04000763 6c6f636b 5f617069 00240000 ...clock_api.$.. 785b0: 0bbb085f 636c6f63 6b5f696e 69740000 ..._clock_init.. 785c0: 000ac502 2300085f 636c6f63 6b726567 ....#.._clockreg 785d0: 735f696e 69740000 00010c02 2304085f s_init......#.._ 785e0: 75617274 5f667265 7175656e 63790000 uart_frequency.. 785f0: 000ad202 2308085f 64656c61 795f7573 ....#.._delay_us 78600: 0000000a db02230c 085f776c 616e5f62 ......#.._wlan_b 78610: 616e645f 73657400 00000adb 02231008 and_set......#.. 78620: 5f726566 636c6b5f 73706565 645f6765 _refclk_speed_ge 78630: 74000000 0ad20223 14085f6d 696c6c69 t......#.._milli 78640: 7365636f 6e647300 00000ad2 02231808 seconds......#.. 78650: 5f737973 636c6b5f 6368616e 67650000 _sysclk_change.. 78660: 00010c02 231c085f 636c6f63 6b5f7469 ....#.._clock_ti 78670: 636b0000 00010c02 23200006 000001b0 ck......# ...... 78680: 01030000 0bbb0400 09415f6f 6c645f69 .........A_old_i 78690: 6e74725f 74000000 01b00600 000bc801 ntr_t........... 786a0: 0300000b da040002 01030000 0be70400 ................ 786b0: 02010300 000bf004 00060000 01b00103 ................ 786c0: 00000bf9 04000941 5f697372 5f740000 .......A_isr_t.. 786d0: 000bff02 01030000 0c130400 06000004 ................ 786e0: 0b010300 000c1c04 00020103 00000c29 ...............) 786f0: 04000769 6e74725f 61706900 2c00000d ...intr_api.,... 78700: 4b085f69 6e74725f 696e6974 00000001 K._intr_init.... 78710: 0c022300 085f696e 74725f69 6e766f6b ..#.._intr_invok 78720: 655f6973 72000000 0bc10223 04085f69 e_isr......#.._i 78730: 6e74725f 64697361 626c6500 00000be0 ntr_disable..... 78740: 02230808 5f696e74 725f7265 73746f72 .#.._intr_restor 78750: 65000000 0be90223 0c085f69 6e74725f e......#.._intr_ 78760: 6d61736b 5f696e75 6d000000 0bf20223 mask_inum......# 78770: 10085f69 6e74725f 756e6d61 736b5f69 .._intr_unmask_i 78780: 6e756d00 00000bf2 02231408 5f696e74 num......#.._int 78790: 725f6174 74616368 5f697372 0000000c r_attach_isr.... 787a0: 15022318 085f6765 745f696e 7472656e ..#.._get_intren 787b0: 61626c65 0000000c 2202231c 085f7365 able....".#.._se 787c0: 745f696e 7472656e 61626c65 0000000c t_intrenable.... 787d0: 2b022320 085f6765 745f696e 74727065 +.# ._get_intrpe 787e0: 6e64696e 67000000 0c220223 24085f75 nding....".#$._u 787f0: 6e626c6f 636b5f61 6c6c5f69 6e74726c nblock_all_intrl 78800: 766c0000 00010c02 23280011 0400000d vl......#(...... 78810: 71087469 6d656f75 74000000 01b00223 q.timeout......# 78820: 00086163 74696f6e 00000001 b0022300 ..action......#. 78830: 00120800 000d8c08 636d6400 000001b0 ........cmd..... 78840: 02230013 00000d4b 02230400 09545f57 .#.....K.#...T_W 78850: 44545f43 4d440000 000d7102 01030000 DT_CMD....q..... 78860: 0d9b0400 14040000 0df10e45 4e554d5f ...........ENUM_ 78870: 5744545f 424f4f54 00010e45 4e554d5f WDT_BOOT...ENUM_ 78880: 434f4c44 5f424f4f 5400020e 454e554d COLD_BOOT...ENUM 78890: 5f535553 505f424f 4f540003 0e454e55 _SUSP_BOOT...ENU 788a0: 4d5f554e 4b4e4f57 4e5f424f 4f540004 M_UNKNOWN_BOOT.. 788b0: 0009545f 424f4f54 5f545950 45000000 ..T_BOOT_TYPE... 788c0: 0da40600 000df101 0300000e 02040007 ................ 788d0: 7764745f 61706900 1c00000e a6085f77 wdt_api......._w 788e0: 64745f69 6e697400 0000010c 02230008 dt_init......#.. 788f0: 5f776474 5f656e61 626c6500 0000010c _wdt_enable..... 78900: 02230408 5f776474 5f646973 61626c65 .#.._wdt_disable 78910: 00000001 0c022308 085f7764 745f7365 ......#.._wdt_se 78920: 74000000 0d9d0223 0c085f77 64745f74 t......#.._wdt_t 78930: 61736b00 0000010c 02231008 5f776474 ask......#.._wdt 78940: 5f726573 65740000 00010c02 2314085f _reset......#.._ 78950: 7764745f 6c617374 5f626f6f 74000000 wdt_last_boot... 78960: 0e080223 18001404 00000f0d 0e524554 ...#.........RET 78970: 5f535543 43455353 00000e52 45545f4e _SUCCESS...RET_N 78980: 4f545f49 4e495400 010e5245 545f4e4f OT_INIT...RET_NO 78990: 545f4558 49535400 020e5245 545f4545 T_EXIST...RET_EE 789a0: 505f434f 52525550 5400030e 5245545f P_CORRUPT...RET_ 789b0: 4545505f 4f564552 464c4f57 00040e52 EEP_OVERFLOW...R 789c0: 45545f55 4e4b4e4f 574e0005 0009545f ET_UNKNOWN....T_ 789d0: 4545505f 52455400 00000ea6 03000001 EEP_RET......... 789e0: 8d040006 00000f0d 01030000 0f230400 .............#.. 789f0: 0600000f 0d010300 000f3004 00076565 ..........0...ee 78a00: 705f6170 69001000 000f9908 5f656570 p_api......._eep 78a10: 5f696e69 74000000 010c0223 00085f65 _init......#.._e 78a20: 65705f72 65616400 00000f29 02230408 ep_read....).#.. 78a30: 5f656570 5f777269 74650000 000f2902 _eep_write....). 78a40: 2308085f 6565705f 69735f65 78697374 #.._eep_is_exist 78a50: 0000000f 3602230c 00077573 625f6170 ....6.#...usb_ap 78a60: 69007000 00124608 5f757362 5f696e69 i.p...F._usb_ini 78a70: 74000000 010c0223 00085f75 73625f72 t......#.._usb_r 78a80: 6f6d5f74 61736b00 0000010c 02230408 om_task......#.. 78a90: 5f757362 5f66775f 7461736b 00000001 _usb_fw_task.... 78aa0: 0c022308 085f7573 625f696e 69745f70 ..#.._usb_init_p 78ab0: 68790000 00010c02 230c085f 7573625f hy......#.._usb_ 78ac0: 6570305f 73657475 70000000 010c0223 ep0_setup......# 78ad0: 10085f75 73625f65 70305f74 78000000 .._usb_ep0_tx... 78ae0: 010c0223 14085f75 73625f65 70305f72 ...#.._usb_ep0_r 78af0: 78000000 010c0223 18085f75 73625f67 x......#.._usb_g 78b00: 65745f69 6e746572 66616365 00000008 et_interface.... 78b10: 1802231c 085f7573 625f7365 745f696e ..#.._usb_set_in 78b20: 74657266 61636500 00000818 02232008 terface......# . 78b30: 5f757362 5f676574 5f636f6e 66696775 _usb_get_configu 78b40: 72617469 6f6e0000 00081802 2324085f ration......#$._ 78b50: 7573625f 7365745f 636f6e66 69677572 usb_set_configur 78b60: 6174696f 6e000000 08180223 28085f75 ation......#(._u 78b70: 73625f73 74616e64 6172645f 636d6400 sb_standard_cmd. 78b80: 00000818 02232c08 5f757362 5f76656e .....#,._usb_ven 78b90: 646f725f 636d6400 0000010c 02233008 dor_cmd......#0. 78ba0: 5f757362 5f706f77 65725f6f 66660000 _usb_power_off.. 78bb0: 00010c02 2334085f 7573625f 72657365 ....#4._usb_rese 78bc0: 745f6669 666f0000 00010c02 2338085f t_fifo......#8._ 78bd0: 7573625f 67656e5f 77647400 0000010c usb_gen_wdt..... 78be0: 02233c08 5f757362 5f6a756d 705f626f .#<._usb_jump_bo 78bf0: 6f740000 00010c02 2340085f 7573625f ot......#@._usb_ 78c00: 636c725f 66656174 75726500 00000818 clr_feature..... 78c10: 02234408 5f757362 5f736574 5f666561 .#D._usb_set_fea 78c20: 74757265 00000008 18022348 085f7573 ture......#H._us 78c30: 625f7365 745f6164 64726573 73000000 b_set_address... 78c40: 08180223 4c085f75 73625f67 65745f64 ...#L._usb_get_d 78c50: 65736372 6970746f 72000000 08180223 escriptor......# 78c60: 50085f75 73625f67 65745f73 74617475 P._usb_get_statu 78c70: 73000000 08180223 54085f75 73625f73 s......#T._usb_s 78c80: 65747570 5f646573 63000000 010c0223 etup_desc......# 78c90: 58085f75 73625f72 65675f6f 75740000 X._usb_reg_out.. 78ca0: 00010c02 235c085f 7573625f 73746174 ....#\._usb_stat 78cb0: 75735f69 6e000000 010c0223 60085f75 us_in......#`._u 78cc0: 73625f65 70305f74 785f6461 74610000 sb_ep0_tx_data.. 78cd0: 00010c02 2364085f 7573625f 6570305f ....#d._usb_ep0_ 78ce0: 72785f64 61746100 0000010c 02236808 rx_data......#h. 78cf0: 5f757362 5f636c6b 5f696e69 74000000 _usb_clk_init... 78d00: 010c0223 6c00075f 56444553 43002400 ...#l.._VDESC.$. 78d10: 0012d208 6e657874 5f646573 63000000 ....next_desc... 78d20: 12d20223 00086275 665f6164 64720000 ...#..buf_addr.. 78d30: 0012e602 23040862 75665f73 697a6500 ....#..buf_size. 78d40: 000012ed 02230808 64617461 5f6f6666 .....#..data_off 78d50: 73657400 000012ed 02230a08 64617461 set......#..data 78d60: 5f73697a 65000000 12ed0223 0c08636f _size......#..co 78d70: 6e74726f 6c000000 12ed0223 0e086877 ntrol......#..hw 78d80: 5f646573 635f6275 66000000 12fb0223 _desc_buf......# 78d90: 10000300 00124604 0009415f 55494e54 ......F...A_UINT 78da0: 38000000 03200300 0012d904 0009415f 8.... ........A_ 78db0: 55494e54 31360000 0001770f 000012d9 UINT16....w..... 78dc0: 14000013 08101300 03000012 46040009 ............F... 78dd0: 56444553 43000000 12460300 00130f04 VDESC....F...... 78de0: 00060000 131a0103 00001321 04000600 ...........!.... 78df0: 0012e601 03000013 2e040002 01030000 ................ 78e00: 133b0400 07766465 73635f61 70690014 .;...vdesc_api.. 78e10: 000013b3 085f696e 69740000 000adb02 ....._init...... 78e20: 2300085f 616c6c6f 635f7664 65736300 #.._alloc_vdesc. 78e30: 00001327 02230408 5f676574 5f68775f ...'.#.._get_hw_ 78e40: 64657363 00000013 34022308 085f7377 desc....4.#.._sw 78e50: 61705f76 64657363 00000013 3d02230c ap_vdesc....=.#. 78e60: 08705265 73657276 65640000 00040802 .pReserved...... 78e70: 23100007 5f564255 46002000 00141308 #..._VBUF. ..... 78e80: 64657363 5f6c6973 74000000 131a0223 desc_list......# 78e90: 00086e65 78745f62 75660000 00141302 ..next_buf...... 78ea0: 23040862 75665f6c 656e6774 68000000 #..buf_length... 78eb0: 12ed0223 08087265 73657276 65640000 ...#..reserved.. 78ec0: 00141a02 230a0863 74780000 0012fb02 ....#..ctx...... 78ed0: 230c0003 000013b3 04000f00 0012d902 #............... 78ee0: 00001427 10010003 000013b3 04000956 ...'...........V 78ef0: 42554600 000013b3 03000014 2e040006 BUF............. 78f00: 00001438 01030000 143f0400 06000014 ...8.....?...... 78f10: 38010300 00144c04 00020103 00001459 8.....L........Y 78f20: 04000776 6275665f 61706900 14000014 ...vbuf_api..... 78f30: d7085f69 6e697400 00000adb 02230008 .._init......#.. 78f40: 5f616c6c 6f635f76 62756600 00001445 _alloc_vbuf....E 78f50: 02230408 5f616c6c 6f635f76 6275665f .#.._alloc_vbuf_ 78f60: 77697468 5f73697a 65000000 14520223 with_size....R.# 78f70: 08085f66 7265655f 76627566 00000014 .._free_vbuf.... 78f80: 5b02230c 08705265 73657276 65640000 [.#..pReserved.. 78f90: 00040802 23100007 5f5f6164 665f6465 ....#...__adf_de 78fa0: 76696365 00040000 14f90864 756d6d79 vice.......dummy 78fb0: 00000001 13022300 00030000 091f0400 ......#......... 78fc0: 075f5f61 64665f64 6d615f6d 6170000c .__adf_dma_map.. 78fd0: 00001540 08627566 00000014 38022300 ...@.buf....8.#. 78fe0: 0864735f 61646472 00000014 f9022304 .ds_addr......#. 78ff0: 0864735f 6c656e00 000012ed 02230800 .ds_len......#.. 79000: 120c0000 157a085f 5f76615f 73746b00 .....z.__va_stk. 79010: 00000376 02230008 5f5f7661 5f726567 ...v.#..__va_reg 79020: 00000003 76022304 085f5f76 615f6e64 ....v.#..__va_nd 79030: 78000000 01130223 0800095f 5f616466 x......#...__adf 79040: 5f6f735f 646d615f 61646472 5f740000 _os_dma_addr_t.. 79050: 00091f09 6164665f 6f735f64 6d615f61 ....adf_os_dma_a 79060: 6464725f 74000000 157a095f 5f616466 ddr_t....z.__adf 79070: 5f6f735f 646d615f 73697a65 5f740000 _os_dma_size_t.. 79080: 00091f09 6164665f 6f735f64 6d615f73 ....adf_os_dma_s 79090: 697a655f 74000000 15aa075f 5f646d61 ize_t......__dma 790a0: 5f736567 73000800 00160608 70616464 _segs.......padd 790b0: 72000000 15930223 00086c65 6e000000 r......#..len... 790c0: 15c30223 0400095f 5f615f75 696e7433 ...#...__a_uint3 790d0: 325f7400 0000091f 09615f75 696e7433 2_t......a_uint3 790e0: 325f7400 00001606 0f000015 da080000 2_t............. 790f0: 16351000 00076164 665f6f73 5f646d61 .5....adf_os_dma 79100: 6d61705f 696e666f 000c0000 166e086e map_info.....n.n 79110: 73656773 00000016 18022300 08646d61 segs......#..dma 79120: 5f736567 73000000 16280223 0400095f _segs....(.#..._ 79130: 5f615f75 696e7438 5f740000 0012d909 _a_uint8_t...... 79140: 615f7569 6e74385f 74000000 166e0300 a_uint8_t....n.. 79150: 00167f04 00075f5f 73675f73 65677300 ......__sg_segs. 79160: 08000016 c0087661 64647200 0000168e ......vaddr..... 79170: 02230008 6c656e00 00001618 02230400 .#..len......#.. 79180: 0f000016 95200000 16cd1003 00076164 ..... ........ad 79190: 665f6f73 5f73676c 69737400 24000017 f_os_sglist.$... 791a0: 00086e73 65677300 00001618 02230008 ..nsegs......#.. 791b0: 73675f73 65677300 000016c0 02230400 sg_segs......#.. 791c0: 12100000 17490876 656e646f 72000000 .....I.vendor... 791d0: 16180223 00086465 76696365 00000016 ...#..device.... 791e0: 18022304 08737562 76656e64 6f720000 ..#..subvendor.. 791f0: 00161802 23080873 75626465 76696365 ....#..subdevice 79200: 00000016 1802230c 00046c6f 6e67206c ......#...long l 79210: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 79220: 00070809 415f5549 4e543634 00000017 ....A_UINT64.... 79230: 49095f5f 615f7569 6e743634 5f740000 I.__a_uint64_t.. 79240: 00176309 615f7569 6e743634 5f740000 ..c.a_uint64_t.. 79250: 00177114 04000017 cf0e4144 465f4f53 ..q.......ADF_OS 79260: 5f524553 4f555243 455f5459 50455f4d _RESOURCE_TYPE_M 79270: 454d0000 0e414446 5f4f535f 5245534f EM...ADF_OS_RESO 79280: 55524345 5f545950 455f494f 00010009 URCE_TYPE_IO.... 79290: 6164665f 6f735f72 65736f75 7263655f adf_os_resource_ 792a0: 74797065 5f740000 00179312 18000018 type_t.......... 792b0: 19087374 61727400 00001783 02230008 ..start......#.. 792c0: 656e6400 00001783 02230808 74797065 end......#..type 792d0: 00000017 cf022310 00096164 665f6f73 ......#...adf_os 792e0: 5f706369 5f646576 5f69645f 74000000 _pci_dev_id_t... 792f0: 17000300 00181904 00110400 00185808 ..............X. 79300: 70636900 00001832 02230008 72617700 pci....2.#..raw. 79310: 00000408 02230000 11100000 18770870 .....#.......w.p 79320: 63690000 00181902 23000872 61770000 ci......#..raw.. 79330: 00040802 23000009 6164665f 6472765f ....#...adf_drv_ 79340: 68616e64 6c655f74 00000004 08096164 handle_t......ad 79350: 665f6f73 5f726573 6f757263 655f7400 f_os_resource_t. 79360: 000017eb 03000018 8d040009 6164665f ............adf_ 79370: 6f735f61 74746163 685f6461 74615f74 os_attach_data_t 79380: 00000018 58030000 18ab0400 03000014 ....X........... 79390: d7040009 5f5f6164 665f6f73 5f646576 ....__adf_os_dev 793a0: 6963655f 74000000 18cc0961 64665f6f ice_t......adf_o 793b0: 735f6465 76696365 5f740000 0018d306 s_device_t...... 793c0: 00001877 01030000 18ff0400 02010300 ...w............ 793d0: 00190c04 00096164 665f6f73 5f706d5f ......adf_os_pm_ 793e0: 74000000 04080201 03000019 26040014 t...........&... 793f0: 04000019 660e4144 465f4f53 5f425553 ....f.ADF_OS_BUS 79400: 5f545950 455f5043 4900010e 4144465f _TYPE_PCI...ADF_ 79410: 4f535f42 55535f54 5950455f 47454e45 OS_BUS_TYPE_GENE 79420: 52494300 02000961 64665f6f 735f6275 RIC....adf_os_bu 79430: 735f7479 70655f74 00000019 2f096164 s_type_t..../.ad 79440: 665f6f73 5f627573 5f726567 5f646174 f_os_bus_reg_dat 79450: 615f7400 00001839 03000003 20040007 a_t....9.... ... 79460: 5f616466 5f647276 5f696e66 6f002000 _adf_drv_info. . 79470: 001a4308 6472765f 61747461 63680000 ..C.drv_attach.. 79480: 00190502 23000864 72765f64 65746163 ....#..drv_detac 79490: 68000000 190e0223 04086472 765f7375 h......#..drv_su 794a0: 7370656e 64000000 19280223 08086472 spend....(.#..dr 794b0: 765f7265 73756d65 00000019 0e02230c v_resume......#. 794c0: 08627573 5f747970 65000000 19660223 .bus_type....f.# 794d0: 10086275 735f6461 74610000 00197d02 ..bus_data....}. 794e0: 2314086d 6f645f6e 616d6500 00001998 #..mod_name..... 794f0: 02231808 69666e61 6d650000 00199802 .#..ifname...... 79500: 231c0009 6164665f 6f735f68 616e646c #...adf_os_handl 79510: 655f7400 00000408 03000016 6e040002 e_t.........n... 79520: 01020109 5f5f6164 665f6f73 5f73697a ....__adf_os_siz 79530: 655f7400 0000040b 14040000 1a920e41 e_t............A 79540: 5f46414c 53450000 0e415f54 52554500 _FALSE...A_TRUE. 79550: 01000961 5f626f6f 6c5f7400 00001a78 ...a_bool_t....x 79560: 03000015 00040009 5f5f6164 665f6f73 ........__adf_os 79570: 5f646d61 5f6d6170 5f740000 001aa002 _dma_map_t...... 79580: 010d6164 665f6f73 5f636163 68655f73 ..adf_os_cache_s 79590: 796e6300 0400001b 2a0e4144 465f5359 ync.....*.ADF_SY 795a0: 4e435f50 52455245 41440000 0e414446 NC_PREREAD...ADF 795b0: 5f53594e 435f5052 45575249 54450002 _SYNC_PREWRITE.. 795c0: 0e414446 5f53594e 435f504f 53545245 .ADF_SYNC_POSTRE 795d0: 41440001 0e414446 5f53594e 435f504f AD...ADF_SYNC_PO 795e0: 53545752 49544500 03000961 64665f6f STWRITE....adf_o 795f0: 735f6361 6368655f 73796e63 5f740000 s_cache_sync_t.. 79600: 001ac102 01096164 665f6f73 5f73697a ......adf_os_siz 79610: 655f7400 00001a63 0600001b 45010961 e_t....c....E..a 79620: 64665f6f 735f646d 615f6d61 705f7400 df_os_dma_map_t. 79630: 00001aa7 0300001b 5e040006 00000408 ........^....... 79640: 01030000 1aa70400 06000004 08010201 ................ 79650: 06000015 93010201 0473686f 72742069 .........short i 79660: 6e740005 0209415f 494e5431 36000000 nt....A_INT16... 79670: 1b98095f 5f615f69 6e743136 5f740000 ...__a_int16_t.. 79680: 001ba509 615f696e 7431365f 74000000 ....a_int16_t... 79690: 1bb20473 69676e65 64206368 61720005 ...signed char.. 796a0: 0109415f 494e5438 0000001b d2095f5f ..A_INT8......__ 796b0: 615f696e 74385f74 0000001b e109615f a_int8_t......a_ 796c0: 696e7438 5f740000 001bed12 0c00001c int8_t.......... 796d0: 64087375 70706f72 74656400 00001618 d.supported..... 796e0: 02230008 61647665 7274697a 65640000 .#..advertized.. 796f0: 00161802 23040873 70656564 0000001b ....#..speed.... 79700: c3022308 08647570 6c657800 00001bfd ..#..duplex..... 79710: 02230a08 6175746f 6e656700 0000167f .#..autoneg..... 79720: 02230b00 0f000016 7f060000 1c711005 .#...........q.. 79730: 00076164 665f6e65 745f6574 68616464 ..adf_net_ethadd 79740: 72000600 001c9508 61646472 0000001c r.......addr.... 79750: 64022300 00095f5f 615f7569 6e743136 d.#...__a_uint16 79760: 5f740000 0012ed09 615f7569 6e743136 _t......a_uint16 79770: 5f740000 001c9512 0e00001c f9086574 _t............et 79780: 6865725f 64686f73 74000000 1c640223 her_dhost....d.# 79790: 00086574 6865725f 73686f73 74000000 ..ether_shost... 797a0: 1c640223 06086574 6865725f 74797065 .d.#..ether_type 797b0: 0000001c a702230c 00121400 001dba15 ......#......... 797c0: 69705f76 65727369 6f6e0000 00167f01 ip_version...... 797d0: 00040223 00156970 5f686c00 0000167f ...#..ip_hl..... 797e0: 01040402 23000869 705f746f 73000000 ....#..ip_tos... 797f0: 167f0223 01086970 5f6c656e 0000001c ...#..ip_len.... 79800: a7022302 0869705f 69640000 001ca702 ..#..ip_id...... 79810: 23040869 705f6672 61675f6f 66660000 #..ip_frag_off.. 79820: 001ca702 23060869 705f7474 6c000000 ....#..ip_ttl... 79830: 167f0223 08086970 5f70726f 746f0000 ...#..ip_proto.. 79840: 00167f02 23090869 705f6368 65636b00 ....#..ip_check. 79850: 00001ca7 02230a08 69705f73 61646472 .....#..ip_saddr 79860: 00000016 1802230c 0869705f 64616464 ......#..ip_dadd 79870: 72000000 16180223 10000761 64665f6e r......#...adf_n 79880: 65745f76 6c616e68 64720004 00001e0c et_vlanhdr...... 79890: 08747069 64000000 1ca70223 00157072 .tpid......#..pr 798a0: 696f0000 00167f01 00030223 02156366 io.........#..cf 798b0: 69000000 167f0103 01022302 15766964 i.........#..vid 798c0: 0000001c a702040c 02230200 07616466 .........#...adf 798d0: 5f6e6574 5f766964 00020000 1e3d1572 _net_vid.....=.r 798e0: 65730000 00167f01 00040223 00157661 es.........#..va 798f0: 6c000000 1ca70204 0c022300 00120c00 l.........#..... 79900: 001e7908 72785f62 75667369 7a650000 ..y.rx_bufsize.. 79910: 00161802 23000872 785f6e64 65736300 ....#..rx_ndesc. 79920: 00001618 02230408 74785f6e 64657363 .....#..tx_ndesc 79930: 00000016 18022308 00120800 001e9f08 ......#......... 79940: 706f6c6c 65640000 001a9202 23000870 polled......#..p 79950: 6f6c6c5f 77740000 00161802 2304000f oll_wt......#... 79960: 0000167f 4000001e ac103f00 12460000 ....@.....?..F.. 79970: 1ed40869 665f6e61 6d650000 001e9f02 ...if_name...... 79980: 23000864 65765f61 64647200 00001c64 #..dev_addr....d 79990: 02234000 14040000 1f0b0e41 44465f4f .#@........ADF_O 799a0: 535f444d 415f4d41 534b5f33 32424954 S_DMA_MASK_32BIT 799b0: 00000e41 44465f4f 535f444d 415f4d41 ...ADF_OS_DMA_MA 799c0: 534b5f36 34424954 00010009 6164665f SK_64BIT....adf_ 799d0: 6f735f64 6d615f6d 61736b5f 74000000 os_dma_mask_t... 799e0: 1ed40761 64665f64 6d615f69 6e666f00 ...adf_dma_info. 799f0: 0800001f 5808646d 615f6d61 736b0000 ....X.dma_mask.. 79a00: 001f0b02 23000873 675f6e73 65677300 ....#..sg_nsegs. 79a10: 00001618 02230400 14040000 1fae0e41 .....#.........A 79a20: 44465f4e 45545f43 4b53554d 5f4e4f4e DF_NET_CKSUM_NON 79a30: 4500000e 4144465f 4e45545f 434b5355 E...ADF_NET_CKSU 79a40: 4d5f5443 505f5544 505f4950 76340001 M_TCP_UDP_IPv4.. 79a50: 0e414446 5f4e4554 5f434b53 554d5f54 .ADF_NET_CKSUM_T 79a60: 43505f55 44505f49 50763600 02000961 CP_UDP_IPv6....a 79a70: 64665f6e 65745f63 6b73756d 5f747970 df_net_cksum_typ 79a80: 655f7400 00001f58 12080000 1ff10874 e_t....X.......t 79a90: 785f636b 73756d00 00001fae 02230008 x_cksum......#.. 79aa0: 72785f63 6b73756d 0000001f ae022304 rx_cksum......#. 79ab0: 00096164 665f6e65 745f636b 73756d5f ..adf_net_cksum_ 79ac0: 696e666f 5f740000 001fc814 04000020 info_t......... 79ad0: 4a0e4144 465f4e45 545f5453 4f5f4e4f J.ADF_NET_TSO_NO 79ae0: 4e450000 0e414446 5f4e4554 5f54534f NE...ADF_NET_TSO 79af0: 5f495056 3400010e 4144465f 4e45545f _IPV4...ADF_NET_ 79b00: 54534f5f 414c4c00 02000961 64665f6e TSO_ALL....adf_n 79b10: 65745f74 736f5f74 7970655f 74000000 et_tso_type_t... 79b20: 200b1210 0000209e 08636b73 756d5f63 ..... ..cksum_c 79b30: 61700000 001ff102 23000874 736f0000 ap......#..tso.. 79b40: 00204a02 23080876 6c616e5f 73757070 . J.#..vlan_supp 79b50: 6f727465 64000000 167f0223 0c001220 orted......#... 79b60: 00002137 0874785f 7061636b 65747300 ..!7.tx_packets. 79b70: 00001618 02230008 72785f70 61636b65 .....#..rx_packe 79b80: 74730000 00161802 23040874 785f6279 ts......#..tx_by 79b90: 74657300 00001618 02230808 72785f62 tes......#..rx_b 79ba0: 79746573 00000016 1802230c 0874785f ytes......#..tx_ 79bb0: 64726f70 70656400 00001618 02231008 dropped......#.. 79bc0: 72785f64 726f7070 65640000 00161802 rx_dropped...... 79bd0: 23140872 785f6572 726f7273 00000016 #..rx_errors.... 79be0: 18022318 0874785f 6572726f 72730000 ..#..tx_errors.. 79bf0: 00161802 231c0009 6164665f 6e65745f ....#...adf_net_ 79c00: 65746861 6464725f 74000000 1c711600 ethaddr_t....q.. 79c10: 00213703 00000021 5c107f00 17616466 .!7....!\....adf 79c20: 5f6e6574 5f636d64 5f6d6361 64647200 _net_cmd_mcaddr. 79c30: 03040000 2193086e 656c656d 00000016 ....!..nelem.... 79c40: 18022300 086d6361 73740000 00214e02 ..#..mcast...!N. 79c50: 23040009 6164665f 6e65745f 636d645f #...adf_net_cmd_ 79c60: 6c696e6b 5f696e66 6f5f7400 00001c0b link_info_t..... 79c70: 09616466 5f6e6574 5f636d64 5f706f6c .adf_net_cmd_pol 79c80: 6c5f696e 666f5f74 0000001e 79096164 l_info_t....y.ad 79c90: 665f6e65 745f636d 645f636b 73756d5f f_net_cmd_cksum_ 79ca0: 696e666f 5f740000 001ff109 6164665f info_t......adf_ 79cb0: 6e65745f 636d645f 72696e67 5f696e66 net_cmd_ring_inf 79cc0: 6f5f7400 00001e3d 09616466 5f6e6574 o_t....=.adf_net 79cd0: 5f636d64 5f646d61 5f696e66 6f5f7400 _cmd_dma_info_t. 79ce0: 00001f22 09616466 5f6e6574 5f636d64 ...".adf_net_cmd 79cf0: 5f766964 5f740000 001ca709 6164665f _vid_t......adf_ 79d00: 6e65745f 636d645f 6f66666c 6f61645f net_cmd_offload_ 79d10: 6361705f 74000000 20620961 64665f6e cap_t... b.adf_n 79d20: 65745f63 6d645f73 74617473 5f740000 et_cmd_stats_t.. 79d30: 00209e09 6164665f 6e65745f 636d645f . ..adf_net_cmd_ 79d40: 6d636164 64725f74 00000021 5c0d6164 mcaddr_t...!\.ad 79d50: 665f6e65 745f636d 645f6d63 6173745f f_net_cmd_mcast_ 79d60: 63617000 04000022 d50e4144 465f4e45 cap...."..ADF_NE 79d70: 545f4d43 4153545f 53555000 000e4144 T_MCAST_SUP...AD 79d80: 465f4e45 545f4d43 4153545f 4e4f5453 F_NET_MCAST_NOTS 79d90: 55500001 00096164 665f6e65 745f636d UP....adf_net_cm 79da0: 645f6d63 6173745f 6361705f 74000000 d_mcast_cap_t... 79db0: 228d1803 04000023 a7086c69 6e6b5f69 "......#..link_i 79dc0: 6e666f00 00002193 02230008 706f6c6c nfo...!..#..poll 79dd0: 5f696e66 6f000000 21b00223 0008636b _info...!..#..ck 79de0: 73756d5f 696e666f 00000021 cd022300 sum_info...!..#. 79df0: 0872696e 675f696e 666f0000 0021eb02 .ring_info...!.. 79e00: 23000864 6d615f69 6e666f00 00002208 #..dma_info...". 79e10: 02230008 76696400 00002224 02230008 .#..vid..."$.#.. 79e20: 6f66666c 6f61645f 63617000 0000223b offload_cap..."; 79e30: 02230008 73746174 73000000 225a0223 .#..stats..."Z.# 79e40: 00086d63 6173745f 696e666f 00000022 ..mcast_info..." 79e50: 73022300 086d6361 73745f63 61700000 s.#..mcast_cap.. 79e60: 0022d502 23000014 04000023 fe0e4144 ."..#......#..AD 79e70: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 79e80: 4e4f4e45 00000e41 44465f4e 4255465f NONE...ADF_NBUF_ 79e90: 52585f43 4b53554d 5f485700 010e4144 RX_CKSUM_HW...AD 79ea0: 465f4e42 55465f52 585f434b 53554d5f F_NBUF_RX_CKSUM_ 79eb0: 554e4e45 43455353 41525900 02000961 UNNECESSARY....a 79ec0: 64665f6e 6275665f 72785f63 6b73756d df_nbuf_rx_cksum 79ed0: 5f747970 655f7400 000023a7 12080000 _type_t...#..... 79ee0: 243e0872 6573756c 74000000 23fe0223 $>.result...#..# 79ef0: 00087661 6c000000 16180223 04001208 ..val......#.... 79f00: 0000246e 08747970 65000000 204a0223 ..$n.type... J.# 79f10: 00086d73 73000000 1ca70223 04086864 ..mss......#..hd 79f20: 725f6f66 66000000 167f0223 0600075f r_off......#..._ 79f30: 5f616466 5f6e6275 665f7168 65616400 _adf_nbuf_qhead. 79f40: 0c000024 ad086865 61640000 00143802 ...$..head....8. 79f50: 23000874 61696c00 00001438 02230408 #..tail....8.#.. 79f60: 716c656e 00000016 18022308 00095f5f qlen......#...__ 79f70: 6164665f 6e627566 5f740000 00143803 adf_nbuf_t....8. 79f80: 0000168e 04000300 00161804 00020106 ................ 79f90: 0000131a 01060000 16180106 0000168e ................ 79fa0: 01060000 168e0103 000012fb 0400095f ..............._ 79fb0: 5f616466 5f6e6275 665f7168 6561645f _adf_nbuf_qhead_ 79fc0: 74000000 246e095f 5f616466 5f6e6275 t...$n.__adf_nbu 79fd0: 665f7175 6575655f 74000000 24ee0300 f_queue_t...$... 79fe0: 00250604 00060000 24ad0106 000024ad .%......$.....$. 79ff0: 01140400 0026260e 415f5354 41545553 .....&&.A_STATUS 7a000: 5f4f4b00 000e415f 53544154 55535f46 _OK...A_STATUS_F 7a010: 41494c45 4400010e 415f5354 41545553 AILED...A_STATUS 7a020: 5f454e4f 454e5400 020e415f 53544154 _ENOENT...A_STAT 7a030: 55535f45 4e4f4d45 4d00030e 415f5354 US_ENOMEM...A_ST 7a040: 41545553 5f45494e 56414c00 040e415f ATUS_EINVAL...A_ 7a050: 53544154 55535f45 494e5052 4f475245 STATUS_EINPROGRE 7a060: 53530005 0e415f53 54415455 535f454e SS...A_STATUS_EN 7a070: 4f545355 50500006 0e415f53 54415455 OTSUPP...A_STATU 7a080: 535f4542 55535900 070e415f 53544154 S_EBUSY...A_STAT 7a090: 55535f45 32424947 00080e41 5f535441 US_E2BIG...A_STA 7a0a0: 5455535f 45414444 524e4f54 41564149 TUS_EADDRNOTAVAI 7a0b0: 4c00090e 415f5354 41545553 5f454e58 L...A_STATUS_ENX 7a0c0: 494f000a 0e415f53 54415455 535f4546 IO...A_STATUS_EF 7a0d0: 41554c54 000b0e41 5f535441 5455535f AULT...A_STATUS_ 7a0e0: 45494f00 0c000961 5f737461 7475735f EIO....a_status_ 7a0f0: 74000000 25310600 00262601 06000001 t...%1...&&..... 7a100: 13010201 09616466 5f6e6275 665f7400 .....adf_nbuf_t. 7a110: 000024ad 14040000 268b0e41 44465f4f ..$.....&..ADF_O 7a120: 535f444d 415f544f 5f444556 49434500 S_DMA_TO_DEVICE. 7a130: 000e4144 465f4f53 5f444d41 5f46524f ..ADF_OS_DMA_FRO 7a140: 4d5f4445 56494345 00010009 6164665f M_DEVICE....adf_ 7a150: 6f735f64 6d615f64 69725f74 00000026 os_dma_dir_t...& 7a160: 54060000 26260102 01096164 665f6f73 T...&&....adf_os 7a170: 5f646d61 6d61705f 696e666f 5f740000 _dmamap_info_t.. 7a180: 00163503 000026a9 04000201 02010600 ..5...&......... 7a190: 00264401 06000024 ad010201 02010600 .&D....$........ 7a1a0: 00264401 06000024 ad010600 00264401 .&D....$.....&D. 7a1b0: 06000024 ad010600 00264401 02010201 ...$.....&D..... 7a1c0: 06000016 18010600 00168e01 02010201 ................ 7a1d0: 0600001b 45010600 001a9201 0600001a ....E........... 7a1e0: 92010961 64665f6f 735f7367 6c697374 ...adf_os_sglist 7a1f0: 5f740000 0016cd03 00002722 04000201 _t........'".... 7a200: 02010201 06000016 8e010961 64665f6e ...........adf_n 7a210: 6275665f 71756575 655f7400 00002506 buf_queue_t...%. 7a220: 03000027 4a040002 01030000 24ee0400 ...'J.......$... 7a230: 02010201 02010600 00264401 06000024 .........&D....$ 7a240: ad010600 00161801 06000016 18010600 ................ 7a250: 001a9201 0600001a 92010600 001fae01 ................ 7a260: 06000016 18010961 64665f6e 6275665f .......adf_nbuf_ 7a270: 72785f63 6b73756d 5f740000 00241c03 rx_cksum_t...$.. 7a280: 000027a6 04000201 02010961 64665f6e ..'........adf_n 7a290: 6275665f 74736f5f 74000000 243e0300 buf_tso_t...$>.. 7a2a0: 0027ca04 00020102 01096164 665f6e65 .'........adf_ne 7a2b0: 745f6861 6e646c65 5f740000 00040809 t_handle_t...... 7a2c0: 6164665f 6e65745f 766c616e 6864725f adf_net_vlanhdr_ 7a2d0: 74000000 1dba0300 0027ff04 00060000 t........'...... 7a2e0: 26260106 00002626 01020102 01075f48 &&....&&......_H 7a2f0: 49465f43 4f4e4649 47000400 00284e08 IF_CONFIG....(N. 7a300: 64756d6d 79000000 01130223 00000201 dummy......#.... 7a310: 03000028 4e040002 01030000 28570400 ...(N.......(W.. 7a320: 075f4849 465f4341 4c4c4241 434b000c ._HIF_CALLBACK.. 7a330: 000028ac 0873656e 645f6275 665f646f ..(..send_buf_do 7a340: 6e650000 00285002 23000872 6563765f ne...(P.#..recv_ 7a350: 62756600 00002859 02230408 636f6e74 buf...(Y.#..cont 7a360: 65787400 00000408 02230800 09686966 ext......#...hif 7a370: 5f68616e 646c655f 74000000 04080948 _handle_t......H 7a380: 49465f43 4f4e4649 47000000 282d0300 IF_CONFIG...(-.. 7a390: 0028be04 00060000 28ac0103 000028d5 .(......(.....(. 7a3a0: 04000201 03000028 e2040009 4849465f .......(....HIF_ 7a3b0: 43414c4c 4241434b 00000028 60030000 CALLBACK...(`... 7a3c0: 28eb0400 02010300 00290404 00060000 (........)...... 7a3d0: 01130103 0000290d 04000201 03000029 ......)........) 7a3e0: 1a040006 00000113 01030000 29230400 ............)#.. 7a3f0: 02010300 00293004 00060000 01130103 .....)0......... 7a400: 00002939 04000201 03000029 46040007 ..)9.......)F... 7a410: 6869665f 61706900 3800002a 9f085f69 hif_api.8..*.._i 7a420: 6e697400 000028db 02230008 5f736875 nit...(..#.._shu 7a430: 74646f77 6e000000 28e40223 04085f72 tdown...(..#.._r 7a440: 65676973 7465725f 63616c6c 6261636b egister_callback 7a450: 00000029 06022308 085f6765 745f746f ...)..#.._get_to 7a460: 74616c5f 63726564 69745f63 6f756e74 tal_credit_count 7a470: 00000029 1302230c 085f7374 61727400 ...)..#.._start. 7a480: 000028e4 02231008 5f636f6e 6669675f ..(..#.._config_ 7a490: 70697065 00000029 1c022314 085f7365 pipe...)..#.._se 7a4a0: 6e645f62 75666665 72000000 29290223 nd_buffer...)).# 7a4b0: 18085f72 65747572 6e5f7265 63765f62 .._return_recv_b 7a4c0: 75660000 00293202 231c085f 69735f70 uf...)2.#.._is_p 7a4d0: 6970655f 73757070 6f727465 64000000 ipe_supported... 7a4e0: 293f0223 20085f67 65745f6d 61785f6d )?.# ._get_max_m 7a4f0: 73675f6c 656e0000 00293f02 2324085f sg_len...)?.#$._ 7a500: 6765745f 72657365 72766564 5f686561 get_reserved_hea 7a510: 64726f6f 6d000000 29130223 28085f69 droom...)..#(._i 7a520: 73725f68 616e646c 65720000 0028e402 sr_handler...(.. 7a530: 232c085f 6765745f 64656661 756c745f #,._get_default_ 7a540: 70697065 00000029 48022330 08705265 pipe...)H.#0.pRe 7a550: 73657276 65640000 00040802 2334000d served......#4.. 7a560: 646d615f 656e6769 6e650004 00002b28 dma_engine....+( 7a570: 0e444d41 5f454e47 494e455f 52583000 .DMA_ENGINE_RX0. 7a580: 000e444d 415f454e 47494e45 5f525831 ..DMA_ENGINE_RX1 7a590: 00010e44 4d415f45 4e47494e 455f5258 ...DMA_ENGINE_RX 7a5a0: 3200020e 444d415f 454e4749 4e455f52 2...DMA_ENGINE_R 7a5b0: 58330003 0e444d41 5f454e47 494e455f X3...DMA_ENGINE_ 7a5c0: 54583000 040e444d 415f454e 47494e45 TX0...DMA_ENGINE 7a5d0: 5f545831 00050e44 4d415f45 4e47494e _TX1...DMA_ENGIN 7a5e0: 455f4d41 58000600 09646d61 5f656e67 E_MAX....dma_eng 7a5f0: 696e655f 74000000 2a9f0d64 6d615f69 ine_t...*..dma_i 7a600: 66747970 65000400 002b750e 444d415f ftype....+u.DMA_ 7a610: 49465f47 4d414300 000e444d 415f4946 IF_GMAC...DMA_IF 7a620: 5f504349 00010e44 4d415f49 465f5043 _PCI...DMA_IF_PC 7a630: 49450002 0009646d 615f6966 74797065 IE....dma_iftype 7a640: 5f740000 002b3a06 000012ed 01030000 _t...+:......... 7a650: 2b870400 02010300 002b9404 00020103 +........+...... 7a660: 00002b9d 04000600 00091f01 0300002b ..+............+ 7a670: a6040006 000012ed 01030000 2bb30400 ............+... 7a680: 06000012 ed010300 002bc004 00060000 .........+...... 7a690: 14380103 00002bcd 04000201 0300002b .8....+........+ 7a6a0: da040007 646d615f 6c69625f 61706900 ....dma_lib_api. 7a6b0: 3400002c e1087478 5f696e69 74000000 4..,..tx_init... 7a6c0: 2b8d0223 00087478 5f737461 72740000 +..#..tx_start.. 7a6d0: 002b9602 23040872 785f696e 69740000 .+..#..rx_init.. 7a6e0: 002b8d02 23080872 785f636f 6e666967 .+..#..rx_config 7a6f0: 0000002b 9f02230c 0872785f 73746172 ...+..#..rx_star 7a700: 74000000 2b960223 1008696e 74725f73 t...+..#..intr_s 7a710: 74617475 73000000 2bac0223 14086861 tatus...+..#..ha 7a720: 72645f78 6d697400 00002bb9 02231808 rd_xmit...+..#.. 7a730: 666c7573 685f786d 69740000 002b9602 flush_xmit...+.. 7a740: 231c0878 6d69745f 646f6e65 0000002b #..xmit_done...+ 7a750: c6022320 08726561 705f786d 69747465 ..# .reap_xmitte 7a760: 64000000 2bd30223 24087265 61705f72 d...+..#$.reap_r 7a770: 65637600 00002bd3 02232808 72657475 ecv...+..#(.retu 7a780: 726e5f72 65637600 00002bdc 02232c08 rn_recv...+..#,. 7a790: 72656376 5f706b74 0000002b c6022330 recv_pkt...+..#0 7a7a0: 00075f5f 7063695f 736f6674 63000c00 ..__pci_softc... 7a7b0: 002cff08 73770000 0028eb02 23000009 .,..sw...(..#... 7a7c0: 5f5f7063 695f736f 6674635f 74000000 __pci_softc_t... 7a7d0: 2ce10300 002cff04 00020103 00002d19 ,....,........-. 7a7e0: 04000600 0012d901 0300002d 2204000d ...........-"... 7a7f0: 6869665f 7063695f 70697065 5f747800 hif_pci_pipe_tx. 7a800: 0400002d 820e4849 465f5043 495f5049 ...-..HIF_PCI_PI 7a810: 50455f54 58300000 0e484946 5f504349 PE_TX0...HIF_PCI 7a820: 5f504950 455f5458 3100010e 4849465f _PIPE_TX1...HIF_ 7a830: 5043495f 50495045 5f54585f 4d415800 PCI_PIPE_TX_MAX. 7a840: 02000968 69665f70 63695f70 6970655f ...hif_pci_pipe_ 7a850: 74785f74 0000002d 2f060000 2b280103 tx_t...-/...+(.. 7a860: 00002d99 04000d68 69665f70 63695f70 ..-....hif_pci_p 7a870: 6970655f 72780004 00002e1f 0e484946 ipe_rx.......HIF 7a880: 5f504349 5f504950 455f5258 3000000e _PCI_PIPE_RX0... 7a890: 4849465f 5043495f 50495045 5f525831 HIF_PCI_PIPE_RX1 7a8a0: 00010e48 49465f50 43495f50 4950455f ...HIF_PCI_PIPE_ 7a8b0: 52583200 020e4849 465f5043 495f5049 RX2...HIF_PCI_PI 7a8c0: 50455f52 58330003 0e484946 5f504349 PE_RX3...HIF_PCI 7a8d0: 5f504950 455f5258 5f4d4158 00040009 _PIPE_RX_MAX.... 7a8e0: 6869665f 7063695f 70697065 5f72785f hif_pci_pipe_rx_ 7a8f0: 74000000 2da60600 002b2801 0300002e t...-....+(..... 7a900: 36040007 6869665f 7063695f 61706900 6...hif_pci_api. 7a910: 2400002f 14087063 695f626f 6f745f69 $../..pci_boot_i 7a920: 6e697400 0000010c 02230008 7063695f nit......#..pci_ 7a930: 696e6974 00000028 db022304 08706369 init...(..#..pci 7a940: 5f726573 65740000 00010c02 23080870 _reset......#..p 7a950: 63695f65 6e61626c 65000000 010c0223 ci_enable......# 7a960: 0c087063 695f7265 61705f78 6d697474 ..pci_reap_xmitt 7a970: 65640000 002d1b02 23100870 63695f72 ed...-..#..pci_r 7a980: 6561705f 72656376 0000002d 1b022314 eap_recv...-..#. 7a990: 08706369 5f676574 5f706970 65000000 .pci_get_pipe... 7a9a0: 2d280223 18087063 695f6765 745f7478 -(.#..pci_get_tx 7a9b0: 5f656e67 0000002d 9f02231c 08706369 _eng...-..#..pci 7a9c0: 5f676574 5f72785f 656e6700 00002e3c _get_rx_eng....< 7a9d0: 02232000 07676d61 635f6170 69000400 .# ..gmac_api... 7a9e0: 002f3b08 676d6163 5f626f6f 745f696e ./;.gmac_boot_in 7a9f0: 69740000 00010c02 2300000f 00000320 it......#...... 7aa00: 0600002f 48100500 075f5f65 74686864 .../H....__ethhd 7aa10: 72000e00 002f7e08 64737400 00002f3b r..../~.dst.../; 7aa20: 02230008 73726300 00002f3b 02230608 .#..src.../;.#.. 7aa30: 65747970 65000000 12ed0223 0c00075f etype......#..._ 7aa40: 5f617468 68647200 0400002f cc157265 _athhdr..../..re 7aa50: 73000000 12d90100 02022300 1570726f s.........#..pro 7aa60: 746f0000 0012d901 02060223 00087265 to.........#..re 7aa70: 735f6c6f 00000012 d9022301 08726573 s_lo......#..res 7aa80: 5f686900 000012ed 02230200 075f5f67 _hi......#...__g 7aa90: 6d61635f 68647200 14000030 08086574 mac_hdr....0..et 7aaa0: 68000000 2f480223 00086174 68000000 h.../H.#..ath... 7aab0: 2f7e0223 0e08616c 69676e5f 70616400 /~.#..align_pad. 7aac0: 000012ed 02231200 095f5f67 6d61635f .....#...__gmac_ 7aad0: 6864725f 74000000 2fcc075f 5f676d61 hdr_t.../..__gma 7aae0: 635f736f 66746300 24000030 52086864 c_softc.$..0R.hd 7aaf0: 72000000 30080223 00086772 616e0000 r...0..#..gran.. 7ab00: 0012ed02 23140873 77000000 28eb0223 ....#..sw...(..# 7ab10: 18000c5f 415f6f73 5f6c696e 6b616765 ..._A_os_linkage 7ab20: 5f636865 636b0000 01030000 30520400 _check......0R.. 7ab30: 06000001 13010300 00307004 00030000 .........0p..... 7ab40: 040b0400 175f415f 636d6e6f 735f696e ....._A_cmnos_in 7ab50: 64697265 6374696f 6e5f7461 626c6500 direction_table. 7ab60: 01b80000 31c00868 616c5f6c 696e6b61 ....1..hal_linka 7ab70: 67655f63 6865636b 00000030 76022300 ge_check...0v.#. 7ab80: 08737461 72745f62 73730000 00307d02 .start_bss...0}. 7ab90: 23040861 70705f73 74617274 00000001 #..app_start.... 7aba0: 0c022308 086d656d 00000004 4b02230c ..#..mem....K.#. 7abb0: 086d6973 63000000 056a0223 20087072 .misc....j.# .pr 7abc0: 696e7466 00000001 40022344 08756172 intf....@.#D.uar 7abd0: 74000000 02090223 4c08676d 61630000 t......#L.gmac.. 7abe0: 002f1402 236c0875 73620000 000f9902 ./..#l.usb...... 7abf0: 23700863 6c6f636b 0000000a e20323e0 #p.clock......#. 7ac00: 01087469 6d657200 00000782 03238402 ..timer......#.. 7ac10: 08696e74 72000000 0c320323 98020861 .intr....2.#...a 7ac20: 6c6c6f63 72616d00 0000093a 0323c402 llocram....:.#.. 7ac30: 08726f6d 70000000 082c0323 d0020877 .romp....,.#...w 7ac40: 64745f74 696d6572 0000000e 0f0323e0 dt_timer......#. 7ac50: 02086565 70000000 0f3d0323 fc020873 ..eep....=.#...s 7ac60: 7472696e 67000000 068e0323 8c030874 tring......#...t 7ac70: 61736b6c 65740000 000a3703 23a40300 asklet....7.#... 7ac80: 075f5553 425f4649 464f5f43 4f4e4649 ._USB_FIFO_CONFI 7ac90: 47001000 00323308 6765745f 636f6d6d G....23.get_comm 7aca0: 616e645f 62756600 00001445 02230008 and_buf....E.#.. 7acb0: 72656376 5f636f6d 6d616e64 00000014 recv_command.... 7acc0: 5b022304 08676574 5f657665 6e745f62 [.#..get_event_b 7acd0: 75660000 00144502 23080873 656e645f uf....E.#..send_ 7ace0: 6576656e 745f646f 6e650000 00145b02 event_done....[. 7acf0: 230c0009 5553425f 4649464f 5f434f4e #...USB_FIFO_CON 7ad00: 46494700 000031c0 03000032 33040002 FIG...1....23... 7ad10: 01030000 324f0400 07757362 6669666f ....2O...usbfifo 7ad20: 5f617069 000c0000 32a5085f 696e6974 _api....2.._init 7ad30: 00000032 51022300 085f656e 61626c65 ...2Q.#.._enable 7ad40: 5f657665 6e745f69 73720000 00010c02 _event_isr...... 7ad50: 23040870 52657365 72766564 00000004 #..pReserved.... 7ad60: 08022308 000f0000 167f0200 0032b210 ..#..........2.. 7ad70: 0100075f 4854435f 4652414d 455f4844 ..._HTC_FRAME_HD 7ad80: 52000800 00332408 456e6470 6f696e74 R....3$.Endpoint 7ad90: 49440000 00167f02 23000846 6c616773 ID......#..Flags 7ada0: 00000016 7f022301 08506179 6c6f6164 ......#..Payload 7adb0: 4c656e00 00001ca7 02230208 436f6e74 Len......#..Cont 7adc0: 726f6c42 79746573 00000032 a5022304 rolBytes...2..#. 7add0: 08486f73 74536571 4e756d00 00001ca7 .HostSeqNum..... 7ade0: 02230600 12020000 333d084d 65737361 .#......3=.Messa 7adf0: 67654944 0000001c a7022300 00120800 geID......#..... 7ae00: 0033a008 4d657373 61676549 44000000 .3..MessageID... 7ae10: 1ca70223 00084372 65646974 436f756e ...#..CreditCoun 7ae20: 74000000 1ca70223 02084372 65646974 t......#..Credit 7ae30: 53697a65 0000001c a7022304 084d6178 Size......#..Max 7ae40: 456e6470 6f696e74 73000000 167f0223 Endpoints......# 7ae50: 06085f50 61643100 0000167f 02230700 .._Pad1......#.. 7ae60: 120a0000 3437084d 65737361 67654944 ....47.MessageID 7ae70: 0000001c a7022300 08536572 76696365 ......#..Service 7ae80: 49440000 001ca702 23020843 6f6e6e65 ID......#..Conne 7ae90: 6374696f 6e466c61 67730000 001ca702 ctionFlags...... 7aea0: 23040844 6f776e4c 696e6b50 69706549 #..DownLinkPipeI 7aeb0: 44000000 167f0223 06085570 4c696e6b D......#..UpLink 7aec0: 50697065 49440000 00167f02 23070853 PipeID......#..S 7aed0: 65727669 63654d65 74614c65 6e677468 erviceMetaLength 7aee0: 00000016 7f022308 085f5061 64310000 ......#.._Pad1.. 7aef0: 00167f02 23090012 0a000034 bf084d65 ....#......4..Me 7af00: 73736167 65494400 00001ca7 02230008 ssageID......#.. 7af10: 53657276 69636549 44000000 1ca70223 ServiceID......# 7af20: 02085374 61747573 00000016 7f022304 ..Status......#. 7af30: 08456e64 706f696e 74494400 0000167f .EndpointID..... 7af40: 02230508 4d61784d 73675369 7a650000 .#..MaxMsgSize.. 7af50: 001ca702 23060853 65727669 63654d65 ....#..ServiceMe 7af60: 74614c65 6e677468 00000016 7f022308 taLength......#. 7af70: 085f5061 64310000 00167f02 23090012 ._Pad1......#... 7af80: 02000034 d8084d65 73736167 65494400 ...4..MessageID. 7af90: 00001ca7 02230000 12040000 3514084d .....#......5..M 7afa0: 65737361 67654944 0000001c a7022300 essageID......#. 7afb0: 08506970 65494400 0000167f 02230208 .PipeID......#.. 7afc0: 43726564 6974436f 756e7400 0000167f CreditCount..... 7afd0: 02230300 12040000 354b084d 65737361 .#......5K.Messa 7afe0: 67654944 0000001c a7022300 08506970 geID......#..Pip 7aff0: 65494400 0000167f 02230208 53746174 eID......#..Stat 7b000: 75730000 00167f02 23030012 02000035 us......#......5 7b010: 72085265 636f7264 49440000 00167f02 r.RecordID...... 7b020: 2300084c 656e6774 68000000 167f0223 #..Length......# 7b030: 01001202 0000359c 08456e64 706f696e ......5..Endpoin 7b040: 74494400 0000167f 02230008 43726564 tID......#..Cred 7b050: 69747300 0000167f 02230100 12040000 its......#...... 7b060: 35dd0845 6e64706f 696e7449 44000000 5..EndpointID... 7b070: 167f0223 00084372 65646974 73000000 ...#..Credits... 7b080: 167f0223 01085467 74437265 64697453 ...#..TgtCreditS 7b090: 65714e6f 0000001c a7022302 000f0000 eqNo......#..... 7b0a0: 167f0400 0035ea10 03001206 00003626 .....5........6& 7b0b0: 08507265 56616c69 64000000 167f0223 .PreValid......# 7b0c0: 00084c6f 6f6b4168 65616400 000035dd ..LookAhead...5. 7b0d0: 02230108 506f7374 56616c69 64000000 .#..PostValid... 7b0e0: 167f0223 05000970 6f6f6c5f 68616e64 ...#...pool_hand 7b0f0: 6c655f74 00000004 08060000 36260103 le_t........6&.. 7b100: 00003639 04000201 03000036 46040014 ..69.......6F... 7b110: 04000036 c40e504f 4f4c5f49 445f4854 ...6..POOL_ID_HT 7b120: 435f434f 4e54524f 4c00000e 504f4f4c C_CONTROL...POOL 7b130: 5f49445f 574d495f 5356435f 434d445f _ID_WMI_SVC_CMD_ 7b140: 5245504c 5900010e 504f4f4c 5f49445f REPLY...POOL_ID_ 7b150: 574d495f 5356435f 4556454e 5400020e WMI_SVC_EVENT... 7b160: 504f4f4c 5f49445f 574c414e 5f52585f POOL_ID_WLAN_RX_ 7b170: 42554600 030e504f 4f4c5f49 445f4d41 BUF...POOL_ID_MA 7b180: 58000a00 09425546 5f504f4f 4c5f4944 X....BUF_POOL_ID 7b190: 00000036 4f020103 000036d5 04000600 ...6O.....6..... 7b1a0: 00264401 03000036 de040006 00002644 .&D....6......&D 7b1b0: 01030000 36eb0400 02010300 0036f804 ....6........6.. 7b1c0: 00076275 665f706f 6f6c5f61 7069001c ..buf_pool_api.. 7b1d0: 0000379a 085f696e 69740000 00363f02 ..7.._init...6?. 7b1e0: 2300085f 73687574 646f776e 00000036 #.._shutdown...6 7b1f0: 48022304 085f6372 65617465 5f706f6f H.#.._create_poo 7b200: 6c000000 36d70223 08085f61 6c6c6f63 l...6..#.._alloc 7b210: 5f627566 00000036 e402230c 085f616c _buf...6..#.._al 7b220: 6c6f635f 6275665f 616c6967 6e000000 loc_buf_align... 7b230: 36f10223 10085f66 7265655f 62756600 6..#.._free_buf. 7b240: 000036fa 02231408 70526573 65727665 ..6..#..pReserve 7b250: 64000000 04080223 1800075f 4854435f d......#..._HTC_ 7b260: 53455256 49434500 1c000038 7908704e SERVICE....8y.pN 7b270: 65787400 00003879 02230008 50726f63 ext...8y.#..Proc 7b280: 65737352 6563764d 73670000 00392e02 essRecvMsg...9.. 7b290: 23040850 726f6365 73735365 6e644275 #..ProcessSendBu 7b2a0: 66666572 436f6d70 6c657465 00000039 fferComplete...9 7b2b0: 37022308 0850726f 63657373 436f6e6e 7.#..ProcessConn 7b2c0: 65637400 0000394b 02230c08 53657276 ect...9K.#..Serv 7b2d0: 69636549 44000000 12ed0223 10085365 iceID......#..Se 7b2e0: 72766963 65466c61 67730000 0012ed02 rviceFlags...... 7b2f0: 2312084d 61785376 634d7367 53697a65 #..MaxSvcMsgSize 7b300: 00000012 ed022314 08547261 696c6572 ......#..Trailer 7b310: 53706343 6865636b 4c696d69 74000000 SpcCheckLimit... 7b320: 12ed0223 16085365 72766963 65437478 ...#..ServiceCtx 7b330: 00000004 08022318 00030000 379a0400 ......#.....7... 7b340: 14040000 39171945 4e44504f 494e545f ....9..ENDPOINT_ 7b350: 554e5553 454400ff ffffff0e 454e4450 UNUSED......ENDP 7b360: 4f494e54 3000000e 454e4450 4f494e54 OINT0...ENDPOINT 7b370: 3100010e 454e4450 4f494e54 3200020e 1...ENDPOINT2... 7b380: 454e4450 4f494e54 3300030e 454e4450 ENDPOINT3...ENDP 7b390: 4f494e54 3400040e 454e4450 4f494e54 OINT4...ENDPOINT 7b3a0: 3500050e 454e4450 4f494e54 3600060e 5...ENDPOINT6... 7b3b0: 454e4450 4f494e54 3700070e 454e4450 ENDPOINT7...ENDP 7b3c0: 4f494e54 3800080e 454e4450 4f494e54 OINT8...ENDPOINT 7b3d0: 5f4d4158 00160009 4854435f 454e4450 _MAX....HTC_ENDP 7b3e0: 4f494e54 5f494400 00003880 02010300 OINT_ID...8..... 7b3f0: 00392c04 00020103 00003935 04000300 .9,.......95.... 7b400: 00011304 00060000 12d90103 00003945 ..............9E 7b410: 04000300 00379a04 00075f48 54435f43 .....7...._HTC_C 7b420: 4f4e4649 47001400 0039ca08 43726564 ONFIG....9..Cred 7b430: 69745369 7a650000 00011302 23000843 itSize......#..C 7b440: 72656469 744e756d 62657200 00000113 reditNumber..... 7b450: 02230408 4f534861 6e646c65 0000001a .#..OSHandle.... 7b460: 43022308 08484946 48616e64 6c650000 C.#..HIFHandle.. 7b470: 0028ac02 230c0850 6f6f6c48 616e646c .(..#..PoolHandl 7b480: 65000000 36260223 1000075f 4854435f e...6&.#..._HTC_ 7b490: 4255465f 434f4e54 45585400 0200003a BUF_CONTEXT....: 7b4a0: 0608656e 645f706f 696e7400 000012d9 ..end_point..... 7b4b0: 02230008 6874635f 666c6167 73000000 .#..htc_flags... 7b4c0: 12d90223 01000968 74635f68 616e646c ...#...htc_handl 7b4d0: 655f7400 00000408 09485443 5f534554 e_t......HTC_SET 7b4e0: 55505f43 4f4d504c 4554455f 43420000 UP_COMPLETE_CB.. 7b4f0: 00010c09 4854435f 434f4e46 49470000 ....HTC_CONFIG.. 7b500: 00395903 00003a33 04000600 003a0601 .9Y...:3.....:.. 7b510: 0300003a 4a040002 01030000 3a570400 ...:J.......:W.. 7b520: 09485443 5f534552 56494345 00000037 .HTC_SERVICE...7 7b530: 9a030000 3a600400 02010300 003a7804 ....:`.......:x. 7b540: 00020103 00003a81 04000201 0300003a ......:........: 7b550: 8a040006 00000113 01030000 3a930400 ............:... 7b560: 07687463 5f617069 73003400 003c1008 .htc_apis.4..<.. 7b570: 5f485443 5f496e69 74000000 3a500223 _HTC_Init...:P.# 7b580: 00085f48 54435f53 68757464 6f776e00 .._HTC_Shutdown. 7b590: 00003a59 02230408 5f485443 5f526567 ..:Y.#.._HTC_Reg 7b5a0: 69737465 72536572 76696365 0000003a isterService...: 7b5b0: 7a022308 085f4854 435f5265 61647900 z.#.._HTC_Ready. 7b5c0: 00003a59 02230c08 5f485443 5f526574 ..:Y.#.._HTC_Ret 7b5d0: 75726e42 75666665 72730000 003a8302 urnBuffers...:.. 7b5e0: 2310085f 4854435f 52657475 726e4275 #.._HTC_ReturnBu 7b5f0: 66666572 734c6973 74000000 3a8c0223 ffersList...:..# 7b600: 14085f48 54435f53 656e644d 73670000 .._HTC_SendMsg.. 7b610: 003a8302 2318085f 4854435f 47657452 .:..#.._HTC_GetR 7b620: 65736572 76656448 65616472 6f6f6d00 eservedHeadroom. 7b630: 00003a99 02231c08 5f485443 5f4d7367 ..:..#.._HTC_Msg 7b640: 52656376 48616e64 6c657200 00002859 RecvHandler...(Y 7b650: 02232008 5f485443 5f53656e 64446f6e .# ._HTC_SendDon 7b660: 6548616e 646c6572 00000028 50022324 eHandler...(P.#$ 7b670: 085f4854 435f436f 6e74726f 6c537663 ._HTC_ControlSvc 7b680: 50726f63 6573734d 73670000 00392e02 ProcessMsg...9.. 7b690: 2328085f 4854435f 436f6e74 726f6c53 #(._HTC_ControlS 7b6a0: 76635072 6f636573 7353656e 64436f6d vcProcessSendCom 7b6b0: 706c6574 65000000 39370223 2c087052 plete...97.#,.pR 7b6c0: 65736572 76656400 00000408 02233000 eserved......#0. 7b6d0: 07686f73 745f6170 705f6172 65615f73 .host_app_area_s 7b6e0: 00040000 3c400877 6d695f70 726f746f ....<@.wmi_proto 7b6f0: 636f6c5f 76657200 00001618 02230000 col_ver......#.. 7b700: 120e0000 3c770864 73744d61 63000000 ......b 7b8b0: 6561636f 6e50656e 64696e67 436f756e eaconPendingCoun 7b8c0: 74000000 167f0223 0000075f 574d495f t......#..._WMI_ 7b8d0: 5356435f 434f4e46 49470010 00003e73 SVC_CONFIG....>s 7b8e0: 08487463 48616e64 6c650000 003a0602 .HtcHandle...:.. 7b8f0: 23000850 6f6f6c48 616e646c 65000000 #..PoolHandle... 7b900: 36260223 04084d61 78436d64 5265706c 6&.#..MaxCmdRepl 7b910: 79457674 73000000 01130223 08084d61 yEvts......#..Ma 7b920: 78457665 6e744576 74730000 00011302 xEventEvts...... 7b930: 230c0002 01030000 3e730400 09574d49 #.......>s...WMI 7b940: 5f434d44 5f48414e 444c4552 0000003e _CMD_HANDLER...> 7b950: 75075f57 4d495f44 49535041 5443485f u._WMI_DISPATCH_ 7b960: 454e5452 59000800 003edc08 70436d64 ENTRY....>..pCmd 7b970: 48616e64 6c657200 00003e7c 02230008 Handler...>|.#.. 7b980: 436d6449 44000000 12ed0223 0408466c CmdID......#..Fl 7b990: 61677300 000012ed 02230600 075f574d ags......#..._WM 7b9a0: 495f4449 53504154 43485f54 41424c45 I_DISPATCH_TABLE 7b9b0: 00100000 3f3d0870 4e657874 0000003f ....?=.pNext...? 7b9c0: 3d022300 0870436f 6e746578 74000000 =.#..pContext... 7b9d0: 04080223 04084e75 6d626572 4f66456e ...#..NumberOfEn 7b9e0: 74726965 73000000 01130223 08087054 tries......#..pT 7b9f0: 61626c65 0000003f 5c02230c 00030000 able...?\.#..... 7ba00: 3edc0400 09574d49 5f444953 50415443 >....WMI_DISPATC 7ba10: 485f454e 54525900 00003e91 0300003f H_ENTRY...>....? 7ba20: 44040003 00003edc 04000948 54435f42 D.....>....HTC_B 7ba30: 55465f43 4f4e5445 58540000 0039ca0d UF_CONTEXT...9.. 7ba40: 574d495f 4556545f 434c4153 53000400 WMI_EVT_CLASS... 7ba50: 003ff419 574d495f 4556545f 434c4153 .?..WMI_EVT_CLAS 7ba60: 535f4e4f 4e4500ff ffffff0e 574d495f S_NONE......WMI_ 7ba70: 4556545f 434c4153 535f434d 445f4556 EVT_CLASS_CMD_EV 7ba80: 454e5400 000e574d 495f4556 545f434c ENT...WMI_EVT_CL 7ba90: 4153535f 434d445f 5245504c 5900010e ASS_CMD_REPLY... 7baa0: 574d495f 4556545f 434c4153 535f4d41 WMI_EVT_CLASS_MA 7bab0: 58000200 09574d49 5f455654 5f434c41 X....WMI_EVT_CLA 7bac0: 53530000 003f7f07 5f574d49 5f425546 SS...?.._WMI_BUF 7bad0: 5f434f4e 54455854 000c0000 40520848 _CONTEXT....@R.H 7bae0: 74634275 66437478 0000003f 6a022300 tcBufCtx...?j.#. 7baf0: 08457665 6e74436c 61737300 00003ff4 .EventClass...?. 7bb00: 02230408 466c6167 73000000 12ed0223 .#..Flags......# 7bb10: 08000977 6d695f68 616e646c 655f7400 ...wmi_handle_t. 7bb20: 00000408 09574d49 5f535643 5f434f4e .....WMI_SVC_CON 7bb30: 46494700 00003e0a 03000040 64040006 FIG...>....@d... 7bb40: 00004052 01030000 407f0400 09574d49 ..@R....@....WMI 7bb50: 5f444953 50415443 485f5441 424c4500 _DISPATCH_TABLE. 7bb60: 00003edc 03000040 8c040002 01030000 ..>....@........ 7bb70: 40ab0400 06000026 44010300 0040b404 @......&D....@.. 7bb80: 00020103 000040c1 04000600 00011301 ......@......... 7bb90: 03000040 ca040002 01030000 40d70400 ...@........@... 7bba0: 06000012 d9010300 0040e004 00075f77 .........@...._w 7bbb0: 6d695f73 76635f61 70697300 2c000042 mi_svc_apis.,..B 7bbc0: 28085f57 4d495f49 6e697400 00004085 (._WMI_Init...@. 7bbd0: 02230008 5f574d49 5f526567 69737465 .#.._WMI_Registe 7bbe0: 72446973 70617463 68546162 6c650000 rDispatchTable.. 7bbf0: 0040ad02 2304085f 574d495f 416c6c6f .@..#.._WMI_Allo 7bc00: 63457665 6e740000 0040ba02 2308085f cEvent...@..#.._ 7bc10: 574d495f 53656e64 4576656e 74000000 WMI_SendEvent... 7bc20: 40c30223 0c085f57 4d495f47 65745065 @..#.._WMI_GetPe 7bc30: 6e64696e 67457665 6e747343 6f756e74 ndingEventsCount 7bc40: 00000040 d0022310 085f574d 495f5365 ...@..#.._WMI_Se 7bc50: 6e64436f 6d706c65 74654861 6e646c65 ndCompleteHandle 7bc60: 72000000 39370223 14085f57 4d495f47 r...97.#.._WMI_G 7bc70: 6574436f 6e74726f 6c457000 000040d0 etControlEp...@. 7bc80: 02231808 5f574d49 5f536875 74646f77 .#.._WMI_Shutdow 7bc90: 6e000000 40d90223 1c085f57 4d495f52 n...@..#.._WMI_R 7bca0: 6563764d 65737361 67654861 6e646c65 ecvMessageHandle 7bcb0: 72000000 392e0223 20085f57 4d495f53 r...9..# ._WMI_S 7bcc0: 65727669 6365436f 6e6e6563 74000000 erviceConnect... 7bcd0: 40e60223 24087052 65736572 76656400 @..#$.pReserved. 7bce0: 00000408 02232800 077a7344 6d614465 .....#(..zsDmaDe 7bcf0: 73630014 000042aa 08637472 6c000000 sc....B..ctrl... 7bd00: 01770223 00087374 61747573 00000001 .w.#..status.... 7bd10: 77022302 08746f74 616c4c65 6e000000 w.#..totalLen... 7bd20: 01770223 04086461 74615369 7a650000 .w.#..dataSize.. 7bd30: 00017702 2306086c 61737441 64647200 ..w.#..lastAddr. 7bd40: 000042aa 02230808 64617461 41646472 ..B..#..dataAddr 7bd50: 00000001 9b02230c 086e6578 74416464 ......#..nextAdd 7bd60: 72000000 42aa0223 10000300 00422804 r...B..#.....B(. 7bd70: 00030000 42280400 077a7344 6d615175 ....B(...zsDmaQu 7bd80: 65756500 08000042 ea086865 61640000 eue....B..head.. 7bd90: 0042b102 23000874 65726d69 6e61746f .B..#..terminato 7bda0: 72000000 42b10223 0400077a 73547844 r...B..#...zsTxD 7bdb0: 6d615175 65756500 10000043 4e086865 maQueue....CN.he 7bdc0: 61640000 0042b102 23000874 65726d69 ad...B..#..termi 7bdd0: 6e61746f 72000000 42b10223 0408786d nator...B..#..xm 7bde0: 69746564 5f627566 5f686561 64000000 ited_buf_head... 7bdf0: 14380223 0808786d 69746564 5f627566 .8.#..xmited_buf 7be00: 5f746169 6c000000 14380223 0c000201 _tail....8.#.... 7be10: 03000043 4e040003 000042b8 04000201 ...CN.....B..... 7be20: 03000043 5e040003 000042ea 04000201 ...C^.....B..... 7be30: 03000043 6e040002 01030000 43770400 ...Cn.......Cw.. 7be40: 02010300 00438004 00060000 14380103 .....C.......8.. 7be50: 00004389 04000201 03000043 96040006 ..C........C.... 7be60: 00001438 01030000 439f0400 02010300 ...8....C....... 7be70: 0043ac04 00060000 01130103 000043b5 .C............C. 7be80: 04000600 0042b101 03000043 c2040002 .....B.....C.... 7be90: 01030000 43cf0400 07646d61 5f656e67 ....C....dma_eng 7bea0: 696e655f 61706900 40000045 45085f69 ine_api.@..EE._i 7beb0: 6e697400 00004350 02230008 5f696e69 nit...CP.#.._ini 7bec0: 745f7278 5f717565 75650000 00436002 t_rx_queue...C`. 7bed0: 2304085f 696e6974 5f74785f 71756575 #.._init_tx_queu 7bee0: 65000000 43700223 08085f63 6f6e6669 e...Cp.#.._confi 7bef0: 675f7278 5f717565 75650000 00437902 g_rx_queue...Cy. 7bf00: 230c085f 786d6974 5f627566 00000043 #.._xmit_buf...C 7bf10: 82022310 085f666c 7573685f 786d6974 ..#.._flush_xmit 7bf20: 00000043 60022314 085f7265 61705f72 ...C`.#.._reap_r 7bf30: 6563765f 62756600 0000438f 02231808 ecv_buf...C..#.. 7bf40: 5f726574 75726e5f 72656376 5f627566 _return_recv_buf 7bf50: 00000043 9802231c 085f7265 61705f78 ...C..#.._reap_x 7bf60: 6d697465 645f6275 66000000 43a50223 mited_buf...C..# 7bf70: 20085f73 7761705f 64617461 00000043 ._swap_data...C 7bf80: ae022324 085f6861 735f636f 6d706c5f ..#$._has_compl_ 7bf90: 7061636b 65747300 000043bb 02232808 packets...C..#(. 7bfa0: 5f646573 635f6475 6d700000 00436002 _desc_dump...C`. 7bfb0: 232c085f 6765745f 7061636b 65740000 #,._get_packet.. 7bfc0: 0043c802 2330085f 7265636c 61696d5f .C..#0._reclaim_ 7bfd0: 7061636b 65740000 0043d102 2334085f packet...C..#4._ 7bfe0: 7075745f 7061636b 65740000 0043d102 put_packet...C.. 7bff0: 23380870 52657365 72766564 00000004 #8.pReserved.... 7c000: 0802233c 00095f41 5f636d6e 6f735f69 ..#<.._A_cmnos_i 7c010: 6e646972 65637469 6f6e5f74 61626c65 ndirection_table 7c020: 5f740000 00308409 574d495f 5356435f _t...0..WMI_SVC_ 7c030: 41504953 00000040 ed175f41 5f6d6167 APIS...@.._A_mag 7c040: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 7c050: 7461626c 6500034c 00004673 08636d6e table..L..Fs.cmn 7c060: 6f730000 00454502 23000864 62670000 os...EE.#..dbg.. 7c070: 0003d503 23b80308 68696600 0000294f ....#...hif...)O 7c080: 0323c003 08687463 0000003a a00323f8 .#...htc...:..#. 7c090: 0308776d 695f7376 635f6170 69000000 ..wmi_svc_api... 7c0a0: 45670323 ac040875 73626669 666f5f61 Eg.#...usbfifo_a 7c0b0: 70690000 00325803 23d80408 6275665f pi...2X.#...buf_ 7c0c0: 706f6f6c 00000037 010323e4 04087662 pool...7..#...vb 7c0d0: 75660000 00146203 23800508 76646573 uf....b.#...vdes 7c0e0: 63000000 13440323 94050861 6c6c6f63 c....D.#...alloc 7c0f0: 72616d00 0000093a 0323a805 08646d61 ram....:.#...dma 7c100: 5f656e67 696e6500 000043d8 0323b405 _engine...C..#.. 7c110: 08646d61 5f6c6962 0000002b e30323f4 .dma_lib...+..#. 7c120: 05086869 665f7063 69000000 2e430323 ..hif_pci....C.# 7c130: a8060006 00000408 01095f41 5f6d6167 .........._A_mag 7c140: 7069655f 696e6469 72656374 696f6e5f pie_indirection_ 7c150: 7461626c 655f7400 00004579 02010201 table_t...Ey.... 7c160: 02010600 00011301 0f000014 38280000 ............8(.. 7c170: 46b51009 00075f42 55465f50 4f4f4c5f F....._BUF_POOL_ 7c180: 53544154 49435f43 4f4e5445 5854002c STATIC_CONTEXT., 7c190: 000046f4 08627566 51000000 46a80223 ..F..bufQ...F..# 7c1a0: 00087052 65736572 76656400 00000408 ..pReserved..... 7c1b0: 02232800 09425546 5f504f4f 4c5f5354 .#(..BUF_POOL_ST 7c1c0: 41544943 5f434f4e 54455854 00000046 ATIC_CONTEXT...F 7c1d0: b51a675f 706f6f6c 43747800 000046f4 ..g_poolCtx...F. 7c1e0: 05030050 0b180103 00003701 04000201 ...P......7..... 7c1f0: 0600003a 06010300 00473004 00030000 ...:.....G0..... 7c200: 46f40400 1b012262 75665f70 6f6f6c5f F....."buf_pool_ 7c210: 6d6f6475 6c655f69 6e737461 6c6c0001 module_install.. 7c220: 01039201 20029000 008e6264 008e6287 .... .....bd..b. 7c230: 00004784 1c012270 41504973 00000047 ..G..."pAPIs...G 7c240: 27015200 1d012c5f 6275665f 706f6f6c '.R...,_buf_pool 7c250: 5f737461 7469635f 696e6974 00000004 _static_init.... 7c260: 08010103 92012002 9000008e 6288008e ...... .....b... 7c270: 629e0000 47ce1c01 2c68616e 646c6500 b...G...,handle. 7c280: 00001a43 01521e69 00000001 13001b01 ...C.R.i........ 7c290: 415f6275 665f706f 6f6c5f73 74617469 A_buf_pool_stati 7c2a0: 635f7368 7574646f 776e0001 01039201 c_shutdown...... 7c2b0: 20029000 008e62a0 008e62a5 00004811 .....b...b...H. 7c2c0: 1c014168 616e646c 65000000 36260152 ..Ahandle...6&.R 7c2d0: 001f0146 5f627566 5f706f6f 6c5f7374 ...F_buf_pool_st 7c2e0: 61746963 5f637265 6174655f 706f6f6c atic_create_pool 7c2f0: 00010101 03920130 02900000 8e62a800 .......0.....b.. 7c300: 8e62fd00 0048a11c 01466861 6e646c65 .b...H...Fhandle 7c310: 00000036 2601521c 0146706f 6f6c4964 ...6&.R..FpoolId 7c320: 00000036 c401531c 01466e49 74656d73 ...6..S..FnItems 7c330: 00000001 1301541c 01466e53 697a6500 ......T..FnSize. 7c340: 00000113 01551e69 00000001 131e6275 .....U.i......bu 7c350: 66000000 14381e64 65736300 0000131a f....8.desc..... 7c360: 001d0163 5f627566 5f706f6f 6c5f7374 ...c_buf_pool_st 7c370: 61746963 5f616c6c 6f635f62 75660000 atic_alloc_buf.. 7c380: 00264401 01039201 20029000 008e6300 .&D..... .....c. 7c390: 008e6322 00004913 1c016368 616e646c ..c"..I...chandl 7c3a0: 65000000 36260152 1c016370 6f6f6c49 e...6&.R..cpoolI 7c3b0: 64000000 36c40153 1c016372 65736572 d...6..S..creser 7c3c0: 76650000 00011301 541e6275 66000000 ve......T.buf... 7c3d0: 1438001d 01745f62 75665f70 6f6f6c5f .8...t_buf_pool_ 7c3e0: 73746174 69635f61 6c6c6f63 5f627566 static_alloc_buf 7c3f0: 5f616c69 676e0000 00264401 01039201 _align...&D..... 7c400: 20029000 008e6324 008e6334 00004991 .....c$..c4..I. 7c410: 1c017468 616e646c 65000000 36260152 ..thandle...6&.R 7c420: 1c017470 6f6f6c49 64000000 36c40153 ..tpoolId...6..S 7c430: 1c017472 65736572 76650000 00011301 ..treserve...... 7c440: 541c0174 616c6967 6e000000 01130155 T..talign......U 7c450: 00200179 5f627566 5f706f6f 6c5f7374 . .y_buf_pool_st 7c460: 61746963 5f667265 655f6275 66000101 atic_free_buf... 7c470: 03920120 02900000 8e633400 8e63471c ... .....c4..cG. 7c480: 01796861 6e646c65 00000036 2601521c .yhandle...6&.R. 7c490: 0179706f 6f6c4964 00000036 c401531c .ypoolId...6..S. 7c4a0: 01796275 66000000 26440154 00000000 .ybuf...&D.T.... 7c4b0: 0002eb00 0200002c dc040165 78632d73 .......,...exc-s 7c4c0: 65746861 6e646c65 722e6300 2f666f6c ethandler.c./fol 7c4d0: 6b732f72 6873752f 70726f6a 6563742f ks/rhsu/project/ 7c4e0: 7034762f 70657266 6f726365 2f70305f p4v/perforce/p0_ 7c4f0: 636f7265 2f70726f 6a656374 2f737263 core/project/src 7c500: 2f726f6d 2f6f732f 7372632f 78746f73 /rom/os/src/xtos 7c510: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 7c520: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 7c530: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 7c540: 202d6733 00010000 01bab602 6c6f6e67 -g3........long 7c550: 20696e74 00050403 0000009c 10000000 int............ 7c560: b5040300 05280000 01140670 63000000 .....(.....pc... 7c570: 009c0223 00067073 00000000 9c022304 ...#..ps......#. 7c580: 06617265 67000000 00a80223 08067361 .areg......#..sa 7c590: 72000000 009c0223 18066c63 6f756e74 r......#..lcount 7c5a0: 00000000 9c02231c 066c6265 67000000 ......#..lbeg... 7c5b0: 009c0223 20066c65 6e640000 00009c02 ...# .lend...... 7c5c0: 23240005 30000001 b0067063 00000000 #$..0.....pc.... 7c5d0: 9c022300 06707300 0000009c 02230406 ..#..ps......#.. 7c5e0: 73617200 0000009c 02230806 76707269 sar......#..vpri 7c5f0: 00000000 9c02230c 06613200 0000009c ......#..a2..... 7c600: 02231006 61330000 00009c02 23140661 .#..a3......#..a 7c610: 34000000 009c0223 18066135 00000000 4......#..a5.... 7c620: 9c02231c 06657863 63617573 65000000 ..#..exccause... 7c630: 009c0223 20066c63 6f756e74 00000000 ...# .lcount.... 7c640: 9c022324 066c6265 67000000 009c0223 ..#$.lbeg......# 7c650: 28066c65 6e640000 00009c02 232c0007 (.lend......#,.. 7c660: 01085f78 746f735f 68616e64 6c65725f .._xtos_handler_ 7c670: 66756e63 00000001 b0090000 01b20400 func............ 7c680: 085f7874 6f735f68 616e646c 65720000 ._xtos_handler.. 7c690: 0001ca0a 04000b58 746f7349 6e744861 .......XtosIntHa 7c6a0: 6e646c65 72456e74 72790008 0000021e ndlerEntry...... 7c6b0: 0668616e 646c6572 00000001 d1022300 .handler......#. 7c6c0: 06617267 00000001 e4022304 0002756e .arg......#...un 7c6d0: 7369676e 65642069 6e740007 040b5874 signed int....Xt 7c6e0: 6f73496e 744d6173 6b456e74 72790008 osIntMaskEntry.. 7c6f0: 0000026b 06767072 695f6d61 736b0000 ...k.vpri_mask.. 7c700: 00021e02 2300066c 6576656c 5f6d6173 ....#..level_mas 7c710: 6b000000 021e0223 04000269 6e740005 k......#...int.. 7c720: 040c0000 01d10107 01090000 02780400 .............x.. 7c730: 0d000001 d1010000 028d0e00 0d000001 ................ 7c740: d1010000 02990e00 0f01215f 78746f73 ..........!_xtos 7c750: 5f736574 5f657863 65707469 6f6e5f68 _set_exception_h 7c760: 616e646c 65720000 0001d101 01039201 andler.......... 7c770: 20029000 008e6348 008e63a9 1001216e .....cH..c...!n 7c780: 00000002 6b015210 01216600 000001d1 ....k.R..!f..... 7c790: 01531172 65740000 0001d100 00000000 .S.ret.......... 7c7a0: 00750002 00002d98 04010001 bd70008e .u....-......p.. 7c7b0: 6950008e 69556578 632d7461 626c652e iP..iUexc-table. 7c7c0: 53002f66 6f6c6b73 2f726873 752f7072 S./folks/rhsu/pr 7c7d0: 6f6a6563 742f7034 762f7065 72666f72 oject/p4v/perfor 7c7e0: 63652f70 305f636f 72652f70 726f6a65 ce/p0_core/proje 7c7f0: 63742f73 72632f72 6f6d2f6f 732f7372 ct/src/rom/os/sr 7c800: 632f7874 6f730047 4e552041 5320322e c/xtos.GNU AS 2. 7c810: 31362e31 00800100 00008100 0200002d 16.1...........- 7c820: ac040100 01bdaf00 8e63ac00 8e642565 .........c...d%e 7c830: 78632d63 2d777261 70706572 2d68616e xc-c-wrapper-han 7c840: 646c6572 2e53002f 666f6c6b 732f7268 dler.S./folks/rh 7c850: 73752f70 726f6a65 63742f70 34762f70 su/project/p4v/p 7c860: 6572666f 7263652f 70305f63 6f72652f erforce/p0_core/ 7c870: 70726f6a 6563742f 7372632f 726f6d2f project/src/rom/ 7c880: 6f732f73 72632f78 746f7300 474e5520 os/src/xtos.GNU 7c890: 41532032 2e31362e 31008001 000000c5 AS 2.16.1....... 7c8a0: 00020000 2dc00401 0001bec2 008e6958 ....-.........iX 7c8b0: 008e697e 2f686f6d 652f6375 73746f6d ..i~/home/custom 7c8c0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 7c8d0: 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- 7c8e0: 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa 7c8f0: 2d656c66 2f737263 2f68616c 2f636c6f -elf/src/hal/clo 7c900: 636b2e53 002f7072 6f6a6563 742f6375 ck.S./project/cu 7c910: 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 7c920: 372e322f 6275696c 642f6174 6865726f 7.2/build/athero 7c930: 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 7c940: 2f383337 34332f78 6275696c 642f4f53 /83743/xbuild/OS 7c950: 2f68616c 00474e55 20415320 322e3136 /hal.GNU AS 2.16 7c960: 2e310080 0100000c 1c000200 002dd404 .1...........-.. 7c970: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ 7c980: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t 7c990: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 7c9a0: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el 7c9b0: 662f7372 632f6861 6c2f6d69 73632e63 f/src/hal/misc.c 7c9c0: 002f7072 6f6a6563 742f6375 73742f67 ./project/cust/g 7c9d0: 656e6170 702f5242 2d323030 372e322f enapp/RB-2007.2/ 7c9e0: 6275696c 642f6174 6865726f 732f7072 build/atheros/pr 7c9f0: 6f642f4d 61677069 655f5030 2f383337 od/Magpie_P0/837 7ca00: 34332f78 6275696c 642f4f53 2f68616c 43/xbuild/OS/hal 7ca10: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 7ca20: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 7ca30: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 7ca40: 202d6733 00010002 756e7369 676e6564 -g3....unsigned 7ca50: 20696e74 00070403 000000e2 04587468 int.........Xth 7ca60: 616c5f72 656c6561 73655f6d 616a6f72 al_release_major 7ca70: 00000000 f2050300 4e87a001 04587468 ........N....Xth 7ca80: 616c5f72 656c6561 73655f6d 696e6f72 al_release_minor 7ca90: 00000000 f2050300 500b4401 02636861 ........P.D..cha 7caa0: 72000701 03000001 37030000 01370500 r.......7....7.. 7cab0: 00014404 00030000 01490300 00013705 ..D......I....7. 7cac0: 00000155 04000458 7468616c 5f72656c ...U...Xthal_rel 7cad0: 65617365 5f6e616d 65000000 01500503 ease_name....P.. 7cae0: 004e87a4 01060000 01370600 00018d07 .N.......7...... 7caf0: 05000458 7468616c 5f72656c 65617365 ...Xthal_release 7cb00: 5f696e74 65726e61 6c000000 01500503 _internal....P.. 7cb10: 00500b48 01045874 68616c5f 7265765f .P.H..Xthal_rev_ 7cb20: 6e6f0000 0000f205 03004e87 a8010458 no........N....X 7cb30: 7468616c 5f6e756d 5f617265 67730000 thal_num_aregs.. 7cb40: 0000f205 03004e87 ac010275 6e736967 ......N....unsig 7cb50: 6e656420 63686172 00070103 000001e5 ned char........ 7cb60: 04587468 616c5f6e 756d5f61 72656773 .Xthal_num_aregs 7cb70: 5f6c6f67 32000000 01f60503 004e87b0 _log2........N.. 7cb80: 01045874 68616c5f 6d656d6f 72795f6f ..Xthal_memory_o 7cb90: 72646572 00000001 f6050300 4e87b101 rder........N... 7cba0: 04587468 616c5f68 6176655f 77696e64 .Xthal_have_wind 7cbb0: 6f776564 00000001 f6050300 4e87b201 owed........N... 7cbc0: 04587468 616c5f68 6176655f 64656e73 .Xthal_have_dens 7cbd0: 69747900 000001f6 0503004e 87b30104 ity........N.... 7cbe0: 58746861 6c5f6861 76655f62 6f6f6c65 Xthal_have_boole 7cbf0: 616e7300 000001f6 05030050 0b4c0104 ans........P.L.. 7cc00: 58746861 6c5f6861 76655f6c 6f6f7073 Xthal_have_loops 7cc10: 00000001 f6050300 4e87b401 04587468 ........N....Xth 7cc20: 616c5f68 6176655f 6e736100 000001f6 al_have_nsa..... 7cc30: 0503004e 87b50104 58746861 6c5f6861 ...N....Xthal_ha 7cc40: 76655f6d 696e6d61 78000000 01f60503 ve_minmax....... 7cc50: 004e87b6 01045874 68616c5f 68617665 .N....Xthal_have 7cc60: 5f736578 74000000 01f60503 004e87b7 _sext........N.. 7cc70: 01045874 68616c5f 68617665 5f636c61 ..Xthal_have_cla 7cc80: 6d707300 000001f6 05030050 0b4d0104 mps........P.M.. 7cc90: 58746861 6c5f6861 76655f6d 61633136 Xthal_have_mac16 7cca0: 00000001 f6050300 500b4e01 04587468 ........P.N..Xth 7ccb0: 616c5f68 6176655f 6d756c31 36000000 al_have_mul16... 7ccc0: 01f60503 004e87b8 01045874 68616c5f .....N....Xthal_ 7ccd0: 68617665 5f667000 000001f6 05030050 have_fp........P 7cce0: 0b4f0104 58746861 6c5f6861 76655f73 .O..Xthal_have_s 7ccf0: 70656375 6c617469 6f6e0000 0001f605 peculation...... 7cd00: 0300500b 50010458 7468616c 5f686176 ..P.P..Xthal_hav 7cd10: 655f6578 63657074 696f6e73 00000001 e_exceptions.... 7cd20: f6050300 4e87b901 04587468 616c5f78 ....N....Xthal_x 7cd30: 65615f76 65727369 6f6e0000 0001f605 ea_version...... 7cd40: 03004e87 ba010458 7468616c 5f686176 ..N....Xthal_hav 7cd50: 655f696e 74657272 75707473 00000001 e_interrupts.... 7cd60: f6050300 4e87bb01 04587468 616c5f68 ....N....Xthal_h 7cd70: 6176655f 68696768 6c657665 6c5f696e ave_highlevel_in 7cd80: 74657272 75707473 00000001 f6050300 terrupts........ 7cd90: 4e87bc01 04587468 616c5f68 6176655f N....Xthal_have_ 7cda0: 6e6d6900 000001f6 0503004e 87bd0104 nmi........N.... 7cdb0: 58746861 6c5f6861 76655f70 72696400 Xthal_have_prid. 7cdc0: 000001f6 0503004e 87be0104 58746861 .......N....Xtha 7cdd0: 6c5f6861 76655f72 656c6561 73655f73 l_have_release_s 7cde0: 796e6300 000001f6 0503004e 87bf0104 ync........N.... 7cdf0: 58746861 6c5f6861 76655f73 33326331 Xthal_have_s32c1 7ce00: 69000000 01f60503 004e87c0 01045874 i........N....Xt 7ce10: 68616c5f 68617665 5f746872 65616470 hal_have_threadp 7ce20: 74720000 0001f605 03004e87 c1010458 tr........N....X 7ce30: 7468616c 5f686176 655f7069 66000000 thal_have_pif... 7ce40: 01f60503 004e87c2 01027368 6f727420 .....N....short 7ce50: 756e7369 676e6564 20696e74 00070203 unsigned int.... 7ce60: 000004e4 04587468 616c5f6e 756d5f77 .....Xthal_num_w 7ce70: 72697465 62756666 65725f65 6e747269 ritebuffer_entri 7ce80: 65730000 0004fa05 03004e87 c4010458 es........N....X 7ce90: 7468616c 5f627569 6c645f75 6e697175 thal_build_uniqu 7cea0: 655f6964 00000000 f2050300 4e87c801 e_id........N... 7ceb0: 04587468 616c5f68 775f636f 6e666967 .Xthal_hw_config 7cec0: 69643000 000000f2 0503004e 87cc0104 id0........N.... 7ced0: 58746861 6c5f6877 5f636f6e 66696769 Xthal_hw_configi 7cee0: 64310000 0000f205 03004e87 d0010458 d1........N....X 7cef0: 7468616c 5f68775f 72656c65 6173655f thal_hw_release_ 7cf00: 6d616a6f 72000000 00f20503 004e87d4 major........N.. 7cf10: 01045874 68616c5f 68775f72 656c6561 ..Xthal_hw_relea 7cf20: 73655f6d 696e6f72 00000000 f2050300 se_minor........ 7cf30: 500b5401 04587468 616c5f68 775f7265 P.T..Xthal_hw_re 7cf40: 6c656173 655f6e61 6d650000 00015005 lease_name....P. 7cf50: 03004e87 d8010600 00013708 000005fe ..N.......7..... 7cf60: 07070004 58746861 6c5f6877 5f6d696e ....Xthal_hw_min 7cf70: 5f766572 73696f6e 5f6d616a 6f720000 _version_major.. 7cf80: 0000f205 03004e87 dc010458 7468616c ......N....Xthal 7cf90: 5f68775f 6d696e5f 76657273 696f6e5f _hw_min_version_ 7cfa0: 6d696e6f 72000000 00f20503 00500b58 minor........P.X 7cfb0: 01045874 68616c5f 68775f6d 61785f76 ..Xthal_hw_max_v 7cfc0: 65727369 6f6e5f6d 616a6f72 00000000 ersion_major.... 7cfd0: f2050300 4e87e001 04587468 616c5f68 ....N....Xthal_h 7cfe0: 775f6d61 785f7665 7273696f 6e5f6d69 w_max_version_mi 7cff0: 6e6f7200 000000f2 05030050 0b5c0104 nor........P.\.. 7d000: 58746861 6c5f6877 5f72656c 65617365 Xthal_hw_release 7d010: 5f696e74 65726e61 6c000000 01500503 _internal....P.. 7d020: 00500b60 01045874 68616c5f 68617665 .P.`..Xthal_have 7d030: 5f737061 6e6e696e 675f7761 79000000 _spanning_way... 7d040: 01f60503 004e87e4 01045874 68616c5f .....N....Xthal_ 7d050: 68617665 5f696465 6e746974 795f6d61 have_identity_ma 7d060: 70000000 01f60503 004e87e5 01045874 p........N....Xt 7d070: 68616c5f 68617665 5f6d696d 69635f63 hal_have_mimic_c 7d080: 61636865 61747472 00000001 f6050300 acheattr........ 7d090: 4e87e601 04587468 616c5f68 6176655f N....Xthal_have_ 7d0a0: 786c745f 63616368 65617474 72000000 xlt_cacheattr... 7d0b0: 01f60503 00500b64 01045874 68616c5f .....P.d..Xthal_ 7d0c0: 68617665 5f636163 68656174 74720000 have_cacheattr.. 7d0d0: 0001f605 0300500b 65010458 7468616c ......P.e..Xthal 7d0e0: 5f686176 655f746c 62730000 0001f605 _have_tlbs...... 7d0f0: 03004e87 e7010458 7468616c 5f6d6d75 ..N....Xthal_mmu 7d100: 5f617369 645f6269 74730000 0001f605 _asid_bits...... 7d110: 0300500b 66010458 7468616c 5f6d6d75 ..P.f..Xthal_mmu 7d120: 5f617369 645f6b65 726e656c 00000001 _asid_kernel.... 7d130: f6050300 500b6701 04587468 616c5f6d ....P.g..Xthal_m 7d140: 6d755f72 696e6773 00000001 f6050300 mu_rings........ 7d150: 4e87e801 04587468 616c5f6d 6d755f72 N....Xthal_mmu_r 7d160: 696e675f 62697473 00000001 f6050300 ing_bits........ 7d170: 500b6801 04587468 616c5f6d 6d755f73 P.h..Xthal_mmu_s 7d180: 725f6269 74730000 0001f605 0300500b r_bits........P. 7d190: 69010458 7468616c 5f6d6d75 5f63615f i..Xthal_mmu_ca_ 7d1a0: 62697473 00000001 f6050300 4e87e901 bits........N... 7d1b0: 04587468 616c5f6d 6d755f6d 61785f70 .Xthal_mmu_max_p 7d1c0: 74655f70 6167655f 73697a65 00000000 te_page_size.... 7d1d0: f2050300 4e87ec01 04587468 616c5f6d ....N....Xthal_m 7d1e0: 6d755f6d 696e5f70 74655f70 6167655f mu_min_pte_page_ 7d1f0: 73697a65 00000000 f2050300 4e87f001 size........N... 7d200: 04587468 616c5f69 746c625f 7761795f .Xthal_itlb_way_ 7d210: 62697473 00000001 f6050300 500b6a01 bits........P.j. 7d220: 04587468 616c5f69 746c625f 77617973 .Xthal_itlb_ways 7d230: 00000001 f6050300 4e87f401 04587468 ........N....Xth 7d240: 616c5f69 746c625f 6172665f 77617973 al_itlb_arf_ways 7d250: 00000001 f6050300 500b6b01 04587468 ........P.k..Xth 7d260: 616c5f64 746c625f 7761795f 62697473 al_dtlb_way_bits 7d270: 00000001 f6050300 500b6c01 04587468 ........P.l..Xth 7d280: 616c5f64 746c625f 77617973 00000001 al_dtlb_ways.... 7d290: f6050300 4e87f501 04587468 616c5f64 ....N....Xthal_d 7d2a0: 746c625f 6172665f 77617973 00000001 tlb_arf_ways.... 7d2b0: f6050300 500b6d01 04587468 616c5f6e ....P.m..Xthal_n 7d2c0: 756d5f69 6e737472 6f6d0000 0001f605 um_instrom...... 7d2d0: 0300500b 6e010458 7468616c 5f6e756d ..P.n..Xthal_num 7d2e0: 5f696e73 7472616d 00000001 f6050300 _instram........ 7d2f0: 4e87f601 04587468 616c5f6e 756d5f64 N....Xthal_num_d 7d300: 61746172 6f6d0000 0001f605 0300500b atarom........P. 7d310: 6f010458 7468616c 5f6e756d 5f646174 o..Xthal_num_dat 7d320: 6172616d 00000001 f6050300 4e87f701 aram........N... 7d330: 04587468 616c5f6e 756d5f78 6c6d6900 .Xthal_num_xlmi. 7d340: 000001f6 05030050 0b700103 000000e2 .......P.p...... 7d350: 06000009 e6040000 09f80700 00030000 ................ 7d360: 09eb0300 0000e206 000009fd 0400000a ................ 7d370: 0f070000 04587468 616c5f69 6e737472 .....Xthal_instr 7d380: 6f6d5f76 61646472 00000009 f8050300 om_vaddr........ 7d390: 4e87fc01 04587468 616c5f69 6e737472 N....Xthal_instr 7d3a0: 6f6d5f70 61646472 00000009 f8050300 om_paddr........ 7d3b0: 4e880001 04587468 616c5f69 6e737472 N....Xthal_instr 7d3c0: 6f6d5f73 697a6500 000009f8 0503004e om_size........N 7d3d0: 88040104 58746861 6c5f696e 73747261 ....Xthal_instra 7d3e0: 6d5f7661 64647200 000009f8 0503004e m_vaddr........N 7d3f0: 88080104 58746861 6c5f696e 73747261 ....Xthal_instra 7d400: 6d5f7061 64647200 000009f8 0503004e m_paddr........N 7d410: 880c0104 58746861 6c5f696e 73747261 ....Xthal_instra 7d420: 6d5f7369 7a650000 0009f805 03004e88 m_size........N. 7d430: 10010458 7468616c 5f646174 61726f6d ...Xthal_datarom 7d440: 5f766164 64720000 0009f805 03004e88 _vaddr........N. 7d450: 14010458 7468616c 5f646174 61726f6d ...Xthal_datarom 7d460: 5f706164 64720000 0009f805 03004e88 _paddr........N. 7d470: 18010458 7468616c 5f646174 61726f6d ...Xthal_datarom 7d480: 5f73697a 65000000 09f80503 004e881c _size........N.. 7d490: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ 7d4a0: 76616464 72000000 09f80503 004e8820 vaddr........N. 7d4b0: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ 7d4c0: 70616464 72000000 09f80503 004e8824 paddr........N.$ 7d4d0: 01045874 68616c5f 64617461 72616d5f ..Xthal_dataram_ 7d4e0: 73697a65 00000009 f8050300 4e882801 size........N.(. 7d4f0: 04587468 616c5f78 6c6d695f 76616464 .Xthal_xlmi_vadd 7d500: 72000000 09f80503 004e882c 01045874 r........N.,..Xt 7d510: 68616c5f 786c6d69 5f706164 64720000 hal_xlmi_paddr.. 7d520: 0009f805 03004e88 30010458 7468616c ......N.0..Xthal 7d530: 5f786c6d 695f7369 7a650000 0009f805 _xlmi_size...... 7d540: 03004e88 34010458 7468616c 5f686176 ..N.4..Xthal_hav 7d550: 655f6363 6f756e74 00000001 f6050300 e_ccount........ 7d560: 4e87f801 04587468 616c5f6e 756d5f63 N....Xthal_num_c 7d570: 636f6d70 61726500 000001f6 0503004e compare........N 7d580: 87f90100 00000000 cf000200 002e2004 .............. . 7d590: 010001c1 59008e64 28008e65 5f2f686f ....Y..d(..e_/ho 7d5a0: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree 7d5b0: 2f52422d 32303037 2e322f74 6f6f6c73 /RB-2007.2/tools 7d5c0: 2f737774 6f6f6c73 2d783836 2d6c696e /swtools-x86-lin 7d5d0: 75782f78 74656e73 612d656c 662f7372 ux/xtensa-elf/sr 7d5e0: 632f6861 6c2f7769 6e646f77 7370696c c/hal/windowspil 7d5f0: 6c5f6173 6d2e5300 2f70726f 6a656374 l_asm.S./project 7d600: 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- 7d610: 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath 7d620: 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie 7d630: 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild 7d640: 2f4f532f 68616c00 474e5520 41532032 /OS/hal.GNU AS 2 7d650: 2e31362e 31008001 000000c7 00020000 .16.1........... 7d660: 2e340401 0001c48e 008e6980 008e6988 .4........i...i. 7d670: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t 7d680: 7265652f 52422d32 3030372e 322f746f ree/RB-2007.2/to 7d690: 6f6c732f 7377746f 6f6c732d 7838362d ols/swtools-x86- 7d6a0: 6c696e75 782f7874 656e7361 2d656c66 linux/xtensa-elf 7d6b0: 2f737263 2f68616c 2f696e74 5f61736d /src/hal/int_asm 7d6c0: 2e53002f 70726f6a 6563742f 63757374 .S./project/cust 7d6d0: 2f67656e 6170702f 52422d32 3030372e /genapp/RB-2007. 7d6e0: 322f6275 696c642f 61746865 726f732f 2/build/atheros/ 7d6f0: 70726f64 2f4d6167 7069655f 50302f38 prod/Magpie_P0/8 7d700: 33373433 2f786275 696c642f 4f532f68 3743/xbuild/OS/h 7d710: 616c0047 4e552041 5320322e 31362e31 al.GNU AS 2.16.1 7d720: 00800100 0000c700 0200002e 48040100 ............H... 7d730: 01c51a00 8e698800 8e69902f 686f6d65 .....i...i./home 7d740: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 7d750: 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s 7d760: 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux 7d770: 2f787465 6e73612d 656c662f 7372632f /xtensa-elf/src/ 7d780: 68616c2f 696e745f 61736d2e 53002f70 hal/int_asm.S./p 7d790: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena 7d7a0: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui 7d7b0: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ 7d7c0: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ 7d7d0: 78627569 6c642f4f 532f6861 6c00474e xbuild/OS/hal.GN 7d7e0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... 7d7f0: 00c70002 00002e5c 04010001 c5a7008e .......\........ 7d800: 6990008e 69982f68 6f6d652f 63757374 i...i./home/cust 7d810: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 7d820: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 7d830: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 7d840: 73612d65 6c662f73 72632f68 616c2f69 sa-elf/src/hal/i 7d850: 6e745f61 736d2e53 002f7072 6f6a6563 nt_asm.S./projec 7d860: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 7d870: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 7d880: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 7d890: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 7d8a0: 642f4f53 2f68616c 00474e55 20415320 d/OS/hal.GNU AS 7d8b0: 322e3136 2e310080 01000002 25000200 2.16.1......%... 7d8c0: 002e7004 012f686f 6d652f63 7573746f ..p../home/custo 7d8d0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 7d8e0: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools 7d8f0: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens 7d900: 612d656c 662f7372 632f6861 6c2f696e a-elf/src/hal/in 7d910: 74657272 75707473 2e63002f 70726f6a terrupts.c./proj 7d920: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ 7d930: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ 7d940: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag 7d950: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu 7d960: 696c642f 4f532f68 616c0078 742d7863 ild/OS/hal.xt-xc 7d970: 6320666f 7220372e 312e3020 2d4f5054 c for 7.1.0 -OPT 7d980: 3a616c69 676e5f69 6e737472 75637469 :align_instructi 7d990: 6f6e733d 3332202d 4f32202d 67330001 ons=32 -O2 -g3.. 7d9a0: 0002756e 7369676e 65642063 68617200 ..unsigned char. 7d9b0: 07010275 6e736967 6e656420 696e7400 ...unsigned int. 7d9c0: 07040300 0000f940 00000116 040f0005 .......@........ 7d9d0: 000000f9 01400000 01260404 040f0005 .....@...&...... 7d9e0: 000000f9 01000000 01360403 040f0006 .........6...... 7d9f0: 58744861 6c565072 69537461 74650002 XtHalVPriState.. 7da00: 50000001 de077670 72690000 0000e802 P.....vpri...... 7da10: 2300076c 6f636b6c 6576656c 00000000 #..locklevel.... 7da20: e8022301 076c6f63 6b767072 69000000 ..#..lockvpri... 7da30: 00e80223 02077061 64300000 0000e802 ...#..pad0...... 7da40: 23030765 6e61626c 65640000 0000f902 #..enabled...... 7da50: 2304076c 6f636b6d 61736b00 000000f9 #..lockmask..... 7da60: 02230807 70616431 00000000 f902230c .#..pad1......#. 7da70: 07656e61 626c656d 61700000 00011602 .enablemap...... 7da80: 23100772 65736f6c 76656d61 70000000 #..resolvemap... 7da90: 01260323 d0020008 000000e8 08000000 .&.#............ 7daa0: e8030000 01e32000 0001f504 1f000800 ...... ......... 7dab0: 0001e808 000000e8 03000001 fa200000 ............. .. 7dac0: 020c041f 00095874 68616c5f 696e746c ......Xthal_intl 7dad0: 6576656c 00000001 f5050300 4e884001 evel........N.@. 7dae0: 00000000 00f00002 00002ed2 04010001 ................ 7daf0: c820008e 6998008e 6a002f68 6f6d652f . ..i...j./home/ 7db00: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB 7db10: 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw 7db20: 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ 7db30: 7874656e 73612d65 6c662f73 72632f6c xtensa-elf/src/l 7db40: 69626763 632d7863 632f636f 6e666967 ibgcc-xcc/config 7db50: 2f787465 6e73612f 6c696231 66756e63 /xtensa/lib1func 7db60: 732e6173 6d002f70 726f6a65 63742f63 s.asm./project/c 7db70: 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 7db80: 30372e32 2f627569 6c642f61 74686572 07.2/build/ather 7db90: 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P 7dba0: 302f3833 3734332f 78627569 6c642f54 0/83743/xbuild/T 7dbb0: 61726765 742d6c69 62732f6c 69626763 arget-libs/libgc 7dbc0: 632d7863 6300474e 55204153 20322e31 c-xcc.GNU AS 2.1 7dbd0: 362e3100 80010000 00f00002 00002ee6 6.1............. 7dbe0: 04010001 c98c008e 6a00008e 6a492f68 ........j...jI/h 7dbf0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 7dc00: 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool 7dc10: 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li 7dc20: 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s 7dc30: 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co 7dc40: 6e666967 2f787465 6e73612f 6c696231 nfig/xtensa/lib1 7dc50: 66756e63 732e6173 6d002f70 726f6a65 funcs.asm./proje 7dc60: 63742f63 7573742f 67656e61 70702f52 ct/cust/genapp/R 7dc70: 422d3230 30372e32 2f627569 6c642f61 B-2007.2/build/a 7dc80: 74686572 6f732f70 726f642f 4d616770 theros/prod/Magp 7dc90: 69655f50 302f3833 3734332f 78627569 ie_P0/83743/xbui 7dca0: 6c642f54 61726765 742d6c69 62732f6c ld/Target-libs/l 7dcb0: 69626763 632d7863 6300474e 55204153 ibgcc-xcc.GNU AS 7dcc0: 20322e31 362e3100 80010000 00f00002 2.16.1......... 7dcd0: 00002efa 04010001 cab0008e 6a4c008e ............jL.. 7dce0: 6a9c2f68 6f6d652f 63757374 6f6d6572 j./home/customer 7dcf0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 7dd00: 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 7dd10: 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e 7dd20: 6c662f73 72632f6c 69626763 632d7863 lf/src/libgcc-xc 7dd30: 632f636f 6e666967 2f787465 6e73612f c/config/xtensa/ 7dd40: 6c696231 66756e63 732e6173 6d002f70 lib1funcs.asm./p 7dd50: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena 7dd60: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui 7dd70: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ 7dd80: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ 7dd90: 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li 7dda0: 62732f6c 69626763 632d7863 6300474e bs/libgcc-xcc.GN 7ddb0: 55204153 20322e31 362e3100 80010000 U AS 2.16.1..... 7ddc0: 00f00002 00002f0e 04010001 cbe6008e ....../......... 7ddd0: 6a9c008e 6ad92f68 6f6d652f 63757374 j...j./home/cust 7dde0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 7ddf0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 7de00: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 7de10: 73612d65 6c662f73 72632f6c 69626763 sa-elf/src/libgc 7de20: 632d7863 632f636f 6e666967 2f787465 c-xcc/config/xte 7de30: 6e73612f 6c696231 66756e63 732e6173 nsa/lib1funcs.as 7de40: 6d002f70 726f6a65 63742f63 7573742f m./project/cust/ 7de50: 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 7de60: 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p 7de70: 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 7de80: 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe 7de90: 742d6c69 62732f6c 69626763 632d7863 t-libs/libgcc-xc 7dea0: 6300474e 55204153 20322e31 362e3100 c.GNU AS 2.16.1. 7deb0: 80010000 0b3c0002 00002f22 04012f68 .....<..../"../h 7dec0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 7ded0: 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro 7dee0: 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target 7def0: 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new 7df00: 6c69622f 6c696263 2f737472 696e672f lib/libc/string/ 7df10: 6d656d63 6d702e63 002f7072 6f6a6563 memcmp.c./projec 7df20: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 7df30: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 7df40: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 7df50: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 7df60: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne 7df70: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ 7df80: 6e65776c 69622f6c 6962632f 73747269 newlib/libc/stri 7df90: 6e670078 742d7863 6320666f 7220372e ng.xt-xcc for 7. 7dfa0: 312e3020 2d4f5054 3a616c69 676e5f69 1.0 -OPT:align_i 7dfb0: 6e737472 75637469 6f6e733d 3332202d nstructions=32 - 7dfc0: 4f32202d 67330001 000001cc ec02756e O2 -g3........un 7dfd0: 7369676e 65642069 6e740007 04037769 signed int....wi 7dfe0: 6e745f74 00000001 1b02756e 7369676e nt_t......unsign 7dff0: 65642063 68617200 07010400 00013704 ed char.......7. 7e000: 00000155 05030006 04000001 79075f5f ...U........y.__ 7e010: 77636800 0000012b 02230007 5f5f7763 wch....+.#..__wc 7e020: 68620000 00014802 23000002 696e7400 hb....H.#...int. 7e030: 05040808 000001a7 075f5f63 6f756e74 .........__count 7e040: 00000001 79022300 075f5f76 616c7565 ....y.#..__value 7e050: 00000001 55022304 00095f42 6967696e ....U.#..._Bigin 7e060: 74001800 00020507 5f6e6578 74000000 t......._next... 7e070: 02050223 00075f6b 00000001 79022304 ...#.._k....y.#. 7e080: 075f6d61 78776473 00000001 79022308 ._maxwds....y.#. 7e090: 075f7369 676e0000 00017902 230c075f ._sign....y.#.._ 7e0a0: 77647300 00000179 02231007 5f780000 wds....y.#.._x.. 7e0b0: 00022e02 2314000a 000001a7 0400026c ....#..........l 7e0c0: 6f6e6720 756e7369 676e6564 20696e74 ong unsigned int 7e0d0: 00070403 5f5f554c 6f6e6700 0000020c ....__ULong..... 7e0e0: 04000002 21040000 023b0500 000a0000 ....!....;...... 7e0f0: 01a70400 095f5f74 6d002400 0002ee07 .....__tm.$..... 7e100: 5f5f746d 5f736563 00000001 79022300 __tm_sec....y.#. 7e110: 075f5f74 6d5f6d69 6e000000 01790223 .__tm_min....y.# 7e120: 04075f5f 746d5f68 6f757200 00000179 ..__tm_hour....y 7e130: 02230807 5f5f746d 5f6d6461 79000000 .#..__tm_mday... 7e140: 01790223 0c075f5f 746d5f6d 6f6e0000 .y.#..__tm_mon.. 7e150: 00017902 2310075f 5f746d5f 79656172 ..y.#..__tm_year 7e160: 00000001 79022314 075f5f74 6d5f7764 ....y.#..__tm_wd 7e170: 61790000 00017902 2318075f 5f746d5f ay....y.#..__tm_ 7e180: 79646179 00000001 7902231c 075f5f74 yday....y.#..__t 7e190: 6d5f6973 64737400 00000179 02232000 m_isdst....y.# . 7e1a0: 0b040004 000002ee 80000002 fe051f00 ................ 7e1b0: 0c5f6f6e 5f657869 745f6172 67730001 ._on_exit_args.. 7e1c0: 08000003 5c075f66 6e617267 73000000 ....\._fnargs... 7e1d0: 02f10223 00075f64 736f5f68 616e646c ...#.._dso_handl 7e1e0: 65000000 02f10323 8001075f 666e7479 e......#..._fnty 7e1f0: 70657300 00000221 03238002 075f6973 pes....!.#..._is 7e200: 5f637861 00000002 21032384 02000c5f _cxa....!.#...._ 7e210: 61746578 69740001 90000003 ab075f6e atexit........_n 7e220: 65787400 000003ab 02230007 5f696e64 ext......#.._ind 7e230: 00000001 79022304 075f666e 73000000 ....y.#.._fns... 7e240: 03bb0223 08075f6f 6e5f6578 69745f61 ...#.._on_exit_a 7e250: 72677300 000002fe 03238801 000a0000 rgs......#...... 7e260: 035c0400 0d010a00 0003b204 00040000 .\.............. 7e270: 03b48000 0003c805 1f000a00 00035c04 ..............\. 7e280: 000a0000 01370400 095f5f73 62756600 .....7...__sbuf. 7e290: 08000004 00075f62 61736500 000003cf ......_base..... 7e2a0: 02230007 5f73697a 65000000 01790223 .#.._size....y.# 7e2b0: 04000273 686f7274 20696e74 00050202 ...short int.... 7e2c0: 63686172 0007010a 0000040d 04000e00 char............ 7e2d0: 00017901 0a000004 1c04000f 0000040d ..y............. 7e2e0: 0f000004 0d0a0000 042e0400 0e000001 ................ 7e2f0: 79010a00 00043a04 00026c6f 6e672069 y.....:...long i 7e300: 6e740005 04035f66 706f735f 74000000 nt...._fpos_t... 7e310: 04470e00 00045301 0a000004 6004000e .G....S.....`... 7e320: 00000179 010a0000 046d0400 04000001 ...y.....m...... 7e330: 37030000 04870502 00040000 01370100 7............7.. 7e340: 00049405 00000c5f 7265656e 74000400 ......._reent... 7e350: 0000061b 075f6572 726e6f00 00000179 ....._errno....y 7e360: 02230007 5f737464 696e0000 0007cf02 .#.._stdin...... 7e370: 2304075f 7374646f 75740000 0007cf02 #.._stdout...... 7e380: 2308075f 73746465 72720000 0007cf02 #.._stderr...... 7e390: 230c075f 696e6300 00000179 02231007 #.._inc....y.#.. 7e3a0: 5f656d65 7267656e 63790000 000a7e02 _emergency....~. 7e3b0: 2314075f 63757272 656e745f 63617465 #.._current_cate 7e3c0: 676f7279 00000001 79022330 075f6375 gory....y.#0._cu 7e3d0: 7272656e 745f6c6f 63616c65 00000004 rrent_locale.... 7e3e0: 33022334 075f5f73 64696469 6e697400 3.#4.__sdidinit. 7e3f0: 00000179 02233807 5f5f636c 65616e75 ...y.#8.__cleanu 7e400: 70000000 0a8d0223 3c075f72 6573756c p......#<._resul 7e410: 74000000 023b0223 40075f72 6573756c t....;.#@._resul 7e420: 745f6b00 00000179 02234407 5f703573 t_k....y.#D._p5s 7e430: 00000002 3b022348 075f6672 65656c69 ....;.#H._freeli 7e440: 73740000 000a9402 234c075f 6376746c st......#L._cvtl 7e450: 656e0000 00017902 2350075f 63767462 en....y.#P._cvtb 7e460: 75660000 00041502 2354075f 6e657700 uf......#T._new. 7e470: 00000a58 02235807 5f617465 78697400 ...X.#X._atexit. 7e480: 000003c8 0323c802 075f6174 65786974 .....#..._atexit 7e490: 30000000 035c0323 cc02075f 7369675f 0....\.#..._sig_ 7e4a0: 66756e63 0000000a a40323dc 05075f5f func......#...__ 7e4b0: 73676c75 65000000 07840323 e005075f sglue......#..._ 7e4c0: 5f736600 00000aab 0323ec05 000a0000 _sf......#...... 7e4d0: 04940400 035f4c4f 434b5f52 45435552 ....._LOCK_RECUR 7e4e0: 53495645 5f540000 00017903 5f666c6f SIVE_T....y._flo 7e4f0: 636b5f74 00000006 22095f5f 7346494c ck_t....".__sFIL 7e500: 45005c00 00078407 5f700000 0003cf02 E.\....._p...... 7e510: 2300075f 72000000 01790223 04075f77 #.._r....y.#.._w 7e520: 00000001 79022308 075f666c 61677300 ....y.#.._flags. 7e530: 00000400 02230c07 5f66696c 65000000 .....#.._file... 7e540: 04000223 0e075f62 66000000 03d60223 ...#.._bf......# 7e550: 10075f6c 62667369 7a650000 00017902 .._lbfsize....y. 7e560: 2318075f 636f6f6b 69650000 0002ee02 #.._cookie...... 7e570: 231c075f 72656164 00000004 22022320 #.._read....".# 7e580: 075f7772 69746500 00000440 02232407 ._write....@.#$. 7e590: 5f736565 6b000000 04660223 28075f63 _seek....f.#(._c 7e5a0: 6c6f7365 00000004 7302232c 075f7562 lose....s.#,._ub 7e5b0: 00000003 d6022330 075f7570 00000003 ......#0._up.... 7e5c0: cf022338 075f7572 00000001 7902233c ..#8._ur....y.#< 7e5d0: 075f7562 75660000 00047a02 2340075f ._ubuf....z.#@._ 7e5e0: 6e627566 00000004 87022343 075f6c62 nbuf......#C._lb 7e5f0: 00000003 d6022344 075f626c 6b73697a ......#D._blksiz 7e600: 65000000 01790223 4c075f6f 66667365 e....y.#L._offse 7e610: 74000000 01790223 50075f64 61746100 t....y.#P._data. 7e620: 0000061b 02235407 5f6c6f63 6b000000 .....#T._lock... 7e630: 06390223 5800095f 676c7565 000c0000 .9.#X.._glue.... 7e640: 07bc075f 6e657874 00000007 bc022300 ..._next......#. 7e650: 075f6e69 6f627300 00000179 02230407 ._niobs....y.#.. 7e660: 5f696f62 73000000 07cf0223 08000a00 _iobs......#.... 7e670: 00078404 00035f5f 46494c45 00000006 ......__FILE.... 7e680: 470a0000 07c30400 0a000007 84040002 G............... 7e690: 73686f72 7420756e 7369676e 65642069 short unsigned i 7e6a0: 6e740007 02040000 07dd0600 00080005 nt.............. 7e6b0: 0200095f 72616e64 3438000e 00000838 ..._rand48.....8 7e6c0: 075f7365 65640000 0007f302 2300075f ._seed......#.._ 7e6d0: 6d756c74 00000007 f3022306 075f6164 mult......#.._ad 7e6e0: 64000000 07dd0223 0c000400 00040d1a d......#........ 7e6f0: 00000845 05190002 6c6f6e67 206c6f6e ...E....long lon 7e700: 6720756e 7369676e 65642069 6e740007 g unsigned int.. 7e710: 08035f6d 62737461 74655f74 00000001 .._mbstate_t.... 7e720: 80040000 040d0800 00087c05 07000400 ..........|..... 7e730: 00040d18 00000889 05170008 d000000a ................ 7e740: 17075f75 6e757365 645f7261 6e640000 .._unused_rand.. 7e750: 00011b02 2300075f 73747274 6f6b5f6c ....#.._strtok_l 7e760: 61737400 00000415 02230407 5f617363 ast......#.._asc 7e770: 74696d65 5f627566 00000008 38022308 time_buf....8.#. 7e780: 075f6c6f 63616c74 696d655f 62756600 ._localtime_buf. 7e790: 00000242 02232407 5f67616d 6d615f73 ...B.#$._gamma_s 7e7a0: 69676e67 616d0000 00017902 2348075f igngam....y.#H._ 7e7b0: 72616e64 5f6e6578 74000000 08450223 rand_next....E.# 7e7c0: 50075f72 34380000 00080002 2358075f P._r48......#X._ 7e7d0: 6d626c65 6e5f7374 61746500 0000085f mblen_state...._ 7e7e0: 02236807 5f6d6274 6f77635f 73746174 .#h._mbtowc_stat 7e7f0: 65000000 085f0223 70075f77 63746f6d e...._.#p._wctom 7e800: 625f7374 61746500 0000085f 02237807 b_state...._.#x. 7e810: 5f6c3634 615f6275 66000000 086f0323 _l64a_buf....o.# 7e820: 8001075f 7369676e 616c5f62 75660000 ..._signal_buf.. 7e830: 00087c03 23880107 5f676574 64617465 ..|.#..._getdate 7e840: 5f657272 00000001 790323a0 01075f6d _err....y.#..._m 7e850: 62726c65 6e5f7374 61746500 0000085f brlen_state...._ 7e860: 0323a401 075f6d62 72746f77 635f7374 .#..._mbrtowc_st 7e870: 61746500 0000085f 0323ac01 075f6d62 ate...._.#..._mb 7e880: 7372746f 7763735f 73746174 65000000 srtowcs_state... 7e890: 085f0323 b401075f 77637274 6f6d625f ._.#..._wcrtomb_ 7e8a0: 73746174 65000000 085f0323 bc01075f state...._.#..._ 7e8b0: 77637372 746f6d62 735f7374 61746500 wcsrtombs_state. 7e8c0: 0000085f 0323c401 00040000 03cf7800 ..._.#........x. 7e8d0: 000a2405 1d000400 00011b78 00000a31 ..$........x...1 7e8e0: 051d0008 f000000a 58075f6e 65787466 ........X._nextf 7e8f0: 0000000a 17022300 075f6e6d 616c6c6f ......#.._nmallo 7e900: 63000000 0a240223 780006f0 00000a7e c....$.#x......~ 7e910: 075f7265 656e7400 00000889 02230007 ._reent......#.. 7e920: 5f756e75 73656400 00000a31 02230000 _unused....1.#.. 7e930: 04000004 0d190000 0a8b0518 000d010a ................ 7e940: 00000a8b 04000a00 00023b04 000d010a ..........;..... 7e950: 00000a9b 04000a00 000a9d04 00100000 ................ 7e960: 07c30114 00000ab9 05020011 110a0000 ................ 7e970: 0aba0400 0373697a 655f7400 0000011b .....size_t..... 7e980: 0e000001 79010a00 00020c04 00120139 ....y..........9 7e990: 6d656d63 6d700000 00017901 01039201 memcmp....y..... 7e9a0: 20029000 008e6adc 008e6b21 1301396d .....j...k!..9m 7e9b0: 31000000 0abb0152 1301396d 32000000 1......R..9m2... 7e9c0: 0abb0153 1301396e 0000000a c2015414 ...S..9n......T. 7e9d0: 73310000 0003cf14 73320000 0003cf14 s1......s2...... 7e9e0: 61310000 000ad414 61320000 000ad400 a1......a2...... 7e9f0: 00000000 01090002 00002ff9 04010001 ........../..... 7ea00: cfdc008e 6b24008e 6c592f68 6f6d652f ....k$..lY/home/ 7ea10: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB 7ea20: 2d323030 372e322f 7034726f 6f742f58 -2007.2/p4root/X 7ea30: 74656e73 612f5461 72676574 2d6c6962 tensa/Target-lib 7ea40: 732f6e65 776c6962 2f6e6577 6c69622f s/newlib/newlib/ 7ea50: 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte 7ea60: 6e73612f 6d656d63 70792e53 002f7072 nsa/memcpy.S./pr 7ea70: 6f6a6563 742f6375 73742f67 656e6170 oject/cust/genap 7ea80: 702f5242 2d323030 372e322f 6275696c p/RB-2007.2/buil 7ea90: 642f6174 6865726f 732f7072 6f642f4d d/atheros/prod/M 7eaa0: 61677069 655f5030 2f383337 34332f78 agpie_P0/83743/x 7eab0: 6275696c 642f5461 72676574 2d6c6962 build/Target-lib 7eac0: 732f6e65 776c6962 2f787465 6e73612d s/newlib/xtensa- 7ead0: 656c662f 6e65776c 69622f6c 6962632f elf/newlib/libc/ 7eae0: 6d616368 696e652f 7874656e 73610047 machine/xtensa.G 7eaf0: 4e552041 5320322e 31362e31 00800100 NU AS 2.16.1.... 7eb00: 000b7d00 02000030 0d04012f 686f6d65 ..}....0.../home 7eb10: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 7eb20: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ 7eb30: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li 7eb40: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib 7eb50: 2f6c6962 632f7374 72696e67 2f6d656d /libc/string/mem 7eb60: 6d6f7665 2e63002f 70726f6a 6563742f move.c./project/ 7eb70: 63757374 2f67656e 6170702f 52422d32 cust/genapp/RB-2 7eb80: 3030372e 322f6275 696c642f 61746865 007.2/build/athe 7eb90: 726f732f 70726f64 2f4d6167 7069655f ros/prod/Magpie_ 7eba0: 50302f38 33373433 2f786275 696c642f P0/83743/xbuild/ 7ebb0: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 7ebc0: 69622f78 74656e73 612d656c 662f6e65 ib/xtensa-elf/ne 7ebd0: 776c6962 2f6c6962 632f7374 72696e67 wlib/libc/string 7ebe0: 0078742d 78636320 666f7220 372e312e .xt-xcc for 7.1. 7ebf0: 30202d4f 50543a61 6c69676e 5f696e73 0 -OPT:align_ins 7ec00: 74727563 74696f6e 733d3332 202d4f32 tructions=32 -O2 7ec10: 202d6733 00010000 01d33102 756e7369 -g3......1.unsi 7ec20: 676e6564 20696e74 00070403 77696e74 gned int....wint 7ec30: 5f740000 00011c02 756e7369 676e6564 _t......unsigned 7ec40: 20636861 72000701 04000001 38040000 char.......8... 7ec50: 01560503 00060400 00017a07 5f5f7763 .V........z.__wc 7ec60: 68000000 012c0223 00075f5f 77636862 h....,.#..__wchb 7ec70: 00000001 49022300 0002696e 74000504 ....I.#...int... 7ec80: 08080000 01a8075f 5f636f75 6e740000 .......__count.. 7ec90: 00017a02 2300075f 5f76616c 75650000 ..z.#..__value.. 7eca0: 00015602 23040009 5f426967 696e7400 ..V.#..._Bigint. 7ecb0: 18000002 06075f6e 65787400 00000206 ......_next..... 7ecc0: 02230007 5f6b0000 00017a02 2304075f .#.._k....z.#.._ 7ecd0: 6d617877 64730000 00017a02 2308075f maxwds....z.#.._ 7ece0: 7369676e 00000001 7a02230c 075f7764 sign....z.#.._wd 7ecf0: 73000000 017a0223 10075f78 00000002 s....z.#.._x.... 7ed00: 2f022314 000a0000 01a80400 026c6f6e /.#..........lon 7ed10: 6720756e 7369676e 65642069 6e740007 g unsigned int.. 7ed20: 04035f5f 554c6f6e 67000000 020d0400 ..__ULong....... 7ed30: 00022204 0000023c 0500000a 000001a8 .."....<........ 7ed40: 0400095f 5f746d00 24000002 ef075f5f ...__tm.$.....__ 7ed50: 746d5f73 65630000 00017a02 2300075f tm_sec....z.#.._ 7ed60: 5f746d5f 6d696e00 0000017a 02230407 _tm_min....z.#.. 7ed70: 5f5f746d 5f686f75 72000000 017a0223 __tm_hour....z.# 7ed80: 08075f5f 746d5f6d 64617900 0000017a ..__tm_mday....z 7ed90: 02230c07 5f5f746d 5f6d6f6e 00000001 .#..__tm_mon.... 7eda0: 7a022310 075f5f74 6d5f7965 61720000 z.#..__tm_year.. 7edb0: 00017a02 2314075f 5f746d5f 77646179 ..z.#..__tm_wday 7edc0: 00000001 7a022318 075f5f74 6d5f7964 ....z.#..__tm_yd 7edd0: 61790000 00017a02 231c075f 5f746d5f ay....z.#..__tm_ 7ede0: 69736473 74000000 017a0223 20000b04 isdst....z.# ... 7edf0: 00040000 02ef8000 0002ff05 1f000c5f ..............._ 7ee00: 6f6e5f65 7869745f 61726773 00010800 on_exit_args.... 7ee10: 00035d07 5f666e61 72677300 000002f2 ..]._fnargs..... 7ee20: 02230007 5f64736f 5f68616e 646c6500 .#.._dso_handle. 7ee30: 000002f2 03238001 075f666e 74797065 .....#..._fntype 7ee40: 73000000 02220323 8002075f 69735f63 s....".#..._is_c 7ee50: 78610000 00022203 23840200 0c5f6174 xa....".#...._at 7ee60: 65786974 00019000 0003ac07 5f6e6578 exit........_nex 7ee70: 74000000 03ac0223 00075f69 6e640000 t......#.._ind.. 7ee80: 00017a02 2304075f 666e7300 000003bc ..z.#.._fns..... 7ee90: 02230807 5f6f6e5f 65786974 5f617267 .#.._on_exit_arg 7eea0: 73000000 02ff0323 8801000a 0000035d s......#.......] 7eeb0: 04000d01 0a000003 b3040004 000003b5 ................ 7eec0: 80000003 c9051f00 0a000003 5d04000a ............]... 7eed0: 00000138 0400095f 5f736275 66000800 ...8...__sbuf... 7eee0: 00040107 5f626173 65000000 03d00223 ...._base......# 7eef0: 00075f73 697a6500 0000017a 02230400 .._size....z.#.. 7ef00: 0273686f 72742069 6e740005 02026368 .short int....ch 7ef10: 61720007 010a0000 040e0400 0e000001 ar.............. 7ef20: 7a010a00 00041d04 000f0000 040e0f00 z............... 7ef30: 00040e0a 0000042f 04000e00 00017a01 ......./......z. 7ef40: 0a000004 3b040002 6c6f6e67 20696e74 ....;...long int 7ef50: 00050403 5f66706f 735f7400 00000448 ...._fpos_t....H 7ef60: 0e000004 54010a00 00046104 000e0000 ....T.....a..... 7ef70: 017a010a 0000046e 04000400 00013803 .z.....n......8. 7ef80: 00000488 05020004 00000138 01000004 ...........8.... 7ef90: 95050000 0c5f7265 656e7400 04000000 ....._reent..... 7efa0: 061c075f 6572726e 6f000000 017a0223 ..._errno....z.# 7efb0: 00075f73 7464696e 00000007 d0022304 .._stdin......#. 7efc0: 075f7374 646f7574 00000007 d0022308 ._stdout......#. 7efd0: 075f7374 64657272 00000007 d002230c ._stderr......#. 7efe0: 075f696e 63000000 017a0223 10075f65 ._inc....z.#.._e 7eff0: 6d657267 656e6379 0000000a 7f022314 mergency......#. 7f000: 075f6375 7272656e 745f6361 7465676f ._current_catego 7f010: 72790000 00017a02 2330075f 63757272 ry....z.#0._curr 7f020: 656e745f 6c6f6361 6c650000 00043402 ent_locale....4. 7f030: 2334075f 5f736469 64696e69 74000000 #4.__sdidinit... 7f040: 017a0223 38075f5f 636c6561 6e757000 .z.#8.__cleanup. 7f050: 00000a8e 02233c07 5f726573 756c7400 .....#<._result. 7f060: 0000023c 02234007 5f726573 756c745f ...<.#@._result_ 7f070: 6b000000 017a0223 44075f70 35730000 k....z.#D._p5s.. 7f080: 00023c02 2348075f 66726565 6c697374 ..<.#H._freelist 7f090: 0000000a 9502234c 075f6376 746c656e ......#L._cvtlen 7f0a0: 00000001 7a022350 075f6376 74627566 ....z.#P._cvtbuf 7f0b0: 00000004 16022354 075f6e65 77000000 ......#T._new... 7f0c0: 0a590223 58075f61 74657869 74000000 .Y.#X._atexit... 7f0d0: 03c90323 c802075f 61746578 69743000 ...#..._atexit0. 7f0e0: 0000035d 0323cc02 075f7369 675f6675 ...].#..._sig_fu 7f0f0: 6e630000 000aa503 23dc0507 5f5f7367 nc......#...__sg 7f100: 6c756500 00000785 0323e005 075f5f73 lue......#...__s 7f110: 66000000 0aac0323 ec05000a 00000495 f......#........ 7f120: 0400035f 4c4f434b 5f524543 55525349 ..._LOCK_RECURSI 7f130: 56455f54 00000001 7a035f66 6c6f636b VE_T....z._flock 7f140: 5f740000 00062309 5f5f7346 494c4500 _t....#.__sFILE. 7f150: 5c000007 85075f70 00000003 d0022300 \....._p......#. 7f160: 075f7200 0000017a 02230407 5f770000 ._r....z.#.._w.. 7f170: 00017a02 2308075f 666c6167 73000000 ..z.#.._flags... 7f180: 04010223 0c075f66 696c6500 00000401 ...#.._file..... 7f190: 02230e07 5f626600 000003d7 02231007 .#.._bf......#.. 7f1a0: 5f6c6266 73697a65 00000001 7a022318 _lbfsize....z.#. 7f1b0: 075f636f 6f6b6965 00000002 ef02231c ._cookie......#. 7f1c0: 075f7265 61640000 00042302 2320075f ._read....#.# ._ 7f1d0: 77726974 65000000 04410223 24075f73 write....A.#$._s 7f1e0: 65656b00 00000467 02232807 5f636c6f eek....g.#(._clo 7f1f0: 73650000 00047402 232c075f 75620000 se....t.#,._ub.. 7f200: 0003d702 2330075f 75700000 0003d002 ....#0._up...... 7f210: 2338075f 75720000 00017a02 233c075f #8._ur....z.#<._ 7f220: 75627566 00000004 7b022340 075f6e62 ubuf....{.#@._nb 7f230: 75660000 00048802 2343075f 6c620000 uf......#C._lb.. 7f240: 0003d702 2344075f 626c6b73 697a6500 ....#D._blksize. 7f250: 0000017a 02234c07 5f6f6666 73657400 ...z.#L._offset. 7f260: 0000017a 02235007 5f646174 61000000 ...z.#P._data... 7f270: 061c0223 54075f6c 6f636b00 0000063a ...#T._lock....: 7f280: 02235800 095f676c 7565000c 000007bd .#X.._glue...... 7f290: 075f6e65 78740000 0007bd02 2300075f ._next......#.._ 7f2a0: 6e696f62 73000000 017a0223 04075f69 niobs....z.#.._i 7f2b0: 6f627300 000007d0 02230800 0a000007 obs......#...... 7f2c0: 85040003 5f5f4649 4c450000 0006480a ....__FILE....H. 7f2d0: 000007c4 04000a00 00078504 00027368 ..............sh 7f2e0: 6f727420 756e7369 676e6564 20696e74 ort unsigned int 7f2f0: 00070204 000007de 06000008 01050200 ................ 7f300: 095f7261 6e643438 000e0000 0839075f ._rand48.....9._ 7f310: 73656564 00000007 f4022300 075f6d75 seed......#.._mu 7f320: 6c740000 0007f402 2306075f 61646400 lt......#.._add. 7f330: 000007de 02230c00 04000004 0e1a0000 .....#.......... 7f340: 08460519 00026c6f 6e67206c 6f6e6720 .F....long long 7f350: 756e7369 676e6564 20696e74 00070803 unsigned int.... 7f360: 5f6d6273 74617465 5f740000 00018104 _mbstate_t...... 7f370: 0000040e 08000008 7d050700 04000004 ........}....... 7f380: 0e180000 088a0517 0008d000 000a1807 ................ 7f390: 5f756e75 7365645f 72616e64 00000001 _unused_rand.... 7f3a0: 1c022300 075f7374 72746f6b 5f6c6173 ..#.._strtok_las 7f3b0: 74000000 04160223 04075f61 73637469 t......#.._ascti 7f3c0: 6d655f62 75660000 00083902 2308075f me_buf....9.#.._ 7f3d0: 6c6f6361 6c74696d 655f6275 66000000 localtime_buf... 7f3e0: 02430223 24075f67 616d6d61 5f736967 .C.#$._gamma_sig 7f3f0: 6e67616d 00000001 7a022348 075f7261 ngam....z.#H._ra 7f400: 6e645f6e 65787400 00000846 02235007 nd_next....F.#P. 7f410: 5f723438 00000008 01022358 075f6d62 _r48......#X._mb 7f420: 6c656e5f 73746174 65000000 08600223 len_state....`.# 7f430: 68075f6d 62746f77 635f7374 61746500 h._mbtowc_state. 7f440: 00000860 02237007 5f776374 6f6d625f ...`.#p._wctomb_ 7f450: 73746174 65000000 08600223 78075f6c state....`.#x._l 7f460: 3634615f 62756600 00000870 03238001 64a_buf....p.#.. 7f470: 075f7369 676e616c 5f627566 00000008 ._signal_buf.... 7f480: 7d032388 01075f67 65746461 74655f65 }.#..._getdate_e 7f490: 72720000 00017a03 23a00107 5f6d6272 rr....z.#..._mbr 7f4a0: 6c656e5f 73746174 65000000 08600323 len_state....`.# 7f4b0: a401075f 6d627274 6f77635f 73746174 ..._mbrtowc_stat 7f4c0: 65000000 08600323 ac01075f 6d627372 e....`.#..._mbsr 7f4d0: 746f7763 735f7374 61746500 00000860 towcs_state....` 7f4e0: 0323b401 075f7763 72746f6d 625f7374 .#..._wcrtomb_st 7f4f0: 61746500 00000860 0323bc01 075f7763 ate....`.#..._wc 7f500: 7372746f 6d62735f 73746174 65000000 srtombs_state... 7f510: 08600323 c4010004 000003d0 7800000a .`.#........x... 7f520: 25051d00 04000001 1c780000 0a32051d %........x...2.. 7f530: 0008f000 000a5907 5f6e6578 74660000 ......Y._nextf.. 7f540: 000a1802 2300075f 6e6d616c 6c6f6300 ....#.._nmalloc. 7f550: 00000a25 02237800 06f00000 0a7f075f ...%.#x........_ 7f560: 7265656e 74000000 088a0223 00075f75 reent......#.._u 7f570: 6e757365 64000000 0a320223 00000400 nused....2.#.... 7f580: 00040e19 00000a8c 0518000d 010a0000 ................ 7f590: 0a8c0400 0a000002 3c04000d 010a0000 ........<....... 7f5a0: 0a9c0400 0a00000a 9e040010 000007c4 ................ 7f5b0: 01140000 0aba0502 0011110a 00000abb ................ 7f5c0: 04000373 697a655f 74000000 011c0e00 ...size_t....... 7f5d0: 0002ef01 0a000004 4804000f 00000448 ........H......H 7f5e0: 0f000004 480a0000 0ae10400 12013e6d ....H.........>m 7f5f0: 656d6d6f 76650000 0002ef01 01039201 emmove.......... 7f600: 20029000 008e6c5c 008e6e1e 13013e64 .....l\..n...>d 7f610: 73745f76 6f696400 000002ef 01521301 st_void......R.. 7f620: 3e737263 5f766f69 64000000 0abc0153 >src_void......S 7f630: 13013e6c 656e6774 68000000 0ac30154 ..>length......T 7f640: 14647374 00000004 16147372 63000000 .dst......src... 7f650: 0434146c 656e0000 00017a14 616c6967 .4.len....z.alig 7f660: 6e65645f 64737400 00000ad5 14616c69 ned_dst......ali 7f670: 676e6564 5f737263 0000000a e6000000 gned_src........ 7f680: 00000109 00020000 30e40401 0001d65e ........0......^ 7f690: 008e6e20 008e6e94 2f686f6d 652f6375 ..n ..n./home/cu 7f6a0: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 7f6b0: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte 7f6c0: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ 7f6d0: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li 7f6e0: 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens 7f6f0: 612f6d65 6d736574 2e53002f 70726f6a a/memset.S./proj 7f700: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ 7f710: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ 7f720: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag 7f730: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu 7f740: 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ 7f750: 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el 7f760: 662f6e65 776c6962 2f6c6962 632f6d61 f/newlib/libc/ma 7f770: 6368696e 652f7874 656e7361 00474e55 chine/xtensa.GNU 7f780: 20415320 322e3136 2e310080 01000001 AS 2.16.1...... 7f790: 09000200 0030f804 010001d7 ea008e65 .....0.........e 7f7a0: 60008e66 782f686f 6d652f63 7573746f `..fx/home/custo 7f7b0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 7f7c0: 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa 7f7d0: 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new 7f7e0: 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ 7f7f0: 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s 7f800: 7472636d 702e5300 2f70726f 6a656374 trcmp.S./project 7f810: 2f637573 742f6765 6e617070 2f52422d /cust/genapp/RB- 7f820: 32303037 2e322f62 75696c64 2f617468 2007.2/build/ath 7f830: 65726f73 2f70726f 642f4d61 67706965 eros/prod/Magpie 7f840: 5f50302f 38333734 332f7862 75696c64 _P0/83743/xbuild 7f850: 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new 7f860: 6c69622f 7874656e 73612d65 6c662f6e lib/xtensa-elf/n 7f870: 65776c69 622f6c69 62632f6d 61636869 ewlib/libc/machi 7f880: 6e652f78 74656e73 6100474e 55204153 ne/xtensa.GNU AS 7f890: 20322e31 362e3100 80010000 01090002 2.16.1......... 7f8a0: 0000310c 04010001 dacc008e 6678008e ..1.........fx.. 7f8b0: 67102f68 6f6d652f 63757374 6f6d6572 g./home/customer 7f8c0: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 7f8d0: 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta 7f8e0: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib 7f8f0: 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac 7f900: 68696e65 2f787465 6e73612f 73747263 hine/xtensa/strc 7f910: 70792e53 002f7072 6f6a6563 742f6375 py.S./project/cu 7f920: 73742f67 656e6170 702f5242 2d323030 st/genapp/RB-200 7f930: 372e322f 6275696c 642f6174 6865726f 7.2/build/athero 7f940: 732f7072 6f642f4d 61677069 655f5030 s/prod/Magpie_P0 7f950: 2f383337 34332f78 6275696c 642f5461 /83743/xbuild/Ta 7f960: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib 7f970: 2f787465 6e73612d 656c662f 6e65776c /xtensa-elf/newl 7f980: 69622f6c 6962632f 6d616368 696e652f ib/libc/machine/ 7f990: 7874656e 73610047 4e552041 5320322e xtensa.GNU AS 2. 7f9a0: 31362e31 00800100 00010900 02000031 16.1...........1 7f9b0: 20040100 01dca600 8e671000 8e67732f ........g...gs/ 7f9c0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr 7f9d0: 65652f52 422d3230 30372e32 2f703472 ee/RB-2007.2/p4r 7f9e0: 6f6f742f 5874656e 73612f54 61726765 oot/Xtensa/Targe 7f9f0: 742d6c69 62732f6e 65776c69 622f6e65 t-libs/newlib/ne 7fa00: 776c6962 2f6c6962 632f6d61 6368696e wlib/libc/machin 7fa10: 652f7874 656e7361 2f737472 6c656e2e e/xtensa/strlen. 7fa20: 53002f70 726f6a65 63742f63 7573742f S./project/cust/ 7fa30: 67656e61 70702f52 422d3230 30372e32 genapp/RB-2007.2 7fa40: 2f627569 6c642f61 74686572 6f732f70 /build/atheros/p 7fa50: 726f642f 4d616770 69655f50 302f3833 rod/Magpie_P0/83 7fa60: 3734332f 78627569 6c642f54 61726765 743/xbuild/Targe 7fa70: 742d6c69 62732f6e 65776c69 622f7874 t-libs/newlib/xt 7fa80: 656e7361 2d656c66 2f6e6577 6c69622f ensa-elf/newlib/ 7fa90: 6c696263 2f6d6163 68696e65 2f787465 libc/machine/xte 7faa0: 6e736100 474e5520 41532032 2e31362e nsa.GNU AS 2.16. 7fab0: 31008001 00000b25 00020000 31340401 1......%....14.. 7fac0: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t 7fad0: 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 7fae0: 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ 7faf0: 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n 7fb00: 65776c69 622f6c69 62632f73 7472696e ewlib/libc/strin 7fb10: 672f7374 726e636d 702e6300 2f70726f g/strncmp.c./pro 7fb20: 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp 7fb30: 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build 7fb40: 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma 7fb50: 67706965 5f50302f 38333734 332f7862 gpie_P0/83743/xb 7fb60: 75696c64 2f546172 6765742d 6c696273 uild/Target-libs 7fb70: 2f6e6577 6c69622f 7874656e 73612d65 /newlib/xtensa-e 7fb80: 6c662f6e 65776c69 622f6c69 62632f73 lf/newlib/libc/s 7fb90: 7472696e 67007874 2d786363 20666f72 tring.xt-xcc for 7fba0: 20372e31 2e30202d 4f50543a 616c6967 7.1.0 -OPT:alig 7fbb0: 6e5f696e 73747275 6374696f 6e733d33 n_instructions=3 7fbc0: 32202d4f 32202d67 33000100 0001de0e 2 -O2 -g3....... 7fbd0: 02756e73 69676e65 6420696e 74000704 .unsigned int... 7fbe0: 0377696e 745f7400 0000011c 02756e73 .wint_t......uns 7fbf0: 69676e65 64206368 61720007 01040000 igned char...... 7fc00: 01380400 00015605 03000604 0000017a .8....V........z 7fc10: 075f5f77 63680000 00012c02 2300075f .__wch....,.#.._ 7fc20: 5f776368 62000000 01490223 00000269 _wchb....I.#...i 7fc30: 6e740005 04080800 0001a807 5f5f636f nt..........__co 7fc40: 756e7400 0000017a 02230007 5f5f7661 unt....z.#..__va 7fc50: 6c756500 00000156 02230400 095f4269 lue....V.#..._Bi 7fc60: 67696e74 00180000 0206075f 6e657874 gint......._next 7fc70: 00000002 06022300 075f6b00 0000017a ......#.._k....z 7fc80: 02230407 5f6d6178 77647300 0000017a .#.._maxwds....z 7fc90: 02230807 5f736967 6e000000 017a0223 .#.._sign....z.# 7fca0: 0c075f77 64730000 00017a02 2310075f .._wds....z.#.._ 7fcb0: 78000000 022f0223 14000a00 0001a804 x..../.#........ 7fcc0: 00026c6f 6e672075 6e736967 6e656420 ..long unsigned 7fcd0: 696e7400 0704035f 5f554c6f 6e670000 int....__ULong.. 7fce0: 00020d04 00000222 04000002 3c050000 ......."....<... 7fcf0: 0a000001 a8040009 5f5f746d 00240000 ........__tm.$.. 7fd00: 02ef075f 5f746d5f 73656300 0000017a ...__tm_sec....z 7fd10: 02230007 5f5f746d 5f6d696e 00000001 .#..__tm_min.... 7fd20: 7a022304 075f5f74 6d5f686f 75720000 z.#..__tm_hour.. 7fd30: 00017a02 2308075f 5f746d5f 6d646179 ..z.#..__tm_mday 7fd40: 00000001 7a02230c 075f5f74 6d5f6d6f ....z.#..__tm_mo 7fd50: 6e000000 017a0223 10075f5f 746d5f79 n....z.#..__tm_y 7fd60: 65617200 0000017a 02231407 5f5f746d ear....z.#..__tm 7fd70: 5f776461 79000000 017a0223 18075f5f _wday....z.#..__ 7fd80: 746d5f79 64617900 0000017a 02231c07 tm_yday....z.#.. 7fd90: 5f5f746d 5f697364 73740000 00017a02 __tm_isdst....z. 7fda0: 2320000b 04000400 0002ef80 000002ff # .............. 7fdb0: 051f000c 5f6f6e5f 65786974 5f617267 ...._on_exit_arg 7fdc0: 73000108 0000035d 075f666e 61726773 s......]._fnargs 7fdd0: 00000002 f2022300 075f6473 6f5f6861 ......#.._dso_ha 7fde0: 6e646c65 00000002 f2032380 01075f66 ndle......#..._f 7fdf0: 6e747970 65730000 00022203 23800207 ntypes....".#... 7fe00: 5f69735f 63786100 00000222 03238402 _is_cxa....".#.. 7fe10: 000c5f61 74657869 74000190 000003ac .._atexit....... 7fe20: 075f6e65 78740000 0003ac02 2300075f ._next......#.._ 7fe30: 696e6400 0000017a 02230407 5f666e73 ind....z.#.._fns 7fe40: 00000003 bc022308 075f6f6e 5f657869 ......#.._on_exi 7fe50: 745f6172 67730000 0002ff03 23880100 t_args......#... 7fe60: 0a000003 5d04000d 010a0000 03b30400 ....]........... 7fe70: 04000003 b5800000 03c9051f 000a0000 ................ 7fe80: 035d0400 0a000001 38040009 5f5f7362 .]......8...__sb 7fe90: 75660008 00000401 075f6261 73650000 uf......._base.. 7fea0: 0003d002 2300075f 73697a65 00000001 ....#.._size.... 7feb0: 7a022304 00027368 6f727420 696e7400 z.#...short int. 7fec0: 05020263 68617200 07010a00 00040e04 ...char......... 7fed0: 000e0000 017a010a 0000041d 04000f00 .....z.......... 7fee0: 00040e0f 0000040e 0a000004 2f04000e ............/... 7fef0: 0000017a 010a0000 043b0400 026c6f6e ...z.....;...lon 7ff00: 6720696e 74000504 035f6670 6f735f74 g int...._fpos_t 7ff10: 00000004 480e0000 0454010a 00000461 ....H....T.....a 7ff20: 04000e00 00017a01 0a000004 6e040004 ......z.....n... 7ff30: 00000138 03000004 88050200 04000001 ...8............ 7ff40: 38010000 04950500 000c5f72 65656e74 8........._reent 7ff50: 00040000 00061c07 5f657272 6e6f0000 ........_errno.. 7ff60: 00017a02 2300075f 73746469 6e000000 ..z.#.._stdin... 7ff70: 07d00223 04075f73 74646f75 74000000 ...#.._stdout... 7ff80: 07d00223 08075f73 74646572 72000000 ...#.._stderr... 7ff90: 07d00223 0c075f69 6e630000 00017a02 ...#.._inc....z. 7ffa0: 2310075f 656d6572 67656e63 79000000 #.._emergency... 7ffb0: 0a7f0223 14075f63 75727265 6e745f63 ...#.._current_c 7ffc0: 61746567 6f727900 0000017a 02233007 ategory....z.#0. 7ffd0: 5f637572 72656e74 5f6c6f63 616c6500 _current_locale. 7ffe0: 00000434 02233407 5f5f7364 6964696e ...4.#4.__sdidin 7fff0: 69740000 00017a02 2338075f 5f636c65 it....z.#8.__cle 80000: 616e7570 0000000a 8e02233c 075f7265 anup......#<._re 80010: 73756c74 00000002 3c022340 075f7265 sult....<.#@._re 80020: 73756c74 5f6b0000 00017a02 2344075f sult_k....z.#D._ 80030: 70357300 0000023c 02234807 5f667265 p5s....<.#H._fre 80040: 656c6973 74000000 0a950223 4c075f63 elist......#L._c 80050: 76746c65 6e000000 017a0223 50075f63 vtlen....z.#P._c 80060: 76746275 66000000 04160223 54075f6e vtbuf......#T._n 80070: 65770000 000a5902 2358075f 61746578 ew....Y.#X._atex 80080: 69740000 0003c903 23c80207 5f617465 it......#..._ate 80090: 78697430 00000003 5d0323cc 02075f73 xit0....].#..._s 800a0: 69675f66 756e6300 00000aa5 0323dc05 ig_func......#.. 800b0: 075f5f73 676c7565 00000007 850323e0 .__sglue......#. 800c0: 05075f5f 73660000 000aac03 23ec0500 ..__sf......#... 800d0: 0a000004 95040003 5f4c4f43 4b5f5245 ........_LOCK_RE 800e0: 43555253 4956455f 54000000 017a035f CURSIVE_T....z._ 800f0: 666c6f63 6b5f7400 00000623 095f5f73 flock_t....#.__s 80100: 46494c45 005c0000 0785075f 70000000 FILE.\....._p... 80110: 03d00223 00075f72 00000001 7a022304 ...#.._r....z.#. 80120: 075f7700 0000017a 02230807 5f666c61 ._w....z.#.._fla 80130: 67730000 00040102 230c075f 66696c65 gs......#.._file 80140: 00000004 0102230e 075f6266 00000003 ......#.._bf.... 80150: d7022310 075f6c62 6673697a 65000000 ..#.._lbfsize... 80160: 017a0223 18075f63 6f6f6b69 65000000 .z.#.._cookie... 80170: 02ef0223 1c075f72 65616400 00000423 ...#.._read....# 80180: 02232007 5f777269 74650000 00044102 .# ._write....A. 80190: 2324075f 7365656b 00000004 67022328 #$._seek....g.#( 801a0: 075f636c 6f736500 00000474 02232c07 ._close....t.#,. 801b0: 5f756200 000003d7 02233007 5f757000 _ub......#0._up. 801c0: 000003d0 02233807 5f757200 0000017a .....#8._ur....z 801d0: 02233c07 5f756275 66000000 047b0223 .#<._ubuf....{.# 801e0: 40075f6e 62756600 00000488 02234307 @._nbuf......#C. 801f0: 5f6c6200 000003d7 02234407 5f626c6b _lb......#D._blk 80200: 73697a65 00000001 7a02234c 075f6f66 size....z.#L._of 80210: 66736574 00000001 7a022350 075f6461 fset....z.#P._da 80220: 74610000 00061c02 2354075f 6c6f636b ta......#T._lock 80230: 00000006 3a022358 00095f67 6c756500 ....:.#X.._glue. 80240: 0c000007 bd075f6e 65787400 000007bd ......_next..... 80250: 02230007 5f6e696f 62730000 00017a02 .#.._niobs....z. 80260: 2304075f 696f6273 00000007 d0022308 #.._iobs......#. 80270: 000a0000 07850400 035f5f46 494c4500 .........__FILE. 80280: 00000648 0a000007 c404000a 00000785 ...H............ 80290: 04000273 686f7274 20756e73 69676e65 ...short unsigne 802a0: 6420696e 74000702 04000007 de060000 d int........... 802b0: 08010502 00095f72 616e6434 38000e00 ......_rand48... 802c0: 00083907 5f736565 64000000 07f40223 ..9._seed......# 802d0: 00075f6d 756c7400 000007f4 02230607 .._mult......#.. 802e0: 5f616464 00000007 de02230c 00040000 _add......#..... 802f0: 040e1a00 00084605 1900026c 6f6e6720 ......F....long 80300: 6c6f6e67 20756e73 69676e65 6420696e long unsigned in 80310: 74000708 035f6d62 73746174 655f7400 t...._mbstate_t. 80320: 00000181 04000004 0e080000 087d0507 .............}.. 80330: 00040000 040e1800 00088a05 170008d0 ................ 80340: 00000a18 075f756e 75736564 5f72616e ....._unused_ran 80350: 64000000 011c0223 00075f73 7472746f d......#.._strto 80360: 6b5f6c61 73740000 00041602 2304075f k_last......#.._ 80370: 61736374 696d655f 62756600 00000839 asctime_buf....9 80380: 02230807 5f6c6f63 616c7469 6d655f62 .#.._localtime_b 80390: 75660000 00024302 2324075f 67616d6d uf....C.#$._gamm 803a0: 615f7369 676e6761 6d000000 017a0223 a_signgam....z.# 803b0: 48075f72 616e645f 6e657874 00000008 H._rand_next.... 803c0: 46022350 075f7234 38000000 08010223 F.#P._r48......# 803d0: 58075f6d 626c656e 5f737461 74650000 X._mblen_state.. 803e0: 00086002 2368075f 6d62746f 77635f73 ..`.#h._mbtowc_s 803f0: 74617465 00000008 60022370 075f7763 tate....`.#p._wc 80400: 746f6d62 5f737461 74650000 00086002 tomb_state....`. 80410: 2378075f 6c363461 5f627566 00000008 #x._l64a_buf.... 80420: 70032380 01075f73 69676e61 6c5f6275 p.#..._signal_bu 80430: 66000000 087d0323 8801075f 67657464 f....}.#..._getd 80440: 6174655f 65727200 0000017a 0323a001 ate_err....z.#.. 80450: 075f6d62 726c656e 5f737461 74650000 ._mbrlen_state.. 80460: 00086003 23a40107 5f6d6272 746f7763 ..`.#..._mbrtowc 80470: 5f737461 74650000 00086003 23ac0107 _state....`.#... 80480: 5f6d6273 72746f77 63735f73 74617465 _mbsrtowcs_state 80490: 00000008 600323b4 01075f77 6372746f ....`.#..._wcrto 804a0: 6d625f73 74617465 00000008 600323bc mb_state....`.#. 804b0: 01075f77 63737274 6f6d6273 5f737461 .._wcsrtombs_sta 804c0: 74650000 00086003 23c40100 04000003 te....`.#....... 804d0: d0780000 0a25051d 00040000 011c7800 .x...%........x. 804e0: 000a3205 1d0008f0 00000a59 075f6e65 ..2........Y._ne 804f0: 78746600 00000a18 02230007 5f6e6d61 xtf......#.._nma 80500: 6c6c6f63 0000000a 25022378 0006f000 lloc....%.#x.... 80510: 000a7f07 5f726565 6e740000 00088a02 ...._reent...... 80520: 2300075f 756e7573 65640000 000a3202 #.._unused....2. 80530: 23000004 0000040e 1900000a 8c051800 #............... 80540: 0d010a00 000a8c04 000a0000 023c0400 .............<.. 80550: 0d010a00 000a9c04 000a0000 0a9e0400 ................ 80560: 10000007 c4011400 000aba05 02000373 ...............s 80570: 697a655f 74000000 011c0e00 00017a01 ize_t.........z. 80580: 0a000002 0d040011 01427374 726e636d .........Bstrncm 80590: 70000000 017a0101 03920120 02900000 p....z..... .... 805a0: 8e677400 8e680212 01427331 00000004 .gt..h...Bs1.... 805b0: 34015212 01427332 00000004 34015312 4.R..Bs2....4.S. 805c0: 01426e00 00000aba 01541361 31000000 .Bn......T.a1... 805d0: 0acc1361 32000000 0acc0000 00000001 ...a2........... 805e0: 0a000200 00320604 010001e1 30008e68 .....2......0..h 805f0: 04008e69 1f2f686f 6d652f63 7573746f ...i./home/custo 80600: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 80610: 2e322f70 34726f6f 742f5874 656e7361 .2/p4root/Xtensa 80620: 2f546172 6765742d 6c696273 2f6e6577 /Target-libs/new 80630: 6c69622f 6e65776c 69622f6c 6962632f lib/newlib/libc/ 80640: 6d616368 696e652f 7874656e 73612f73 machine/xtensa/s 80650: 74726e63 70792e53 002f7072 6f6a6563 trncpy.S./projec 80660: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 80670: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 80680: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 80690: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 806a0: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne 806b0: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ 806c0: 6e65776c 69622f6c 6962632f 6d616368 newlib/libc/mach 806d0: 696e652f 7874656e 73610047 4e552041 ine/xtensa.GNU A 806e0: 5320322e 31362e31 00800100 S 2.16.1... Disassembly of section .debug_abbrev: 00000000 <.debug_abbrev>: 0: 01110010 06110112 0103081b 08250813 .............%.. 10: 05000000 01110010 06110112 0103081b ................ 20: 08250813 05000000 01110010 06110112 .%.............. 30: 0103081b 08250813 05000000 01110010 .....%.......... 40: 06110112 0103081b 08250813 05000000 .........%...... 50: 01110010 06110112 0103081b 08250813 .............%.. 60: 05000000 01110010 06110112 0103081b ................ 70: 08250813 05000000 01110010 06110112 .%.............. 80: 0103081b 08250813 05000000 01110010 .....%.......... 90: 06110112 0103081b 08250813 05000000 .........%...... a0: 01110010 06110112 0103081b 08250813 .............%.. b0: 05000000 01110010 06110112 0103081b ................ c0: 08250813 05000000 01110010 06110112 .%.............. d0: 0103081b 08250813 05000000 01110010 .....%.......... e0: 06110112 0103081b 08250813 05000000 .........%...... f0: 01110010 06110112 0103081b 08250813 .............%.. 100: 05000000 01110103 081b0825 08130b42 ...........%...B 110: 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... 120: 03010149 130b0b01 13000004 21002f0b ...I........!./. 130: 00000513 010b0b01 13000006 0d000308 ................ 140: 4913380a 00000715 00270c00 00081600 I.8......'...... 150: 03084913 0000090f 0049130b 0b330b00 ..I......I...3.. 160: 000a0f00 0b0b330b 00000b13 0103080b ......3......... 170: 0b011300 000c1500 4913270c 00000d26 ........I.'....& 180: 00491300 000e2e01 3a0b3b0b 03084913 .I......:.;...I. 190: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 1a0: 0f05003a 0b3b0b03 08491302 0a000010 ...:.;...I...... 1b0: 34000308 49130000 112e013a 0b3b0b03 4...I......:.;.. 1c0: 0849133f 0c270c40 0a2a0a11 01120100 .I.?.'.@.*...... 1d0: 00000111 00100611 01120103 081b0825 ...............% 1e0: 08130500 00000111 00100611 01120103 ................ 1f0: 081b0825 08130500 00000111 00100611 ...%............ 200: 01120103 081b0825 08130500 00000111 .......%........ 210: 00100611 01120103 081b0825 08130500 ...........%.... 220: 00000111 00100611 01120103 081b0825 ...............% 230: 08130500 00000111 0103081b 08250813 .............%.. 240: 0b420b10 06000002 1500270c 0000030f .B........'..... 250: 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. 260: 0b0b0000 05260049 13000006 15004913 .....&.I......I. 270: 270c0000 07130103 080b0b01 13000008 '............... 280: 0d000308 4913380a 00000916 00030849 ....I.8........I 290: 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. 2a0: 000c0401 03080b0b 01130000 0d280003 .............(.. 2b0: 081c0b00 000e0101 49130b0b 01130000 ........I....... 2c0: 0f21002f 0b000010 17010b0b 01130000 .!./............ 2d0: 1113010b 0b011300 00120d00 4913380a ............I.8. 2e0: 00001304 010b0b01 13000014 0d000308 ................ 2f0: 49130b0b 0c0b0d0b 380a0000 15010149 I.......8......I 300: 130b0501 13000016 13010308 0b050113 ................ 310: 00001717 010b0501 13000018 28000308 ............(... 320: 1c060000 19010149 133c0c01 1300001a .......I.<...... 330: 21000000 1b340003 08491302 0a3f0c00 !....4...I...?.. 340: 001c3500 49130000 1d2e013a 0b3b0b03 ..5.I......:.;.. 350: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ 360: 001e3400 03084913 020a0000 1f340003 ..4...I......4.. 370: 08491300 00200500 3a0b3b0b 03084913 .I... ..:.;...I. 380: 020a0000 212e013a 0b3b0b03 08491327 ....!..:.;...I.' 390: 0c400a2a 0a110112 01011300 00222e01 .@.*.........".. 3a0: 3a0b3b0b 03084913 3f0c270c 400a2a0a :.;...I.?.'.@.*. 3b0: 11011201 01130000 232e013a 0b3b0503 ........#..:.;.. 3c0: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ 3d0: 00240500 3a0b3b05 03084913 020a0000 .$..:.;...I..... 3e0: 252e013a 0b3b0503 08270c40 0a2a0a11 %..:.;...'.@.*.. 3f0: 01120101 13000026 2e013a0b 3b050308 .......&..:.;... 400: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 410: 0000272e 003a0b3b 0503083f 0c400a2a ..'..:.;...?.@.* 420: 0a110112 01000028 2e003a0b 3b050308 .......(..:.;... 430: 49133f0c 270c400a 360b2a0a 11011201 I.?.'.@.6.*..... 440: 00000001 11010308 1b082508 130b420b ..........%...B. 450: 10060000 02150027 0c000003 0f004913 .......'......I. 460: 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... 470: 00052600 49130000 06150049 13270c00 ..&.I......I.'.. 480: 00071301 03080b0b 01130000 080d0003 ................ 490: 08491338 0a000009 16000308 49130000 .I.8........I... 4a0: 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... 4b0: 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... 4c0: 01130000 0e280003 081c0b00 000f0101 .....(.......... 4d0: 49130b0b 01130000 1021002f 0b000011 I........!./.... 4e0: 17010b0b 01130000 1213010b 0b011300 ................ 4f0: 00130d00 4913380a 00001404 010b0b01 ....I.8......... 500: 13000015 0d000308 49130b0b 0c0b0d0b ........I....... 510: 380a0000 16010149 130b0501 13000017 8......I........ 520: 13010308 0b050113 00001817 010b0501 ................ 530: 13000019 28000308 1c060000 1a340003 ....(........4.. 540: 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. 550: 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... 560: 01130000 1c05003a 0b3b0b03 08491302 .......:.;...I.. 570: 0a00001d 34000308 49130000 1e2e003a ....4...I......: 580: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 590: 0100001f 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. 5a0: 400a2a0a 11011201 00000001 11010308 @.*............. 5b0: 1b082508 130b420b 10060000 02150027 ..%...B........' 5c0: 0c000003 0f004913 0b0b330b 00000424 ......I...3....$ 5d0: 0003083e 0b0b0b00 00052600 49130000 ...>......&.I... 5e0: 06150049 13270c00 00071301 03080b0b ...I.'.......... 5f0: 01130000 080d0003 08491338 0a000009 .........I.8.... 600: 16000308 49130000 0a0f000b 0b330b00 ....I........3.. 610: 000b2600 00000c13 0003080b 0b3c0c00 ..&..........<.. 620: 000d0401 03080b0b 01130000 0e280003 .............(.. 630: 081c0b00 000f0101 49130b0b 01130000 ........I....... 640: 1021002f 0b000011 17010b0b 01130000 .!./............ 650: 1213010b 0b011300 00130d00 4913380a ............I.8. 660: 00001404 010b0b01 13000015 0d000308 ................ 670: 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I 680: 130b0501 13000017 13010308 0b050113 ................ 690: 00001817 010b0501 13000019 28000308 ............(... 6a0: 1c060000 1a340003 08491302 0a3f0c00 .....4...I...?.. 6b0: 001b0101 49133c0c 01130000 1c210000 ....I.<......!.. 6c0: 001d2e01 3a0b3b0b 03083f0c 270c400a ....:.;...?.'.@. 6d0: 2a0a1101 12010113 00001e05 003a0b3b *............:.; 6e0: 0b030849 13020a00 001f3400 03084913 ...I......4...I. 6f0: 0000202e 003a0b3b 0b030849 133f0c27 .. ..:.;...I.?.' 700: 0c400a2a 0a110112 01000021 2e003a0b .@.*.......!..:. 710: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... 720: 00002234 00030849 13020a00 00232e01 .."4...I.....#.. 730: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 740: 12010000 2405003a 0b3b0503 08491302 ....$..:.;...I.. 750: 0a000000 01110103 081b0825 08130b42 ...........%...B 760: 0b100600 00021500 270c0000 030f0049 ........'......I 770: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... 780: 00000526 00491300 00061500 4913270c ...&.I......I.'. 790: 00000713 0103080b 0b011300 00080d00 ................ 7a0: 03084913 380a0000 09160003 08491300 ..I.8........I.. 7b0: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... 7c0: 13000308 0b0b3c0c 00000d04 0103080b ......<......... 7d0: 0b011300 000e2800 03081c0b 00000f01 ......(......... 7e0: 0149130b 0b011300 00102100 2f0b0000 .I........!./... 7f0: 1117010b 0b011300 00121301 0b0b0113 ................ 800: 0000130d 00491338 0a000014 04010b0b .....I.8........ 810: 01130000 150d0003 0849130b 0b0c0b0d .........I...... 820: 0b380a00 00160101 49130b05 01130000 .8......I....... 830: 17130103 080b0501 13000018 17010b05 ................ 840: 01130000 19280003 081c0600 001a3400 .....(........4. 850: 03084913 020a3f0c 00001b35 00491300 ..I...?....5.I.. 860: 001c2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. 870: 400a2a0a 11011201 01130000 1d05003a @.*............: 880: 0b3b0b03 08491302 0a00001e 34000308 .;...I......4... 890: 49130000 1f2e013a 0b3b0b03 083f0c27 I......:.;...?.' 8a0: 0c400a2a 0a110112 01011300 00203400 .@.*......... 4. 8b0: 03084913 020a0000 212e013a 0b3b0503 ..I.....!..:.;.. 8c0: 083f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." 8d0: 05003a0b 3b050308 4913020a 00000001 ..:.;...I....... 8e0: 11010308 1b082508 130b420b 10060000 ......%...B..... 8f0: 02150027 0c000003 0f004913 0b0b330b ...'......I...3. 900: 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. 910: 49130000 06150049 13270c00 00071301 I......I.'...... 920: 03080b0b 01130000 080d0003 08491338 .............I.8 930: 0a000009 16000308 49130000 0a0f000b ........I....... 940: 0b330b00 000b2600 00000c04 0103080b .3....&......... 950: 0b011300 000d2800 03081c0b 00000e01 ......(......... 960: 0149130b 0b011300 000f2100 2f0b0000 .I........!./... 970: 1017010b 0b011300 00111301 0b0b0113 ................ 980: 0000120d 00491338 0a000013 04010b0b .....I.8........ 990: 01130000 140d0003 0849130b 0b0c0b0d .........I...... 9a0: 0b380a00 00150101 49130b05 01130000 .8......I....... 9b0: 16130103 080b0501 13000017 17010b05 ................ 9c0: 01130000 18280003 081c0600 00193400 .....(........4. 9d0: 03084913 020a3f0c 00001a35 00491300 ..I...?....5.I.. 9e0: 001b2e01 3a0b3b0b 03084913 3f0c270c ....:.;...I.?.'. 9f0: 400a2a0a 11011201 01130000 1c05003a @.*............: a00: 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. a10: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... a20: 01130000 1e340003 08491300 001f2e01 .....4...I...... a30: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... a40: 12010000 00011101 03081b08 2508130b ............%... a50: 420b1006 00000215 00270c00 00030f00 B........'...... a60: 49130b0b 330b0000 04240003 083e0b0b I...3....$...>.. a70: 0b000005 26004913 00000615 00491327 ....&.I......I.' a80: 0c000007 13010308 0b0b0113 0000080d ................ a90: 00030849 13380a00 00091600 03084913 ...I.8........I. aa0: 00000a0f 000b0b33 0b00000b 26000000 .......3....&... ab0: 0c130003 080b0b3c 0c00000d 04010308 .......<........ ac0: 0b0b0113 00000e28 0003081c 0b00000f .......(........ ad0: 01014913 0b0b0113 00001021 002f0b00 ..I........!./.. ae0: 00111701 0b0b0113 00001213 010b0b01 ................ af0: 13000013 0d004913 380a0000 1404010b ......I.8....... b00: 0b011300 00150d00 03084913 0b0b0c0b ..........I..... b10: 0d0b380a 00001601 0149130b 05011300 ..8......I...... b20: 00171301 03080b05 01130000 1817010b ................ b30: 05011300 00192800 03081c06 00001a2e ......(......... b40: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. b50: 01120100 001b2e01 3a0b3b0b 03083f0c ........:.;...?. b60: 270c400a 2a0a1101 12010000 1c05003a '.@.*..........: b70: 0b3b0b03 08491302 0a000000 01110103 .;...I.......... b80: 081b0825 08130b42 0b100600 00021500 ...%...B........ b90: 270c0000 030f0049 130b0b33 0b000004 '......I...3.... ba0: 24000308 3e0b0b0b 00000526 00491300 $...>......&.I.. bb0: 00061500 4913270c 00000713 0103080b ....I.'......... bc0: 0b011300 00080d00 03084913 380a0000 ..........I.8... bd0: 09160003 08491300 000a0f00 0b0b330b .....I........3. be0: 00000b26 0000000c 04010308 0b0b0113 ...&............ bf0: 00000d28 0003081c 0b00000e 01014913 ...(..........I. c00: 0b0b0113 00000f21 002f0b00 00101701 .......!./...... c10: 0b0b0113 00001113 010b0b01 13000012 ................ c20: 0d004913 380a0000 1304010b 0b011300 ..I.8........... c30: 00140d00 03084913 0b0b0c0b 0d0b380a ......I.......8. c40: 00001501 0149130b 05011300 00161301 .....I.......... c50: 03080b05 01130000 1717010b 05011300 ................ c60: 00182800 03081c06 00001935 00491300 ..(........5.I.. c70: 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... c80: 0149133c 0c011300 001c2100 00001d2e .I.<......!..... c90: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. ca0: 01120100 001e2e01 3a0b3b0b 03083f0c ........:.;...?. cb0: 270c400a 2a0a1101 12010113 00001f05 '.@.*........... cc0: 003a0b3b 0b030849 13020a00 00203400 .:.;...I..... 4. cd0: 03084913 0000212e 003a0b3b 0b030849 ..I...!..:.;...I ce0: 133f0c27 0c400a2a 0a110112 01000022 .?.'.@.*......." cf0: 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. d00: 2a0a1101 12010113 00002334 00030849 *.........#4...I d10: 13020a00 00242e01 3a0b3b0b 03083f0c .....$..:.;...?. d20: 270c400a 2a0a1101 12010000 00011101 '.@.*........... d30: 03081b08 2508130b 420b1006 00000215 ....%...B....... d40: 00270c00 00030f00 49130b0b 330b0000 .'......I...3... d50: 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. d60: 00000615 00491327 0c000007 13010308 .....I.'........ d70: 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. d80: 00091600 03084913 00000a0f 000b0b33 ......I........3 d90: 0b00000b 26000000 0c130003 080b0b3c ....&..........< da0: 0c00000d 04010308 0b0b0113 00000e28 ...............( db0: 0003081c 0b00000f 01014913 0b0b0113 ..........I..... dc0: 00001021 002f0b00 00111701 0b0b0113 ...!./.......... dd0: 00001213 010b0b01 13000013 0d004913 ..............I. de0: 380a0000 1404010b 0b011300 00150d00 8............... df0: 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... e00: 0149130b 05011300 00171301 03080b05 .I.............. e10: 01130000 1817010b 05011300 00192800 ..............(. e20: 03081c06 00001a34 00030849 13020a3f .......4...I...? e30: 0c00001b 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. e40: 400a2a0a 11011201 01130000 1c05003a @.*............: e50: 0b3b0b03 08491302 0a00001d 2e013a0b .;...I........:. e60: 3b0b0308 4913270c 400a2a0a 11011201 ;...I.'.@.*..... e70: 01130000 1e340003 08491300 001f3400 .....4...I....4. e80: 03084913 020a0000 202e013a 0b3b0503 ..I..... ..:.;.. e90: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... ea0: 13000021 05003a0b 3b050308 4913020a ...!..:.;...I... eb0: 0000222e 003a0b3b 0503083f 0c270c40 .."..:.;...?.'.@ ec0: 0a2a0a11 01120100 00232e01 3a0b3b05 .*.......#..:.;. ed0: 03083f0c 270c400a 2a0a1101 12010000 ..?.'.@.*....... ee0: 00011101 03081b08 2508130b 420b1006 ........%...B... ef0: 00000215 00270c00 00030f00 49130b0b .....'......I... f00: 330b0000 04240003 083e0b0b 0b000005 3....$...>...... f10: 26004913 00000615 00491327 0c000007 &.I......I.'.... f20: 13010308 0b0b0113 0000080d 00030849 ...............I f30: 13380a00 00091600 03084913 00000a0f .8........I..... f40: 000b0b33 0b00000b 26000000 0c130003 ...3....&....... f50: 080b0b3c 0c00000d 04010308 0b0b0113 ...<............ f60: 00000e28 0003081c 0b00000f 01014913 ...(..........I. f70: 0b0b0113 00001021 002f0b00 00111701 .......!./...... f80: 0b0b0113 00001213 010b0b01 13000013 ................ f90: 0d004913 380a0000 1404010b 0b011300 ..I.8........... fa0: 00150d00 03084913 0b0b0c0b 0d0b380a ......I.......8. fb0: 00001601 0149130b 05011300 00171301 .....I.......... fc0: 03080b05 01130000 1817010b 05011300 ................ fd0: 00192800 03081c06 00001a34 00030849 ..(........4...I fe0: 13020a3f 0c00001b 2e013a0b 3b0b0308 ...?......:.;... ff0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 1000: 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... 1010: 001d3400 03084913 00001e2e 003a0b3b ..4...I......:.; 1020: 0b030849 133f0c27 0c400a2a 0a110112 ...I.?.'.@.*.... 1030: 0100001f 34000308 4913020a 0000202e ....4...I..... . 1040: 003a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 1050: 01120100 00212e01 3a0b3b0b 03083f0c .....!..:.;...?. 1060: 270c400a 2a0a1101 12010000 00011101 '.@.*........... 1070: 03081b08 2508130b 420b1006 00000215 ....%...B....... 1080: 00270c00 00030f00 49130b0b 330b0000 .'......I...3... 1090: 04240003 083e0b0b 0b000005 26004913 .$...>......&.I. 10a0: 00000615 00491327 0c000007 13010308 .....I.'........ 10b0: 0b0b0113 0000080d 00030849 13380a00 ...........I.8.. 10c0: 00091600 03084913 00000a0f 000b0b33 ......I........3 10d0: 0b00000b 26000000 0c130003 080b0b3c ....&..........< 10e0: 0c00000d 04010308 0b0b0113 00000e28 ...............( 10f0: 0003081c 0b00000f 01014913 0b0b0113 ..........I..... 1100: 00001021 002f0b00 00111701 0b0b0113 ...!./.......... 1110: 00001213 010b0b01 13000013 0d004913 ..............I. 1120: 380a0000 1404010b 0b011300 00150d00 8............... 1130: 03084913 0b0b0c0b 0d0b380a 00001601 ..I.......8..... 1140: 0149130b 05011300 00171301 03080b05 .I.............. 1150: 01130000 1817010b 05011300 00192800 ..............(. 1160: 03081c06 00001a2e 003a0b3b 0b03083f .........:.;...? 1170: 0c270c40 0a2a0a11 01120100 001b2e01 .'.@.*.......... 1180: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 1190: 12010000 1c05003a 0b3b0b03 08491302 .......:.;...I.. 11a0: 0a000000 01110103 081b0825 08130b42 ...........%...B 11b0: 0b100600 00021500 270c0000 030f0049 ........'......I 11c0: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... 11d0: 00000526 00491300 00061500 4913270c ...&.I......I.'. 11e0: 00000713 0103080b 0b011300 00080d00 ................ 11f0: 03084913 380a0000 09160003 08491300 ..I.8........I.. 1200: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... 1210: 13000308 0b0b3c0c 00000d04 0103080b ......<......... 1220: 0b011300 000e2800 03081c0b 00000f01 ......(......... 1230: 0149130b 0b011300 00102100 2f0b0000 .I........!./... 1240: 1117010b 0b011300 00121301 0b0b0113 ................ 1250: 0000130d 00491338 0a000014 04010b0b .....I.8........ 1260: 01130000 150d0003 0849130b 0b0c0b0d .........I...... 1270: 0b380a00 00160101 49130b05 01130000 .8......I....... 1280: 17130103 080b0501 13000018 17010b05 ................ 1290: 01130000 19280003 081c0600 001a3400 .....(........4. 12a0: 03084913 020a0000 1b2e003a 0b3b0b03 ..I........:.;.. 12b0: 083f0c27 0c400a2a 0a110112 0100001c .?.'.@.*........ 12c0: 2e013a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. 12d0: 11011201 01130000 1d05003a 0b3b0b03 ...........:.;.. 12e0: 08491302 0a00001e 34000308 49130000 .I......4...I... 12f0: 1f2e013a 0b3b0b03 083f0c27 0c400a2a ...:.;...?.'.@.* 1300: 0a110112 01000000 01110103 081b0825 ...............% 1310: 08130b42 0b100600 00021500 270c0000 ...B........'... 1320: 030f0049 130b0b33 0b000004 24000308 ...I...3....$... 1330: 3e0b0b0b 00000526 00491300 00061500 >......&.I...... 1340: 4913270c 00000713 0103080b 0b011300 I.'............. 1350: 00080d00 03084913 380a0000 09160003 ......I.8....... 1360: 08491300 000a0f00 0b0b330b 00000b26 .I........3....& 1370: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... 1380: 0103080b 0b011300 000e2800 03081c0b ..........(..... 1390: 00000f01 0149130b 0b011300 00102100 .....I........!. 13a0: 2f0b0000 1117010b 0b011300 00121301 /............... 13b0: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... 13c0: 04010b0b 01130000 150d0003 0849130b .............I.. 13d0: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... 13e0: 01130000 17130103 080b0501 13000018 ................ 13f0: 17010b05 01130000 19280003 081c0600 .........(...... 1400: 001a3400 03084913 020a3f0c 00001b2e ..4...I...?..... 1410: 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 1420: 01120101 1300001c 05003a0b 3b0b0308 ..........:.;... 1430: 4913020a 00001d34 00030849 1300001e I......4...I.... 1440: 2e003a0b 3b0b0308 3f0c270c 400a2a0a ..:.;...?.'.@.*. 1450: 11011201 00001f2e 013a0b3b 0b03083f .........:.;...? 1460: 0c270c40 0a2a0a11 01120100 00000111 .'.@.*.......... 1470: 0103081b 08250813 0b420b10 06000002 .....%...B...... 1480: 1500270c 0000030f 0049130b 0b330b00 ..'......I...3.. 1490: 00042400 03083e0b 0b0b0000 05260049 ..$...>......&.I 14a0: 13000006 15004913 270c0000 07130103 ......I.'....... 14b0: 080b0b01 13000008 0d000308 4913380a ............I.8. 14c0: 00000916 00030849 1300000a 0f000b0b .......I........ 14d0: 330b0000 0b260000 000c1300 03080b0b 3....&.......... 14e0: 3c0c0000 0d040103 080b0b01 1300000e <............... 14f0: 28000308 1c0b0000 0f010149 130b0b01 (..........I.... 1500: 13000010 21002f0b 00001117 010b0b01 ....!./......... 1510: 13000012 13010b0b 01130000 130d0049 ...............I 1520: 13380a00 00140401 0b0b0113 0000150d .8.............. 1530: 00030849 130b0b0c 0b0d0b38 0a000016 ...I.......8.... 1540: 01014913 0b050113 00001713 0103080b ..I............. 1550: 05011300 00181701 0b050113 00001928 ...............( 1560: 0003081c 0600001a 34000308 4913020a ........4...I... 1570: 3f0c0000 1b2e003a 0b3b0b03 0849133f ?......:.;...I.? 1580: 0c270c40 0a2a0a11 01120100 001c2e00 .'.@.*.......... 1590: 3a0b3b0b 03083f0c 400a2a0a 11011201 :.;...?.@.*..... 15a0: 00001d2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ 15b0: 0a2a0a11 01120101 1300001e 05003a0b .*............:. 15c0: 3b0b0308 4913020a 00001f2e 013a0b3b ;...I........:.; 15d0: 0b03083f 0c400a2a 0a110112 01011300 ...?.@.*........ 15e0: 00203400 03084913 020a0000 212e013a . 4...I.....!..: 15f0: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 1600: 01000000 01110103 081b0825 08130b42 ...........%...B 1610: 0b100600 00021500 270c0000 030f0049 ........'......I 1620: 130b0b33 0b000004 24000308 3e0b0b0b ...3....$...>... 1630: 00000526 00491300 00061500 4913270c ...&.I......I.'. 1640: 00000713 0103080b 0b011300 00080d00 ................ 1650: 03084913 380a0000 09160003 08491300 ..I.8........I.. 1660: 000a0f00 0b0b330b 00000b26 0000000c ......3....&.... 1670: 13000308 0b0b3c0c 00000d04 0103080b ......<......... 1680: 0b011300 000e2800 03081c0b 00000f01 ......(......... 1690: 0149130b 0b011300 00102100 2f0b0000 .I........!./... 16a0: 1117010b 0b011300 00121301 0b0b0113 ................ 16b0: 0000130d 00491338 0a000014 04010b0b .....I.8........ 16c0: 01130000 150d0003 0849130b 0b0c0b0d .........I...... 16d0: 0b380a00 00160101 49130b05 01130000 .8......I....... 16e0: 17130103 080b0501 13000018 17010b05 ................ 16f0: 01130000 19280003 081c0600 001a3400 .....(........4. 1700: 03084913 020a0000 1b2e013a 0b3b0b03 ..I........:.;.. 1710: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... 1720: 1300001c 34000308 49130000 1d2e013a ....4...I......: 1730: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 1740: 01011300 001e0500 3a0b3b0b 03084913 ........:.;...I. 1750: 020a0000 1f2e003a 0b3b0b03 083f0c27 .......:.;...?.' 1760: 0c400a2a 0a110112 01000020 2e003a0b .@.*....... ..:. 1770: 3b0b0308 49133f0c 400a2a0a 11011201 ;...I.?.@.*..... 1780: 0000212e 013a0b3b 0503083f 0c270c40 ..!..:.;...?.'.@ 1790: 0a2a0a11 01120101 13000022 05003a0b .*........."..:. 17a0: 3b050308 4913020a 0000232e 013a0b3b ;...I.....#..:.; 17b0: 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ 17c0: 00242e01 3a0b3b05 03083f0c 270c400a .$..:.;...?.'.@. 17d0: 2a0a1101 12010000 00011101 03081b08 *............... 17e0: 2508130b 420b1006 00000213 0103080b %...B........... 17f0: 0b011300 00030d00 03084913 380a0000 ..........I.8... 1800: 040f0049 130b0b33 0b000005 24000308 ...I...3....$... 1810: 3e0b0b0b 00000616 00030849 13000007 >..........I.... 1820: 01014913 0b0b0113 00000821 002f0b00 ..I........!./.. 1830: 00091500 270c0000 0a150049 13270c00 ....'......I.'.. 1840: 000b0f00 0b0b330b 00000c13 010b0b01 ......3......... 1850: 1300000d 04010b0b 01130000 0e280003 .............(.. 1860: 081c0b00 000f1701 0b0b0113 00001004 ................ 1870: 0103080b 0b011300 00110d00 03084913 ..............I. 1880: 0b0b0c0b 0d0b380a 00001201 0149130b ......8......I.. 1890: 05011300 00131301 03080b05 01130000 ................ 18a0: 1417010b 05011300 00152600 49130000 ..........&.I... 18b0: 16260000 00171300 03080b0b 3c0c0000 .&..........<... 18c0: 180d0049 13380a00 00192800 03081c06 ...I.8....(..... 18d0: 00001a34 00030849 13020a3f 0c00001b ...4...I...?.... 18e0: 2e013a0b 3b0b0308 49133f0c 270c400a ..:.;...I.?.'.@. 18f0: 2a0a1101 12010113 00001c05 003a0b3b *............:.; 1900: 0b030849 13020a00 001d2e01 3a0b3b0b ...I........:.;. 1910: 03083f0c 270c400a 2a0a1101 12010113 ..?.'.@.*....... 1920: 00001e34 00030849 1300001f 34000308 ...4...I....4... 1930: 4913020a 0000202e 003a0b3b 0b03083f I..... ..:.;...? 1940: 0c270c40 0a2a0a11 01120100 00212e01 .'.@.*.......!.. 1950: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 1960: 12010113 00002205 003a0b3b 05030849 ......"..:.;...I 1970: 13020a00 00232e01 3a0b3b05 03084913 .....#..:.;...I. 1980: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 1990: 242e013a 0b3b0503 083f0c27 0c400a2a $..:.;...?.'.@.* 19a0: 0a110112 01000000 01110103 081b0825 ...............% 19b0: 08130b42 0b100600 00021500 270c0000 ...B........'... 19c0: 030f0049 130b0b33 0b000004 24000308 ...I...3....$... 19d0: 3e0b0b0b 00000526 00491300 00061500 >......&.I...... 19e0: 4913270c 00000713 0103080b 0b011300 I.'............. 19f0: 00080d00 03084913 380a0000 09160003 ......I.8....... 1a00: 08491300 000a0f00 0b0b330b 00000b26 .I........3....& 1a10: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... 1a20: 0103080b 0b011300 000e2800 03081c0b ..........(..... 1a30: 00000f01 0149130b 0b011300 00102100 .....I........!. 1a40: 2f0b0000 1117010b 0b011300 00121301 /............... 1a50: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... 1a60: 04010b0b 01130000 150d0003 0849130b .............I.. 1a70: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... 1a80: 01130000 17130103 080b0501 13000018 ................ 1a90: 17010b05 01130000 19280003 081c0600 .........(...... 1aa0: 001a3400 03084913 020a3f0c 00001b35 ..4...I...?....5 1ab0: 00491300 001c2e01 3a0b3b0b 03084913 .I......:.;...I. 1ac0: 400a2a0a 11011201 01130000 1d340003 @.*..........4.. 1ad0: 08491300 001e2e01 3a0b3b0b 0308270c .I......:.;...'. 1ae0: 400a2a0a 11011201 01130000 1f05003a @.*............: 1af0: 0b3b0b03 08491302 0a000020 2e013a0b .;...I..... ..:. 1b00: 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... 1b10: 12010113 00002134 00030849 13020a00 ......!4...I.... 1b20: 00222e01 3a0b3b0b 03083f0c 270c400a ."..:.;...?.'.@. 1b30: 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; 1b40: 0b030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... 1b50: 13000024 2e013a0b 3b050308 3f0c270c ...$..:.;...?.'. 1b60: 8b400c40 0a2a0a11 01120101 13000025 .@.@.*.........% 1b70: 05003a0b 3b050308 4913020a 0000262e ..:.;...I.....&. 1b80: 013a0b3b 05030849 133f0c27 0c8b400c .:.;...I.?.'..@. 1b90: 400a2a0a 11011201 01130000 272e013a @.*.........'..: 1ba0: 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 1bb0: 01011300 00282e01 3a0b3b05 03084913 .....(..:.;...I. 1bc0: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 1bd0: 292e003a 0b3b0503 083f0c27 0c400a2a )..:.;...?.'.@.* 1be0: 0a110112 01000000 01110103 081b0825 ...............% 1bf0: 08130b42 0b100600 00022400 03083e0b ...B......$...>. 1c00: 0b0b0000 03160003 08491300 00041301 .........I...... 1c10: 03080b0b 01130000 050d0003 08491338 .............I.8 1c20: 0a000006 1500270c 0000070f 0049130b ......'......I.. 1c30: 0b330b00 00082600 49130000 09150049 .3....&.I......I 1c40: 13270c00 000a0f00 0b0b330b 00000b26 .'........3....& 1c50: 0000000c 13000308 0b0b3c0c 00000d04 ..........<..... 1c60: 0103080b 0b011300 000e2800 03081c0b ..........(..... 1c70: 00000f01 0149130b 0b011300 00102100 .....I........!. 1c80: 2f0b0000 1117010b 0b011300 00121301 /............... 1c90: 0b0b0113 0000130d 00491338 0a000014 .........I.8.... 1ca0: 04010b0b 01130000 150d0003 0849130b .............I.. 1cb0: 0b0c0b0d 0b380a00 00160101 49130b05 .....8......I... 1cc0: 01130000 17130103 080b0501 13000018 ................ 1cd0: 17010b05 01130000 19280003 081c0600 .........(...... 1ce0: 001a3400 03084913 020a3f0c 00001b01 ..4...I...?..... 1cf0: 0149133c 0c011300 001c2100 00001d35 .I.<......!....5 1d00: 00491300 001e2e00 3a0b3b05 0308270c .I......:.;...'. 1d10: 400a2a0a 11011201 00001f2e 013a0b3b @.*..........:.; 1d20: 05030827 0c400a2a 0a110112 01011300 ...'.@.*........ 1d30: 00203400 03084913 0000212e 013a0b3b . 4...I...!..:.; 1d40: 05030849 13270c40 0a2a0a11 01120101 ...I.'.@.*...... 1d50: 13000022 2e003a0b 3b050308 4913270c ..."..:.;...I.'. 1d60: 400a2a0a 11011201 00002334 00030849 @.*.......#4...I 1d70: 13020a00 00240500 3a0b3b05 03084913 .....$..:.;...I. 1d80: 020a0000 252e013a 0b3b0503 083f0c27 ....%..:.;...?.' 1d90: 0c400a2a 0a110112 01011300 00262e01 .@.*.........&.. 1da0: 3a0b3b05 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 1db0: 12010000 00011101 03081b08 2508130b ............%... 1dc0: 420b0000 02240003 083e0b0b 0b000003 B....$...>...... 1dd0: 16000308 49130000 04130103 080b0b01 ....I........... 1de0: 13000005 0d000308 4913380a 00000601 ........I.8..... 1df0: 0149133c 0c011300 00072100 00000826 .I.<......!....& 1e00: 00491300 00093400 03084913 020a3f0c .I....4...I...?. 1e10: 00000a21 002f0b00 00000111 0103081b ...!./.......... 1e20: 08250813 0b420b10 06000002 24000308 .%...B......$... 1e30: 3e0b0b0b 00000316 00030849 13000004 >..........I.... 1e40: 13010308 0b0b0113 0000050d 00030849 ...............I 1e50: 13380a00 00061500 270c0000 070f0049 .8......'......I 1e60: 130b0b33 0b000008 26004913 00000915 ...3....&.I..... 1e70: 00491327 0c00000a 0f000b0b 330b0000 .I.'........3... 1e80: 0b260000 000c1300 03080b0b 3c0c0000 .&..........<... 1e90: 0d040103 080b0b01 1300000e 28000308 ............(... 1ea0: 1c0b0000 0f010149 130b0b01 13000010 .......I........ 1eb0: 21002f0b 00001117 010b0b01 13000012 !./............. 1ec0: 13010b0b 01130000 130d0049 13380a00 ...........I.8.. 1ed0: 00140401 0b0b0113 0000150d 00030849 ...............I 1ee0: 130b0b0c 0b0d0b38 0a000016 01014913 .......8......I. 1ef0: 0b050113 00001713 0103080b 05011300 ................ 1f00: 00181701 0b050113 00001928 0003081c ...........(.... 1f10: 0600001a 2e013a0b 3b0b0308 3f0c270c ......:.;...?.'. 1f20: 400a2a0a 11011201 01130000 1b05003a @.*............: 1f30: 0b3b0b03 08491302 0a00001c 34000308 .;...I......4... 1f40: 49130000 1d2e013a 0b3b0503 083f0c27 I......:.;...?.' 1f50: 0c400a2a 0a110112 01000000 01110103 .@.*............ 1f60: 081b0825 08130b42 0b100600 00021301 ...%...B........ 1f70: 03080b0b 01130000 030d0003 08491338 .............I.8 1f80: 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ 1f90: 0003083e 0b0b0b00 00061600 03084913 ...>..........I. 1fa0: 00000701 0149130b 0b011300 00082100 .....I........!. 1fb0: 2f0b0000 09150027 0c00000a 15004913 /......'......I. 1fc0: 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. 1fd0: 49130000 0d260000 000e1300 03080b0b I....&.......... 1fe0: 3c0c0000 0f040103 080b0b01 13000010 <............... 1ff0: 28000308 1c0b0000 1117010b 0b011300 (............... 2000: 00121301 0b0b0113 0000130d 00491338 .............I.8 2010: 0a000014 04010b0b 01130000 150d0003 ................ 2020: 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... 2030: 49130b05 01130000 17130103 080b0501 I............... 2040: 13000018 17010b05 01130000 19280003 .............(.. 2050: 081c0600 001a2800 03081c05 00001b34 ......(........4 2060: 00030849 13020a3f 0c00001c 2e013a0b ...I...?......:. 2070: 3b0b0308 49133f0c 270c400a 2a0a1101 ;...I.?.'.@.*... 2080: 12010113 00001d05 003a0b3b 0b030849 .........:.;...I 2090: 13020a00 001e2e01 3a0b3b0b 03083f0c ........:.;...?. 20a0: 270c400a 2a0a1101 12010113 00001f34 '.@.*..........4 20b0: 00030849 13000020 2e013a0b 3b050308 ...I... ..:.;... 20c0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 20d0: 00002105 003a0b3b 05030849 13020a00 ..!..:.;...I.... 20e0: 00222e01 3a0b3b05 03083f0c 270c400a ."..:.;...?.'.@. 20f0: 2a0a1101 12010113 0000232e 013a0b3b *.........#..:.; 2100: 0503083f 0c270c40 0a2a0a11 01120100 ...?.'.@.*...... 2110: 00000111 0103081b 08250813 0b420b10 .........%...B.. 2120: 06000002 13010308 0b0b0113 0000030d ................ 2130: 00030849 13380a00 00040f00 49130b0b ...I.8......I... 2140: 330b0000 05240003 083e0b0b 0b000006 3....$...>...... 2150: 16000308 49130000 07010149 130b0b01 ....I......I.... 2160: 13000008 21002f0b 00000915 00270c00 ....!./......'.. 2170: 000a1500 4913270c 00000b0f 000b0b33 ....I.'........3 2180: 0b00000c 26004913 00000d26 0000000e ....&.I....&.... 2190: 13000308 0b0b3c0c 00000f04 0103080b ......<......... 21a0: 0b011300 00102800 03081c0b 00001117 ......(......... 21b0: 010b0b01 13000012 13010b0b 01130000 ................ 21c0: 130d0049 13380a00 00140401 0b0b0113 ...I.8.......... 21d0: 0000150d 00030849 130b0b0c 0b0d0b38 .......I.......8 21e0: 0a000016 01014913 0b050113 00001713 ......I......... 21f0: 0103080b 05011300 00181701 0b050113 ................ 2200: 00001928 0003081c 0600001a 34000308 ...(........4... 2210: 4913020a 3f0c0000 1b2e013a 0b3b0b03 I...?......:.;.. 2220: 083f0c27 0c400a2a 0a110112 01011300 .?.'.@.*........ 2230: 001c0500 3a0b3b0b 03084913 020a0000 ....:.;...I..... 2240: 1d340003 08491300 001e2e01 3a0b3b0b .4...I......:.;. 2250: 03084913 3f0c270c 400a2a0a 11011201 ..I.?.'.@.*..... 2260: 01130000 1f2e013a 0b3b0b03 083f0c27 .......:.;...?.' 2270: 0c400a2a 0a110112 01000000 01110103 .@.*............ 2280: 081b0825 08130b42 0b100600 00021301 ...%...B........ 2290: 03080b0b 01130000 030d0003 08491338 .............I.8 22a0: 0a000004 0f004913 0b0b330b 00000524 ......I...3....$ 22b0: 0003083e 0b0b0b00 00061600 03084913 ...>..........I. 22c0: 00000701 0149130b 0b011300 00082100 .....I........!. 22d0: 2f0b0000 09150027 0c00000a 15004913 /......'......I. 22e0: 270c0000 0b0f000b 0b330b00 000c2600 '........3....&. 22f0: 49130000 0d260000 000e1300 03080b0b I....&.......... 2300: 3c0c0000 0f040103 080b0b01 13000010 <............... 2310: 28000308 1c0b0000 1117010b 0b011300 (............... 2320: 00121301 0b0b0113 0000130d 00491338 .............I.8 2330: 0a000014 04010b0b 01130000 150d0003 ................ 2340: 0849130b 0b0c0b0d 0b380a00 00160101 .I.......8...... 2350: 49130b05 01130000 17130103 080b0501 I............... 2360: 13000018 17010b05 01130000 19280003 .............(.. 2370: 081c0600 001a3400 03084913 020a3f0c ......4...I...?. 2380: 00001b2e 013a0b3b 0b03083f 0c270c40 .....:.;...?.'.@ 2390: 0a2a0a11 01120101 1300001c 05003a0b .*............:. 23a0: 3b0b0308 4913020a 00001d34 00030849 ;...I......4...I 23b0: 1300001e 2e013a0b 3b0b0308 49133f0c ......:.;...I.?. 23c0: 400a2a0a 11011201 01130000 1f2e013a @.*............: 23d0: 0b3b0b03 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. 23e0: 01120101 13000020 2e013a0b 3b0b0308 ....... ..:.;... 23f0: 3f0c270c 400a2a0a 11011201 00000001 ?.'.@.*......... 2400: 11010308 1b082508 130b420b 10060000 ......%...B..... 2410: 02150027 0c000003 0f004913 0b0b330b ...'......I...3. 2420: 00000424 0003083e 0b0b0b00 00052600 ...$...>......&. 2430: 49130000 06150049 13270c00 00071301 I......I.'...... 2440: 03080b0b 01130000 080d0003 08491338 .............I.8 2450: 0a000009 16000308 49130000 0a0f000b ........I....... 2460: 0b330b00 000b2600 00000c13 0003080b .3....&......... 2470: 0b3c0c00 000d0401 03080b0b 01130000 .<.............. 2480: 0e280003 081c0b00 000f0101 49130b0b .(..........I... 2490: 01130000 1021002f 0b000011 17010b0b .....!./........ 24a0: 01130000 1213010b 0b011300 00130d00 ................ 24b0: 4913380a 00001404 010b0b01 13000015 I.8............. 24c0: 0d000308 49130b0b 0c0b0d0b 380a0000 ....I.......8... 24d0: 16010149 130b0501 13000017 13010308 ...I............ 24e0: 0b050113 00001817 010b0501 13000019 ................ 24f0: 28000308 1c060000 1a2e013a 0b3b0503 (..........:.;.. 2500: 08491327 0c8b400c 400a2a0a 11011201 .I.'..@.@.*..... 2510: 01130000 1b05003a 0b3b0503 08491302 .......:.;...I.. 2520: 0a00001c 2e013a0b 3b050308 270c8b40 ......:.;...'..@ 2530: 0c400a2a 0a110112 01011300 001d2e01 .@.*............ 2540: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 2550: 12010113 00001e05 003a0b3b 0b030849 .........:.;...I 2560: 13020a00 001f2e01 3a0b3b0b 03084913 ........:.;...I. 2570: 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... 2580: 13000020 34000308 49130000 21340003 ... 4...I...!4.. 2590: 08491302 0a000022 2e013a0b 3b0b0308 .I....."..:.;... 25a0: 3f0c270c 8b400c40 0a2a0a11 01120101 ?.'..@.@.*...... 25b0: 13000023 2e013a0b 3b050308 3f0c270c ...#..:.;...?.'. 25c0: 8b400c40 0a2a0a11 01120101 13000024 .@.@.*.........$ 25d0: 2e013a0b 3b050308 3f0c270c 400a2a0a ..:.;...?.'.@.*. 25e0: 11011201 01130000 252e013a 0b3b0503 ........%..:.;.. 25f0: 0849133f 0c270c40 0a2a0a11 01120101 .I.?.'.@.*...... 2600: 13000026 2e013a0b 3b050308 3f0c270c ...&..:.;...?.'. 2610: 400a2a0a 11011201 00000001 11010308 @.*............. 2620: 1b082508 130b420b 10060000 02130103 ..%...B......... 2630: 080b0b01 13000003 0d000308 4913380a ............I.8. 2640: 0000040f 0049130b 0b330b00 00052400 .....I...3....$. 2650: 03083e0b 0b0b0000 06160003 08491300 ..>..........I.. 2660: 00070101 49130b0b 01130000 0821002f ....I........!./ 2670: 0b000009 1500270c 00000a15 00491327 ......'......I.' 2680: 0c00000b 0f000b0b 330b0000 0c260049 ........3....&.I 2690: 1300000d 26000000 0e130003 080b0b3c ....&..........< 26a0: 0c00000f 04010308 0b0b0113 00001028 ...............( 26b0: 0003081c 0b000011 17010b0b 01130000 ................ 26c0: 1213010b 0b011300 00130d00 4913380a ............I.8. 26d0: 00001404 010b0b01 13000015 0d000308 ................ 26e0: 49130b0b 0c0b0d0b 380a0000 16010149 I.......8......I 26f0: 130b0501 13000017 13010308 0b050113 ................ 2700: 00001817 010b0501 13000019 28000308 ............(... 2710: 1c060000 1a2e003a 0b3b0b03 083f0c40 .......:.;...?.@ 2720: 0a2a0a11 01120100 001b2e01 3a0b3b0b .*..........:.;. 2730: 03083f0c 270c8b40 0c400a2a 0a110112 ..?.'..@.@.*.... 2740: 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. 2750: 020a0000 1d340003 08491300 001e2e01 .....4...I...... 2760: 3a0b3b0b 03083f0c 270c400a 2a0a1101 :.;...?.'.@.*... 2770: 12010113 00001f2e 013a0b3b 0b030827 .........:.;...' 2780: 0c400a2a 0a110112 01011300 00202e01 .@.*......... .. 2790: 3a0b3b0b 0308270c 8b400c40 0a2a0a11 :.;...'..@.@.*.. 27a0: 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... 27b0: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 27c0: 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' 27d0: 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. 27e0: 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: 27f0: 0b3b0503 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 2800: 01011300 00252e01 3a0b3b05 0308270c .....%..:.;...'. 2810: 400a2a0a 11011201 00000001 11010308 @.*............. 2820: 1b082508 130b420b 10060000 02240003 ..%...B......$.. 2830: 083e0b0b 0b000003 16000308 49130000 .>..........I... 2840: 04010149 130b0b01 13000005 21002f0b ...I........!./. 2850: 00000617 010b0b01 13000007 0d000308 ................ 2860: 4913380a 00000813 010b0b01 13000009 I.8............. 2870: 13010308 0b0b0113 00000a0f 0049130b .............I.. 2880: 0b330b00 000b0f00 0b0b330b 00000c13 .3........3..... 2890: 0103080b 05011300 000d1500 270c0000 ............'... 28a0: 0e150049 13270c00 000f2600 49130000 ...I.'....&.I... 28b0: 10010149 130b0501 13000011 26000000 ...I........&... 28c0: 12130003 080b0b3c 0c000013 04010308 .......<........ 28d0: 0b0b0113 00001428 0003081c 0b000015 .......(........ 28e0: 0d004913 380a0000 1604010b 0b011300 ..I.8........... 28f0: 00170d00 03084913 0b0b0c0b 0d0b380a ......I.......8. 2900: 00001817 010b0501 13000019 28000308 ............(... 2910: 1c060000 1a2e013a 0b3b0b03 0849133f .......:.;...I.? 2920: 0c270c40 0a2a0a11 01120101 1300001b .'.@.*.......... 2930: 05003a0b 3b0b0308 4913020a 00001c34 ..:.;...I......4 2940: 00030849 1300001d 2e013a0b 3b0b0308 ...I......:.;... 2950: 3f0c270c 400a2a0a 11011201 01130000 ?.'.@.*......... 2960: 1e340003 08491302 0a00001f 2e013a0b .4...I........:. 2970: 3b0b0308 3f0c270c 400a2a0a 11011201 ;...?.'.@.*..... 2980: 00000001 11010308 1b082508 130b420b ..........%...B. 2990: 10060000 02150027 0c000003 0f004913 .......'......I. 29a0: 0b0b330b 00000424 0003083e 0b0b0b00 ..3....$...>.... 29b0: 00052600 49130000 06150049 13270c00 ..&.I......I.'.. 29c0: 00071301 03080b0b 01130000 080d0003 ................ 29d0: 08491338 0a000009 16000308 49130000 .I.8........I... 29e0: 0a0f000b 0b330b00 000b2600 00000c13 .....3....&..... 29f0: 0003080b 0b3c0c00 000d0401 03080b0b .....<.......... 2a00: 01130000 0e280003 081c0b00 000f0101 .....(.......... 2a10: 49130b0b 01130000 1021002f 0b000011 I........!./.... 2a20: 17010b0b 01130000 1213010b 0b011300 ................ 2a30: 00130d00 4913380a 00001404 010b0b01 ....I.8......... 2a40: 13000015 0d000308 49130b0b 0c0b0d0b ........I....... 2a50: 380a0000 16010149 130b0501 13000017 8......I........ 2a60: 13010308 0b050113 00001817 010b0501 ................ 2a70: 13000019 28000308 1c060000 1a340003 ....(........4.. 2a80: 08491302 0a3f0c00 001b2e01 3a0b3b0b .I...?......:.;. 2a90: 03084913 270c400a 2a0a1101 12010113 ..I.'.@.*....... 2aa0: 00001c05 003a0b3b 0b030849 13020a00 .....:.;...I.... 2ab0: 001d2e01 3a0b3b0b 03084913 3f0c400a ....:.;...I.?.@. 2ac0: 2a0a1101 12010113 00001e34 00030849 *..........4...I 2ad0: 13020a00 001f3400 03084913 0000202e ......4...I... . 2ae0: 013a0b3b 0b03083f 0c270c40 0a2a0a11 .:.;...?.'.@.*.. 2af0: 01120101 13000021 2e013a0b 3b0b0308 .......!..:.;... 2b00: 49133f0c 270c400a 2a0a1101 12010113 I.?.'.@.*....... 2b10: 0000222e 013a0b3b 05030849 133f0c27 .."..:.;...I.?.' 2b20: 0c400a2a 0a110112 01011300 00230500 .@.*.........#.. 2b30: 3a0b3b05 03084913 020a0000 242e013a :.;...I.....$..: 2b40: 0b3b0503 0849133f 0c270c40 0a2a0a11 .;...I.?.'.@.*.. 2b50: 01120100 00000111 0103081b 08250813 .............%.. 2b60: 0b420b10 06000002 1500270c 0000030f .B........'..... 2b70: 0049130b 0b330b00 00042400 03083e0b .I...3....$...>. 2b80: 0b0b0000 05260049 13000006 15004913 .....&.I......I. 2b90: 270c0000 07130103 080b0b01 13000008 '............... 2ba0: 0d000308 4913380a 00000916 00030849 ....I.8........I 2bb0: 1300000a 0f000b0b 330b0000 0b260000 ........3....&.. 2bc0: 000c1300 03080b0b 3c0c0000 0d040103 ........<....... 2bd0: 080b0b01 1300000e 28000308 1c0b0000 ........(....... 2be0: 0f010149 130b0b01 13000010 21002f0b ...I........!./. 2bf0: 00001117 010b0b01 13000012 13010b0b ................ 2c00: 01130000 130d0049 13380a00 00140401 .......I.8...... 2c10: 0b0b0113 0000150d 00030849 130b0b0c ...........I.... 2c20: 0b0d0b38 0a000016 01014913 0b050113 ...8......I..... 2c30: 00001713 0103080b 05011300 00181701 ................ 2c40: 0b050113 00001928 0003081c 0600001a .......(........ 2c50: 34000308 4913020a 3f0c0000 1b2e013a 4...I...?......: 2c60: 0b3b0b03 083f0c27 0c400a2a 0a110112 .;...?.'.@.*.... 2c70: 01011300 001c0500 3a0b3b0b 03084913 ........:.;...I. 2c80: 020a0000 1d2e013a 0b3b0b03 0849133f .......:.;...I.? 2c90: 0c270c40 0a2a0a11 01120101 1300001e .'.@.*.......... 2ca0: 34000308 49130000 1f2e013a 0b3b0b03 4...I......:.;.. 2cb0: 083f0c27 0c8b400c 400a2a0a 11011201 .?.'..@.@.*..... 2cc0: 01130000 202e013a 0b3b0b03 083f0c27 .... ..:.;...?.' 2cd0: 0c400a2a 0a110112 01000000 01110103 .@.*............ 2ce0: 081b0825 08130b42 0b100600 00022400 ...%...B......$. 2cf0: 03083e0b 0b0b0000 03010149 130b0b01 ..>........I.... 2d00: 13000004 21002f0b 00000513 010b0b01 ....!./......... 2d10: 13000006 0d000308 4913380a 00000715 ........I.8..... 2d20: 00270c00 00081600 03084913 0000090f .'........I..... 2d30: 0049130b 0b330b00 000a0f00 0b0b330b .I...3........3. 2d40: 00000b13 0103080b 0b011300 000c1500 ................ 2d50: 4913270c 00000d01 0149133c 0c011300 I.'......I.<.... 2d60: 000e2100 00000f2e 013a0b3b 0b030849 ..!......:.;...I 2d70: 133f0c27 0c400a2a 0a110112 01000010 .?.'.@.*........ 2d80: 05003a0b 3b0b0308 4913020a 00001134 ..:.;...I......4 2d90: 00030849 13000000 01110010 06110112 ...I............ 2da0: 0103081b 08250813 05000000 01110010 .....%.......... 2db0: 06110112 0103081b 08250813 05000000 .........%...... 2dc0: 01110010 06110112 0103081b 08250813 .............%.. 2dd0: 05000000 01110103 081b0825 08130b42 ...........%...B 2de0: 0b000002 24000308 3e0b0b0b 00000326 ....$...>......& 2df0: 00491300 00043400 03084913 020a3f0c .I....4...I...?. 2e00: 0000050f 0049130b 0b330b00 00060101 .....I...3...... 2e10: 49130b0b 01130000 0721002f 0b000000 I........!./.... 2e20: 01110010 06110112 0103081b 08250813 .............%.. 2e30: 05000000 01110010 06110112 0103081b ................ 2e40: 08250813 05000000 01110010 06110112 .%.............. 2e50: 0103081b 08250813 05000000 01110010 .....%.......... 2e60: 06110112 0103081b 08250813 05000000 .........%...... 2e70: 01110103 081b0825 08130b42 0b000002 .......%...B.... 2e80: 24000308 3e0b0b0b 00000301 0149130b $...>........I.. 2e90: 0b011300 00042100 2f0b0000 05010149 ......!./......I 2ea0: 130b0501 13000006 13010308 0b050113 ................ 2eb0: 0000070d 00030849 13380a00 00082600 .......I.8....&. 2ec0: 49130000 09340003 08491302 0a3f0c00 I....4...I...?.. 2ed0: 00000111 00100611 01120103 081b0825 ...............% 2ee0: 08130500 00000111 00100611 01120103 ................ 2ef0: 081b0825 08130500 00000111 00100611 ...%............ 2f00: 01120103 081b0825 08130500 00000111 .......%........ 2f10: 00100611 01120103 081b0825 08130500 ...........%.... 2f20: 00000111 0103081b 08250813 0b420b10 .........%...B.. 2f30: 06000002 24000308 3e0b0b0b 00000316 ....$...>....... 2f40: 00030849 13000004 01014913 0b0b0113 ...I......I..... 2f50: 00000521 002f0b00 00061701 0b0b0113 ...!./.......... 2f60: 0000070d 00030849 13380a00 00081301 .......I.8...... 2f70: 0b0b0113 00000913 0103080b 0b011300 ................ 2f80: 000a0f00 49130b0b 330b0000 0b0f000b ....I...3....... 2f90: 0b330b00 000c1301 03080b05 01130000 .3.............. 2fa0: 0d150027 0c00000e 15004913 270c0000 ...'......I.'... 2fb0: 0f260049 13000010 01014913 0b050113 .&.I......I..... 2fc0: 00001126 00000012 2e013a0b 3b0b0308 ...&......:.;... 2fd0: 49133f0c 270c400a 2a0a1101 12010000 I.?.'.@.*....... 2fe0: 1305003a 0b3b0b03 08491302 0a000014 ...:.;...I...... 2ff0: 34000308 49130000 00011100 10061101 4...I........... 3000: 12010308 1b082508 13050000 00011101 ......%......... 3010: 03081b08 2508130b 420b1006 00000224 ....%...B......$ 3020: 0003083e 0b0b0b00 00031600 03084913 ...>..........I. 3030: 00000401 0149130b 0b011300 00052100 .....I........!. 3040: 2f0b0000 0617010b 0b011300 00070d00 /............... 3050: 03084913 380a0000 0813010b 0b011300 ..I.8........... 3060: 00091301 03080b0b 01130000 0a0f0049 ...............I 3070: 130b0b33 0b00000b 0f000b0b 330b0000 ...3........3... 3080: 0c130103 080b0501 1300000d 1500270c ..............'. 3090: 00000e15 00491327 0c00000f 26004913 .....I.'....&.I. 30a0: 00001001 0149130b 05011300 00112600 .....I........&. 30b0: 0000122e 013a0b3b 0b030849 133f0c27 .....:.;...I.?.' 30c0: 0c400a2a 0a110112 01000013 05003a0b .@.*..........:. 30d0: 3b0b0308 4913020a 00001434 00030849 ;...I......4...I 30e0: 13000000 01110010 06110112 0103081b ................ 30f0: 08250813 05000000 01110010 06110112 .%.............. 3100: 0103081b 08250813 05000000 01110010 .....%.......... 3110: 06110112 0103081b 08250813 05000000 .........%...... 3120: 01110010 06110112 0103081b 08250813 .............%.. 3130: 05000000 01110103 081b0825 08130b42 ...........%...B 3140: 0b100600 00022400 03083e0b 0b0b0000 ......$...>..... 3150: 03160003 08491300 00040101 49130b0b .....I......I... 3160: 01130000 0521002f 0b000006 17010b0b .....!./........ 3170: 01130000 070d0003 08491338 0a000008 .........I.8.... 3180: 13010b0b 01130000 09130103 080b0b01 ................ 3190: 1300000a 0f004913 0b0b330b 00000b0f ......I...3..... 31a0: 000b0b33 0b00000c 13010308 0b050113 ...3............ 31b0: 00000d15 00270c00 000e1500 4913270c .....'......I.'. 31c0: 00000f26 00491300 00100101 49130b05 ...&.I......I... 31d0: 01130000 112e013a 0b3b0b03 0849133f .......:.;...I.? 31e0: 0c270c40 0a2a0a11 01120100 00120500 .'.@.*.......... 31f0: 3a0b3b0b 03084913 020a0000 13340003 :.;...I......4.. 3200: 08491300 00000111 00100611 01120103 .I.............. 3210: 081b0825 08130500 0000610c ...%...... Disassembly of section .debug_line: 00000000 <.debug_line>: 0: 00000083 00020000 001f0101 fb0e0a00 ................ 10: 01010101 00000001 00637274 312d7469 .........crt1-ti 20: 6e792e53 00000000 00000502 008e1000 ny.S............ 30: 03300103 01090003 01030609 00030103 .0.............. 40: 02090003 01030109 00030103 09090003 ................ 50: 01030109 00030103 01090005 01030109 ................ 60: 00030103 01090002 01030109 00020103 ................ 70: 07090003 01030a09 00030103 02090003 ................ 80: 01090003 00010100 00003e00 02000000 ..........>..... 90: 220101fb 0e0a0001 01010100 00000100 "............... a0: 64656275 672d7665 63746f72 2e530000 debug-vector.S.. b0: 00000000 0502008e 0c200329 01030209 ......... .).... c0: 00030109 00030001 01000000 3f000200 ............?... d0: 00002301 01fb0e0a 00010101 01000000 ..#............. e0: 0100646f 75626c65 2d766563 746f722e ..double-vector. f0: 53000000 00000005 02008e0f 20032e01 S........... ... 100: 03080900 03010900 03000101 0000003f ...............? 110: 00020000 00230101 fb0e0a00 01010101 .....#.......... 120: 00000001 006b6572 6e656c2d 76656374 .....kernel-vect 130: 6f722e53 00000000 00000502 008e0d40 or.S...........@ 140: 032a0103 08090003 01090003 00010100 .*.............. 150: 0001a600 02000000 a20101fb 0e0a0001 ................ 160: 01010100 0000012f 6361642f 74656e73 ......./cad/tens 170: 696c6963 612f746f 6f6c732f 52422d32 ilica/tools/RB-2 180: 3030372e 322f5874 44657654 6f6f6c73 007.2/XtDevTools 190: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 1a0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 1b0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 1c0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 1d0: 656e7361 00007265 7365742d 76656374 ensa..reset-vect 1e0: 6f722e53 00000000 63616368 65617474 or.S....cacheatt 1f0: 7261736d 2e680001 00000000 0502008e rasm.h.......... 200: 00000337 01031109 00200103 03090002 ...7..... ...... 210: 01033409 00030103 01090003 01030409 ..4............. 220: 00030104 02031c09 00030103 eb010900 ................ 230: 0301038d 7f09001f 01031709 00130103 ................ 240: dc000900 0f01034b 09001101 040103d5 .......K........ 250: 7e09000c 01030109 00030103 01090002 ~............... 260: 01030109 00020103 01090002 01030109 ................ 270: 00020103 01090003 01030109 00030103 ................ 280: 01090002 01030109 00020103 01090002 ................ 290: 01030109 00020103 01090003 01030109 ................ 2a0: 00050103 01090003 0103c400 09000301 ................ 2b0: 03010900 03010301 09000301 030a0900 ................ 2c0: 0301030f 09000301 03010900 02010304 ................ 2d0: 09000301 03010900 03010301 09000301 ................ 2e0: 03c10009 00020103 01090006 01031209 ................ 2f0: 00060109 00030001 01000000 67000200 ............g... 300: 00002101 01fb0e0a 00010101 01000000 ..!............. 310: 01007573 65722d76 6563746f 722e5300 ..user-vector.S. 320: 00000000 00050200 8e0e2003 18010301 .......... ..... 330: 09000301 03010900 02010301 09000201 ................ 340: 03010900 03010302 09000301 03010900 ................ 350: 03010301 09000201 03010900 02010900 ................ 360: 03000101 00000036 00020000 00200101 .......6..... .. 370: fb0e0a00 01010101 00000001 006e6d69 .............nmi 380: 2d766563 746f722e 53000000 00000005 -vector.S....... 390: 02008e0c e4032701 09000300 01010000 ......'......... 3a0: 01910002 00000024 0101fb0e 0a000101 .......$........ 3b0: 01010000 00010077 696e646f 772d7665 .......window-ve 3c0: 63746f72 732e5300 00000000 00050200 ctors.S......... 3d0: 8e080003 e8000103 01090003 01030109 ................ 3e0: 00030103 01090003 01030209 00030103 ................ 3f0: 17090034 01030109 00030103 01090003 ...4............ 400: 01030109 00030103 02090003 01031f09 ................ 410: 00340103 01090003 01030109 00030103 .4.............. 420: 01090003 01030109 00030103 01090003 ................ 430: 01030109 00030103 01090003 01030109 ................ 440: 00030103 02090003 01031f09 00250103 .............%.. 450: 01090003 01030109 00030103 01090003 ................ 460: 01030109 00030103 01090003 01030109 ................ 470: 00030103 01090003 01030109 00030103 ................ 480: 02090003 01032309 00250103 01090003 ......#..%...... 490: 01030109 00030103 01090003 01030109 ................ 4a0: 00030103 01090003 01030109 00030103 ................ 4b0: 01090003 01030109 00030103 01090003 ................ 4c0: 01030109 00030103 01090003 01030109 ................ 4d0: 00030103 02090003 01032309 00190103 ..........#..... 4e0: 01090003 01030109 00030103 01090003 ................ 4f0: 01030109 00030103 01090003 01030109 ................ 500: 00030103 01090003 01030109 00030103 ................ 510: 01090003 01030109 00030103 01090003 ................ 520: 01030109 00030103 02090003 01090003 ................ 530: 00010100 00003c00 02000000 200101fb ......<..... ... 540: 0e0a0001 01010100 00000100 696e742d ............int- 550: 76656374 6f722e53 00000000 00000502 vector.S........ 560: 008e0a20 03240103 01090003 01090003 ... .$.......... 570: 00010100 00003c00 02000000 200101fb ......<..... ... 580: 0e0a0001 01010100 00000100 696e742d ............int- 590: 76656374 6f722e53 00000000 00000502 vector.S........ 5a0: 008e0b20 03240103 01090003 01090003 ... .$.......... 5b0: 00010100 0001e300 02000000 280101fb ............(... 5c0: 0e0a0001 01010100 00000100 6578632d ............exc- 5d0: 616c6c6f 63612d68 616e646c 65722e53 alloca-handler.S 5e0: 00000000 00000502 008e102c 03e40001 ...........,.... 5f0: 03010900 03010301 09000201 03070900 ................ 600: 02010301 09000301 03010900 03010301 ................ 610: 09000301 03010900 03010301 09000301 ................ 620: 03010900 03010302 09000301 03080900 ................ 630: 03010301 09000201 03010900 03010301 ................ 640: 09000301 03010900 02010303 09000301 ................ 650: 03010900 03010301 09000301 03060900 ................ 660: 03010303 09000301 03010900 03010301 ................ 670: 09000201 03030900 0301030d 09000301 ................ 680: 03030900 05010301 09000401 03010900 ................ 690: 04010301 09000401 03010900 04010301 ................ 6a0: 09000401 03010900 04010301 09000401 ................ 6b0: 03010900 04010301 09000401 03010900 ................ 6c0: 04010301 09000401 03010900 04010301 ................ 6d0: 09000401 03010900 04010301 09000401 ................ 6e0: 03070900 02010301 09000301 03050900 ................ 6f0: 02010302 09000301 03050900 03010305 ................ 700: 09000301 03010900 03010301 09000301 ................ 710: 03030900 03010301 09000301 03010900 ................ 720: 03010301 09000301 03010900 02010301 ................ 730: 09000201 03010900 03010301 09000301 ................ 740: 03010900 02010305 09000201 03010900 ................ 750: 03010301 09000301 03010900 03010301 ................ 760: 09000301 03010900 03010301 09000301 ................ 770: 03010900 03010310 09000301 03010900 ................ 780: 02010301 09000201 03010900 02010301 ................ 790: 09000201 09000300 01010000 01360002 .............6.. 7a0: 00000029 0101fb0e 0a000101 01010000 ...)............ 7b0: 00010065 78632d73 79736361 6c6c2d68 ...exc-syscall-h 7c0: 616e646c 65722e53 00000000 00000502 andler.S........ 7d0: 008e1104 03c90001 03060900 03010301 ................ 7e0: 09000301 03010900 02010301 09000301 ................ 7f0: 03010900 03010301 09000201 03010900 ................ 800: 02010301 09000301 03010900 03010306 ................ 810: 09000201 03010900 03010304 09000201 ................ 820: 03070900 03010301 09000301 03010900 ................ 830: 03010302 09000301 03010900 03010301 ................ 840: 09000301 03020900 03010301 09000301 ................ 850: 03010900 03010307 09000301 03060900 ................ 860: 02010302 09000301 03010900 03010301 ................ 870: 09000301 03010900 02010303 09000201 ................ 880: 03010900 03010301 09000201 03010900 ................ 890: 02010301 09000301 03010900 03010301 ................ 8a0: 09000201 03010900 02010306 09000301 ................ 8b0: 03020900 0301030b 09000201 03050900 ................ 8c0: 02010301 09000201 03010900 03010900 ................ 8d0: 03000101 00000100 00020000 002b0101 .............+.. 8e0: fb0e0a00 01010101 00000001 00696e74 .............int 8f0: 2d6c6f77 7072692d 64697370 61746368 -lowpri-dispatch 900: 65722e53 00000000 00000502 008e1174 er.S...........t 910: 03c40001 03100900 02010302 09000301 ................ 920: 03010900 0301030a 09000301 03010900 ................ 930: 020103c7 00090002 01030209 00030103 ................ 940: 02090003 0103d300 09000301 03010900 ................ 950: 03010308 09000301 03310900 0301031e .........1...... 960: 09000301 03030900 02010302 09000301 ................ 970: 03cc0109 00030103 0209000f 01030509 ................ 980: 00030103 01090002 01030809 00020103 ................ 990: 01090002 01030109 00020103 04090003 ................ 9a0: 01030209 000f0103 ea000900 02010308 ................ 9b0: 09000301 03010900 03010301 09000301 ................ 9c0: 03010900 03010303 09000301 03030900 ................ 9d0: 03010900 02000101 000002d4 00020000 ................ 9e0: 02880101 fb0e0a00 01010101 00000001 ................ 9f0: 2f666f6c 6b732f72 6873752f 70726f6a /folks/rhsu/proj a00: 6563742f 7034762f 70657266 6f726365 ect/p4v/perforce a10: 2f70305f 636f7265 2f70726f 6a656374 /p0_core/project a20: 2f737263 2f726f6d 2f6f732f 7372632f /src/rom/os/src/ a30: 78746f73 002f6361 642f7465 6e73696c xtos./cad/tensil a40: 6963612f 746f6f6c 732f5242 2d323030 ica/tools/RB-200 a50: 372e322f 58744465 76546f6f 6c732f69 7.2/XtDevTools/i a60: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- a70: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte a80: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- a90: 656c662f 696e636c 7564652f 7874656e elf/include/xten aa0: 73612f63 6f6e6669 67002f63 61642f74 sa/config./cad/t ab0: 656e7369 6c696361 2f746f6f 6c732f52 ensilica/tools/R ac0: 422d3230 30372e32 2f587444 6576546f B-2007.2/XtDevTo ad0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool ae0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu af0: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt b00: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include b10: 2f787465 6e736100 2f747265 65732f62 /xtensa./trees/b b20: 79616e67 2f4d6167 7069655f 50302f63 yang/Magpie_P0/c b30: 61642f61 7468722f 69702f74 656e7369 ad/athr/ip/tensi b40: 6c696361 2f6d6167 7069655f 76315f30 lica/magpie_v1_0 b50: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ b60: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ b70: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa b80: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ b90: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. ba0: 00696e74 2d736574 68616e64 6c65722e .int-sethandler. bb0: 63000100 00636f72 652e6800 02000068 c....core.h....h bc0: 616c2e68 00030000 636f7265 2d697361 al.h....core-isa bd0: 2e680004 0000636f 72652d6d 61746d61 .h....core-matma be0: 702e6800 04000074 69652e68 00040000 p.h....tie.h.... bf0: 73706563 7265672e 68000400 00636f72 specreg.h....cor c00: 65626974 732e6800 03000078 746f732d ebits.h....xtos- c10: 696e7465 726e616c 2e680001 00007874 internal.h....xt c20: 72756e74 696d652e 68000300 00787472 runtime.h....xtr c30: 756e7469 6d652d66 72616d65 732e6800 untime-frames.h. c40: 03000078 74656e73 612d7665 7273696f ...xtensa-versio c50: 6e732e68 00030000 78746f73 2d706172 ns.h....xtos-par c60: 616d732e 68000100 00000005 02008e11 ams.h........... c70: e4031e01 03050900 03010302 09000501 ................ c80: 03030900 0e010308 09000e01 03000900 ................ c90: 10010300 09001001 03000900 04010308 ................ ca0: 09000401 03010900 03010900 0d000101 ................ cb0: 0000016d 00020000 002b0101 fb0e0a00 ...m.....+...... cc0: 01010101 00000001 00696e74 2d6d6564 .........int-med cd0: 7072692d 64697370 61746368 65722e53 pri-dispatcher.S ce0: 00000000 00000502 008e1240 03340103 ...........@.4.. cf0: 04090003 01030109 00030103 04090002 ................ d00: 01030209 00030103 01090002 01030109 ................ d10: 00020103 01090003 01031109 00030103 ................ d20: 01090002 01030109 00030103 07090003 ................ d30: 01032409 00030103 01090003 01030109 ..$............. d40: 00030103 01090003 01030109 00030103 ................ d50: 01090003 01030109 00030103 01090003 ................ d60: 01030109 00020103 05090011 01030109 ................ d70: 00030103 01090003 01030109 00030103 ................ d80: 01090003 01030109 00080103 01090006 ................ d90: 01030109 00030103 06090002 01030109 ................ da0: 00030103 01090003 01030209 00030103 ................ db0: 01090003 01030109 00030103 01090003 ................ dc0: 01030109 00030103 01090003 01030609 ................ dd0: 00030103 0109000f 01031909 00030103 ................ de0: 01090003 01030109 00030103 01090003 ................ df0: 01030109 00030103 06090003 01030209 ................ e00: 00030103 02090002 01030109 00020103 ................ e10: 01090002 01030109 00020109 00030001 ................ e20: 01000001 67000200 00002b01 01fb0e0a ....g.....+..... e30: 00010101 01000000 0100696e 742d6d65 ..........int-me e40: 64707269 2d646973 70617463 6865722e dpri-dispatcher. e50: 53000000 00000005 02008e12 f4033401 S.............4. e60: 03040900 03010301 09000301 03040900 ................ e70: 02010302 09000301 03010900 02010301 ................ e80: 09000201 03010900 03010311 09000301 ................ e90: 03010900 02010301 09000301 03070900 ................ ea0: 03010324 09000301 03010900 03010301 ...$............ eb0: 09000301 03010900 03010301 09000301 ................ ec0: 03010900 03010301 09000301 03010900 ................ ed0: 03010301 09000201 03050900 11010301 ................ ee0: 09000301 03010900 03010301 09000301 ................ ef0: 03010900 03010301 09000801 03010900 ................ f00: 06010301 09000301 03060900 02010301 ................ f10: 09000301 03010900 03010302 09000301 ................ f20: 03010900 03010301 09000301 03010900 ................ f30: 03010301 09000301 03010900 03010306 ................ f40: 09000301 03010900 0f010319 09000301 ................ f50: 03010900 03010301 09000301 03010900 ................ f60: 03010301 09000301 03080900 03010302 ................ f70: 09000201 03010900 02010301 09000201 ................ f80: 03010900 02010900 03000101 00000048 ...............H f90: 00020000 00250101 fb0e0a00 01010101 .....%.......... fa0: 00000001 00696e74 65727275 70742d74 .....interrupt-t fb0: 61626c65 2e530000 00000000 0502008e able.S.......... fc0: 692003e5 00010306 09000301 03070900 i .............. fd0: 03010900 02000101 00000051 00020000 ...........Q.... fe0: 00230101 fb0e0a00 01010101 00000001 .#.............. ff0: 00657863 2d756e68 616e646c 65642e53 .exc-unhandled.S 1000: 00000000 00000502 008e6928 03300103 ..........i(.0.. 1010: 01090002 01030209 00020103 02090003 ................ 1020: 01030709 00030109 00030001 01000000 ................ 1030: 6c000200 00002001 01fb0e0a 00010101 l..... ......... 1040: 01000000 01006578 632d7265 7475726e ......exc-return 1050: 2e530000 00000000 0502008e 6938033c .S..........i8.< 1060: 01030109 00020103 01090002 01030909 ................ 1070: 00030103 01090002 01030109 00020103 ................ 1080: 0e090003 01030809 00030103 01090002 ................ 1090: 01030209 00020109 00030001 01000015 ................ 10a0: 5e000200 000da401 01fb0e0a 00010101 ^............... 10b0: 01000000 012f726f 6f742f57 6f726b73 ...../root/Works 10c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 10d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 10e0: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 10f0: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 1100: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1110: 6f6d2f61 74686f73 2f737263 002f726f om/athos/src./ro 1120: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1130: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1140: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 1150: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1160: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1170: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 1180: 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ 1190: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 11a0: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 11b0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 11c0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 11d0: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 11e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 11f0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 1200: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 1210: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o 1220: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 1230: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 1240: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 1250: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 1260: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 1270: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 1280: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 1290: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 12a0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 12b0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 12c0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 12d0: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 12e0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 12f0: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 1300: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 1310: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 1320: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 1330: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 1340: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 1350: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 1360: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 1370: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 1380: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1390: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 13a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 13b0: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 13c0: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 13d0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 13e0: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 13f0: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 1400: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 1410: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1420: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 1430: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 1440: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 1450: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 1460: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 1470: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 1480: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1490: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 14a0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 14b0: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 14c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 14d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 14e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 14f0: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 1500: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 1510: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1520: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 1530: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 1540: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 1550: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 1560: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1570: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 1580: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 1590: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 15a0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 15b0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 15c0: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 15d0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 15e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 15f0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1600: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 1610: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 1620: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1630: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 1640: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 1650: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 1660: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 1670: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1680: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 1690: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 16a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 16b0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 16c0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 16d0: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 16e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 16f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1700: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1710: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 1720: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 1730: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 1740: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 1750: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1760: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 1770: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 1780: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1790: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 17a0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 17b0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 17c0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 17d0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 17e0: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 17f0: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 1800: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 1810: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 1820: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 1830: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 1840: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 1850: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 1860: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 1870: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 1880: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1890: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne 18a0: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac 18b0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 18c0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 18d0: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in 18e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 18f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1900: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 1910: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro 1920: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1930: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl 1940: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 1950: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1960: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 1970: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ 1980: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 1990: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 19a0: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc 19b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 19c0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 19d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 19e0: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i 19f0: 6e63002f 6f70742f 7874656e 73612f58 nc./opt/xtensa/X 1a00: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 1a10: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 1a20: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 1a30: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 1a40: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 1a50: 612f7469 65002f72 6f6f742f 576f726b a/tie./root/Work 1a60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1a70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1a80: 5f315f31 2f726f6d 2f667764 00006174 _1_1/rom/fwd..at 1a90: 686f735f 6d61696e 2e630001 00007379 hos_main.c....sy 1aa0: 735f6366 672e6800 02000072 6f6d5f63 s_cfg.h....rom_c 1ab0: 66672e68 00030000 6d616770 69655f6d fg.h....magpie_m 1ac0: 656d2e68 00020000 6174686f 735f6170 em.h....athos_ap 1ad0: 692e6800 0200006f 73617069 2e680004 i.h....osapi.h.. 1ae0: 00004f54 55535f73 6f632e68 00050000 ..OTUS_soc.h.... 1af0: 64745f64 6566732e 68000400 00636d6e dt_defs.h....cmn 1b00: 6f735f61 70692e68 00060000 636f7265 os_api.h....core 1b10: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c 1b20: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor 1b30: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti 1b40: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime 1b50: 2e680008 00007370 65637265 672e6800 .h....specreg.h. 1b60: 09000063 6f726562 6974732e 68000800 ...corebits.h... 1b70: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... 1b80: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r 1b90: 65675f64 6566732e 68000300 00646267 eg_defs.h....dbg 1ba0: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap 1bb0: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. 1bc0: 68000e00 00737472 696e675f 6170692e h....string_api. 1bd0: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h 1be0: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. 1bf0: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h 1c00: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. 1c10: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h 1c20: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. 1c30: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e 1c40: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u 1c50: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ 1c60: 7063692e 68001800 00686966 5f617069 pci.h....hif_api 1c70: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h 1c80: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. 1c90: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util 1ca0: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os 1cb0: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ 1cc0: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... 1cd0: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv 1ce0: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. 1cf0: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... 1d00: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... 1d10: 73746461 72672e68 00040000 76612d78 stdarg.h....va-x 1d20: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o 1d30: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o 1d40: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a 1d50: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. 1d60: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h 1d70: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... 1d80: 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M 1d90: 61677069 655f6170 692e6800 02000075 agpie_api.h....u 1da0: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... 1db0: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc 1dc0: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a 1dd0: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ 1de0: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." 1df0: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d 1e00: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. 1e10: 25000072 65676475 6d702e68 00020000 %..regdump.h.... 1e20: 6d616770 69655f72 65676475 6d702e68 magpie_regdump.h 1e30: 00020000 78745f63 6f72652e 68002600 ....xt_core.h.&. 1e40: 00667764 2e680027 00000000 0502008e .fwd.h.'........ 1e50: 13a403f6 00010302 09000301 031b0900 ................ 1e60: 0a010311 09001001 03010900 0b010301 ................ 1e70: 09000601 03030900 03010301 09000901 ................ 1e80: 03020900 0f010367 09000501 03200900 .......g..... .. 1e90: 03010308 09000301 03030900 03010301 ................ 1ea0: 09000b01 03010900 05010305 09000601 ................ 1eb0: 037d0900 0201037e 09000501 03010900 .}.....~........ 1ec0: 02010304 09000201 037c0900 03010301 .........|...... 1ed0: 09000201 03030900 02010304 09000301 ................ 1ee0: 03070900 02010301 09000301 03040900 ................ 1ef0: 03010305 09000201 037b0900 05010305 .........{...... 1f00: 09000301 03080900 08010301 09000901 ................ 1f10: 037b0900 02010310 09000901 03080900 .{.............. 1f20: 03010302 09000301 03050900 06010303 ................ 1f30: 09000301 03010900 03010304 09000201 ................ 1f40: 03000900 03010302 09000201 03020900 ................ 1f50: 03010306 09000401 03000900 03010305 ................ 1f60: 09000301 03050900 09010301 09000b01 ................ 1f70: 03010900 05010302 09000401 03030900 ................ 1f80: 02010308 09000301 03010900 0c010301 ................ 1f90: 09000801 03010900 06010301 09000601 ................ 1fa0: 03050900 0801037f 09000301 03040900 ................ 1fb0: 02010302 09000701 03040900 04010304 ................ 1fc0: 09000301 03010900 0b010301 09000501 ................ 1fd0: 03060900 0601037c 09000201 037e0900 .......|.....~.. 1fe0: 05010301 09000201 03050900 0201037b ...............{ 1ff0: 09000301 03010900 02010304 09000201 ................ 2000: 03010900 03010300 09000301 03000900 ................ 2010: 03010300 09000301 03050900 2a010308 ............*... 2020: 09000701 03030900 060103e6 00090006 ................ 2030: 01030009 00030103 03090003 01031209 ................ 2040: 00070103 77090009 01030a09 00050103 ....w........... 2050: 71090002 01030f09 00050103 7c090002 q...........|... 2060: 01030409 00050103 04090003 01030909 ................ 2070: 00030103 01090011 01030109 00030103 ................ 2080: 09090005 01030109 00040103 01090005 ................ 2090: 01037d09 00020103 0c09001b 01030609 ..}............. 20a0: 00020103 01090002 01030109 00020103 ................ 20b0: 01090002 01030209 00030103 7f090002 ................ 20c0: 01030509 00020103 0109000b 01030109 ................ 20d0: 00070103 01090007 01030109 00070103 ................ 20e0: 01090007 01030109 00070103 01090007 ................ 20f0: 01030109 00070103 0e090003 01030009 ................ 2100: 00030103 01090003 01037f09 00030103 ................ 2110: 01090002 01030109 00030103 05090002 ................ 2120: 01030309 00030103 7d090005 01030309 ........}....... 2130: 00030103 0209000f 01037e09 00050103 ..........~..... 2140: 02090003 01030109 00020103 01090007 ................ 2150: 01037e09 00020103 04090003 01030109 ..~............. 2160: 00140103 7f090003 01030109 00030103 ................ 2170: 03090003 01030109 00140103 02090003 ................ 2180: 0103ec02 09000401 03000900 03010304 ................ 2190: 09000301 03030900 09010301 09000601 ................ 21a0: 03060900 09010302 09000c01 03020900 ................ 21b0: 06010304 09000401 03000900 03010305 ................ 21c0: 09000301 03080900 0c01037d 09000201 ...........}.... 21d0: 03030900 0301037d 09000501 03030900 .......}........ 21e0: 0c010301 09000301 03020900 09010303 ................ 21f0: 09000401 03010900 0301037f 09000701 ................ 2200: 03030900 03010301 09001701 03020900 ................ 2210: 10010302 09000501 03010900 17010304 ................ 2220: 09001201 03010900 0b010301 09000b01 ................ 2230: 03010900 0b010301 09000b01 03010900 ................ 2240: 0b010302 09000801 03040900 03010300 ................ 2250: 09000301 03050900 0b010301 09000601 ................ 2260: 03030900 09010301 09000901 03020900 ................ 2270: 09010302 09000601 03010900 06010303 ................ 2280: 09000601 03020900 14010301 09000901 ................ 2290: 03020900 03010303 09001101 03010900 ................ 22a0: 08010301 09000d01 03010900 10010302 ................ 22b0: 09000c01 03050900 0b010301 09000901 ................ 22c0: 03060900 0901037c 09000501 03020900 .......|........ 22d0: 03010302 09000801 03050900 04010300 ................ 22e0: 09000301 03010900 06010301 09001a01 ................ 22f0: 03010900 0301037f 09000301 03010900 ................ 2300: 06010307 09000301 037a0900 0601030e .........z...... 2310: 09000401 03000900 03010301 09000901 ................ 2320: 03010900 0301037f 09000301 03010900 ................ 2330: 06010302 09000301 03010900 0801037e ...............~ 2340: 09000601 030f0900 03010300 09000301 ................ 2350: 03070900 0601037d 09000301 03030900 .......}........ 2360: 05010303 09000f01 03010900 11010304 ................ 2370: 09000301 03080900 03010302 09000301 ................ 2380: 03010900 1d010302 09000601 03020900 ................ 2390: 03010302 09000601 03030900 06010324 ...............$ 23a0: 09000801 031a0900 11010366 09000201 ...........f.... 23b0: 031a0900 0301030f 09000301 03710900 .............q.. 23c0: 0601030f 09000201 03010900 0f010306 ................ 23d0: 09000601 03020900 0f010305 09001401 ................ 23e0: 03080900 15010319 09001101 03010900 ................ 23f0: 1101037f 09000601 03010900 03010301 ................ 2400: 09000301 030b0900 03010301 09001101 ................ 2410: 037f0900 06010301 09000301 03020900 ................ 2420: 03010303 09000a01 03020900 1101037e ...............~ 2430: 09000601 03020900 0301030b 09000801 ................ 2440: 03060900 09010304 09000301 03030900 ................ 2450: 09010301 09000b01 03690900 0901031b .........i...... 2460: 09001201 03010900 03010302 09001101 ................ 2470: 037e0900 06010302 09000301 03040900 .~.............. 2480: 03010305 09001401 03050900 09010303 ................ 2490: 09000601 03050900 06010304 09000901 ................ 24a0: 03090900 03010301 09001101 037f0900 ................ 24b0: 02010301 09000301 03020900 05010302 ................ 24c0: 09000b01 03020900 02010304 09001601 ................ 24d0: 03030900 06010303 09000301 03030900 ................ 24e0: 03010302 09000601 03010900 140103c1 ................ 24f0: 00090003 01034209 00020103 1209000c ......B......... 2500: 01030209 00030103 0109000c 01030109 ................ 2510: 00090103 02090009 01030609 00090103 ................ 2520: 01090009 01030109 00030103 03090005 ................ 2530: 01030909 00080103 02090005 01031009 ................ 2540: 00030103 75090002 01030209 00030103 ....u........... 2550: 01090011 01037f09 00060103 01090003 ................ 2560: 01030309 00060103 01090008 01030209 ................ 2570: 00060103 46090003 01030109 000c0103 ....F........... 2580: 01090009 01030209 00090103 02090009 ................ 2590: 01030209 00030103 02090003 01030109 ................ 25a0: 00060103 31090003 0103f27e 09000201 ....1......~.... 25b0: 03010900 03010301 09001101 037f0900 ................ 25c0: 06010301 09000301 03770900 06010302 .........w...... 25d0: 09000301 039a0109 000c0103 00090003 ................ 25e0: 01030309 00030103 0109000b 01030309 ................ 25f0: 00060103 04090003 01090004 00010100 ................ 2600: 000d9200 0200000c e00101fb 0e0a0001 ................ 2610: 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor 2620: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 2630: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 2640: 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp 2650: 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// 2660: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 2670: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 2680: 72616d2f 73726300 2f726f6f 742f576f ram/src./root/Wo 2690: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 26a0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 26b0: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root 26c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 26d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 26e0: 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma 26f0: 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works 2700: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 2710: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r 2720: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 2730: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 2740: 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo 2750: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 2760: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 2770: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 2780: 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt 2790: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 27a0: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 27b0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 27c0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 27d0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 27e0: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ 27f0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 2800: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 2810: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 2820: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 2830: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 2840: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens 2850: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 2860: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 2870: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp 2880: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf 2890: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt 28a0: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo 28b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 28c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 28d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 28e0: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. 28f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 2900: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 2910: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 2920: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in 2930: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 2940: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 2950: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg 2960: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 2970: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 2980: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 2990: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me 29a0: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work 29b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 29c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 29d0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 29e0: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo 29f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 2a00: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 2a10: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 2a20: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo 2a30: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 2a40: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 2a50: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 2a60: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ 2a70: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 2a80: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 2a90: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 2aa0: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch 2ab0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 2ac0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 2ad0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 2ae0: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al 2af0: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root 2b00: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 2b10: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 2b20: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 2b30: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. 2b40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 2b50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 2b60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 2b70: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i 2b80: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 2b90: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 2ba0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 2bb0: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr 2bc0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 2bd0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 2be0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 2bf0: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd 2c00: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 2c10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 2c20: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 2c30: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 2c40: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ 2c50: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 2c60: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 2c70: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 2c80: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 2c90: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 2ca0: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 2cb0: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp 2cc0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 2cd0: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os 2ce0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 2cf0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 2d00: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc 2d10: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 2d20: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 2d30: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 2d40: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 2d50: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in 2d60: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work 2d70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 2d80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 2d90: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in 2da0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 2db0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 2dc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 2dd0: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ 2de0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 2df0: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 2e00: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro 2e10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 2e20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 2e30: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 2e40: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro 2e50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 2e60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 2e70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 2e80: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo 2e90: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 2ea0: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ 2eb0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 2ec0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 2ed0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 2ee0: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ 2ef0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 2f00: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 2f10: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo 2f20: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 2f30: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 2f40: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d 2f50: 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c 2f60: 6d6e6f73 5f616c6c 6f637261 6d2e6300 mnos_allocram.c. 2f70: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 2f80: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag 2f90: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath 2fa0: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap 2fb0: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. 2fc0: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. 2fd0: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... 2fe0: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h 2ff0: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. 3000: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. 3010: 09000074 69652e68 00090000 78747275 ...tie.h....xtru 3020: 6e74696d 652e6800 08000073 70656372 ntime.h....specr 3030: 65672e68 00090000 636f7265 62697473 eg.h....corebits 3040: 2e680008 00007072 696e7466 5f617069 .h....printf_api 3050: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h 3060: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. 3070: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m 3080: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc 3090: 5f617069 2e68000e 00007374 72696e67 _api.h....string 30a0: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ 30b0: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap 30c0: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ 30d0: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet 30e0: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ 30f0: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap 3100: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h 3110: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h 3120: 00170000 7573625f 6170692e 68001800 ....usb_api.h... 3130: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi 3140: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n 3150: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ 3160: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os 3170: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a 3180: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... 3190: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes 31a0: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ 31b0: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd 31c0: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api 31d0: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. 31e0: 68001e00 00737464 6172672e 68000400 h....stdarg.h... 31f0: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... 3200: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... 3210: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h 3220: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type 3230: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ 3240: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib 3250: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h 3260: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h 3270: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. 3280: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! 3290: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p 32a0: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi 32b0: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm 32c0: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h 32d0: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a 32e0: 70692e68 00250000 00000502 008e1c34 pi.h.%.........4 32f0: 031a0103 00090003 01031e09 00050103 ................ 3300: 7e090002 01030909 00020103 0a090004 ~............... 3310: 01030009 00030103 04090003 01037d09 ..............}. 3320: 00070103 03090002 01030209 00060103 ................ 3330: 7f090003 01030109 00050103 07090002 ................ 3340: 01037b09 00050103 02090009 01030809 ..{............. 3350: 00030103 00090003 01030109 00060103 ................ 3360: 0109000b 01030109 000b0103 04090005 ................ 3370: 01030009 00030103 03090009 01037f09 ................ 3380: 00020103 7f090002 01030309 00020109 ................ 3390: 00020001 0100000e 17000200 000cda01 ................ 33a0: 01fb0e0a 00010101 01000000 012f726f ............./ro 33b0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 33c0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 33d0: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 33e0: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 33f0: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 3400: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 3410: 2f636c6f 636b2f73 7263002f 726f6f74 /clock/src./root 3420: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 3430: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 3440: 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r 3450: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 3460: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 3470: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 3480: 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo 3490: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 34a0: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 34b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 34c0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 34d0: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ 34e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 34f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 3500: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 3510: 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt 3520: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 3530: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 3540: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 3550: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 3560: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 3570: 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o 3580: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 3590: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 35a0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 35b0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 35c0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 35d0: 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt 35e0: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 35f0: 696e7374 616c6c2f 6275696c 64732f52 install/builds/R 3600: 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M 3610: 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- 3620: 656c662f 61726368 2f696e63 6c756465 elf/arch/include 3630: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ 3640: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 3650: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 3660: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 3670: 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i 3680: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 3690: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 36a0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 36b0: 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart 36c0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 36d0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 36e0: 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ 36f0: 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo 3700: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 3710: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 3720: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 3730: 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W 3740: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 3750: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 3760: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 3770: 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root 3780: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 3790: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 37a0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 37b0: 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ 37c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 37d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 37e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 37f0: 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in 3800: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 3810: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 3820: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 3830: 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa 3840: 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo 3850: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 3860: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 3870: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 3880: 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r 3890: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 38a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 38b0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 38c0: 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i 38d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 38e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 38f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 3900: 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc 3910: 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work 3920: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 3930: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 3940: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i 3950: 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo 3960: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 3970: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 3980: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 3990: 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W 39a0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 39b0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 39c0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 39d0: 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro 39e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 39f0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 3a00: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 3a10: 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo 3a20: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 3a30: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 3a40: 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor 3a50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 3a60: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 3a70: 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp 3a80: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 3a90: 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ 3aa0: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ 3ab0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 3ac0: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 3ad0: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 3ae0: 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib 3af0: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 3b00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 3b10: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 3b20: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf 3b30: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 3b40: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 3b50: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 3b60: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in 3b70: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 3b80: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 3b90: 6164662f 696e636c 7564652f 6e657400 adf/include/net. 3ba0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 3bb0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 3bc0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 3bd0: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. 3be0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 3bf0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 3c00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 3c10: 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root 3c20: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 3c30: 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ 3c40: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac 3c50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 3c60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 3c70: 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in 3c80: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 3c90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 3ca0: 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ 3cb0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 3cc0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 3cd0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 3ce0: 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc 3cf0: 0000636d 6e6f735f 636c6f63 6b2e6300 ..cmnos_clock.c. 3d00: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... 3d10: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag 3d20: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath 3d30: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap 3d40: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. 3d50: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. 3d60: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... 3d70: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h 3d80: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. 3d90: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. 3da0: 09000074 69652e68 00090000 78747275 ...tie.h....xtru 3db0: 6e74696d 652e6800 08000073 70656372 ntime.h....specr 3dc0: 65672e68 00090000 636f7265 62697473 eg.h....corebits 3dd0: 2e680008 00007072 696e7466 5f617069 .h....printf_api 3de0: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h 3df0: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. 3e00: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m 3e10: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc 3e20: 5f617069 2e68000e 00007374 72696e67 _api.h....string 3e30: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ 3e40: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap 3e50: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ 3e60: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet 3e70: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ 3e80: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap 3e90: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h 3ea0: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h 3eb0: 00170000 7573625f 6170692e 68001800 ....usb_api.h... 3ec0: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi 3ed0: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n 3ee0: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ 3ef0: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os 3f00: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a 3f10: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... 3f20: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes 3f30: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ 3f40: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd 3f50: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api 3f60: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. 3f70: 68001e00 00737464 6172672e 68000400 h....stdarg.h... 3f80: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... 3f90: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... 3fa0: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h 3fb0: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type 3fc0: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ 3fd0: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib 3fe0: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h 3ff0: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h 4000: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. 4010: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! 4020: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p 4030: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi 4040: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm 4050: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h 4060: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a 4070: 70692e68 00250000 00000502 008e1cac pi.h.%.......... 4080: 03ec0001 03000900 03010304 09000301 ................ 4090: 03010900 07010303 09000801 03030900 ................ 40a0: 09010309 09000201 030e0900 03010302 ................ 40b0: 09000601 03090900 07010300 09000301 ................ 40c0: 03010900 03010300 09000201 03060900 ................ 40d0: 0401030b 09000301 03090900 05010302 ................ 40e0: 09000301 03050900 05010303 09000301 ................ 40f0: 030a0900 05010303 09000301 03040900 ................ 4100: 05010303 09000301 03040900 05010307 ................ 4110: 09000301 03060900 12010304 09000201 ................ 4120: 037c0900 02010304 09000a01 03760900 .|...........v.. 4130: 0301030c 09000901 03040900 02010306 ................ 4140: 09000301 03090900 03010310 09001601 ................ 4150: 037d0900 02010303 09000501 03020900 .}.............. 4160: 04010306 09000401 03000900 0301030a ................ 4170: 09001b01 037e0900 0201037f 09000201 .....~.......... 4180: 037f0900 0201037f 09000201 037f0900 ................ 4190: 0201037f 09000201 037f0900 0201037f ................ 41a0: 09000201 030a0900 02010900 02000101 ................ 41b0: 00001028 00020000 0cdc0101 fb0e0a00 ...(............ 41c0: 01010101 00000001 2f726f6f 742f576f ......../root/Wo 41d0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 41e0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 41f0: 69655f31 5f312f69 6d616765 2f6d6167 ie_1_1/image/mag 4200: 7069652f 2e2e2f2e 2e2f2e2e 2f2e2e2f pie/../../../../ 4210: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 4220: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 4230: 6f6d2f73 7263002f 726f6f74 2f576f72 om/src./root/Wor 4240: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 4250: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 4260: 655f315f 312f696e 63002f72 6f6f742f e_1_1/inc./root/ 4270: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 4280: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 4290: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 42a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 42b0: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 42c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 42d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 42e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 42f0: 726f6d2f 636d6e6f 732f696e 63002f72 rom/cmnos/inc./r 4300: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 4310: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 4320: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 4330: 2f6d6167 70696500 2f6f7074 2f787465 /magpie./opt/xte 4340: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 4350: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 4360: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 4370: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 4380: 656c662f 696e636c 7564652f 7874656e elf/include/xten 4390: 73612f63 6f6e6669 67002f6f 70742f78 sa/config./opt/x 43a0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 43b0: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 43c0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 43d0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 43e0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 43f0: 656e7361 002f6f70 742f7874 656e7361 ensa./opt/xtensa 4400: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst 4410: 616c6c2f 6275696c 64732f52 422d3230 all/builds/RB-20 4420: 30372e32 2d6c696e 75782f4d 61677069 07.2-linux/Magpi 4430: 655f5030 2f787465 6e73612d 656c662f e_P0/xtensa-elf/ 4440: 61726368 2f696e63 6c756465 2f787465 arch/include/xte 4450: 6e73612f 636f6e66 6967002f 726f6f74 nsa/config./root 4460: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 4470: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 4480: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 4490: 6e6f732f 7072696e 74662f69 6e63002f nos/printf/inc./ 44a0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 44b0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 44c0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 44d0: 6d2f636d 6e6f732f 75617274 2f696e63 m/cmnos/uart/inc 44e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 44f0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 4500: 61726765 742f636d 6e6f732f 6462672f arget/cmnos/dbg/ 4510: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 4520: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 4530: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 4540: 5f312f72 6f6d2f63 6d6e6f73 2f6d656d _1/rom/cmnos/mem 4550: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 4560: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 4570: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 4580: 315f312f 726f6d2f 636d6e6f 732f6d69 1_1/rom/cmnos/mi 4590: 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor 45a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 45b0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 45c0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 45d0: 73747269 6e672f69 6e63002f 726f6f74 string/inc./root 45e0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 45f0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 4600: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 4610: 6e6f732f 74696d65 722f696e 63002f72 nos/timer/inc./r 4620: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 4630: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 4640: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 4650: 2f636d6e 6f732f72 6f6d7061 7463682f /cmnos/rompatch/ 4660: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 4670: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 4680: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 4690: 5f312f72 6f6d2f63 6d6e6f73 2f616c6c _1/rom/cmnos/all 46a0: 6f637261 6d2f696e 63002f72 6f6f742f ocram/inc./root/ 46b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 46c0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 46d0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 46e0: 6f732f74 61736b6c 65742f69 6e63002f os/tasklet/inc./ 46f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 4700: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 4710: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 4720: 6d2f636d 6e6f732f 636c6f63 6b2f696e m/cmnos/clock/in 4730: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 4740: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 4750: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 4760: 2f726f6d 2f636d6e 6f732f69 6e74722f /rom/cmnos/intr/ 4770: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 4780: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 4790: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 47a0: 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt 47b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 47c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 47d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 47e0: 315f312f 726f6d2f 636d6e6f 732f6565 1_1/rom/cmnos/ee 47f0: 70726f6d 2f696e63 002f726f 6f742f57 prom/inc./root/W 4800: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 4810: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 4820: 7069655f 315f312f 726f6d2f 6869662f pie_1_1/rom/hif/ 4830: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 4840: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 4850: 742f6164 662f696e 636c7564 652f6e62 t/adf/include/nb 4860: 7566002f 726f6f74 2f576f72 6b737061 uf./root/Workspa 4870: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 4880: 2f616466 2f696e63 6c756465 2f6f7300 /adf/include/os. 4890: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 48a0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 48b0: 72676574 2f616466 2f6f732f 696e6300 rget/adf/os/inc. 48c0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 48d0: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 48e0: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 48f0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 4900: 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc 4910: 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works 4920: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 4930: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 4940: 315f312f 726f6d2f 76627566 2f696e63 1_1/rom/vbuf/inc 4950: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 4960: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 4970: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 4980: 726f6d2f 76646573 632f696e 63002f72 rom/vdesc/inc./r 4990: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 49a0: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 49b0: 696e636c 7564652f 6e657400 2f726f6f include/net./roo 49c0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 49d0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 49e0: 6d616770 69655f31 5f312f72 6f6d2f61 magpie_1_1/rom/a 49f0: 64662f6e 6275662f 696e6300 2f726f6f df/nbuf/inc./roo 4a00: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 4a10: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 4a20: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 4a30: 74632f69 6e63002f 726f6f74 2f576f72 tc/inc./root/Wor 4a40: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 4a50: 2f776c61 6e2f696e 636c7564 65002f72 /wlan/include./r 4a60: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 4a70: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 4a80: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 4a90: 2f627566 5f706f6f 6c2f696e 63002f72 /buf_pool/inc./r 4aa0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 4ab0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 4ac0: 65742f77 6d692f69 6e63002f 726f6f74 et/wmi/inc./root 4ad0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 4ae0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 4af0: 61677069 655f315f 312f726f 6d2f646d agpie_1_1/rom/dm 4b00: 615f656e 67696e65 2f696e63 0000636d a_engine/inc..cm 4b10: 6e6f735f 65657072 6f6d2e63 00010000 nos_eeprom.c.... 4b20: 6174686f 735f6170 692e6800 0200006f athos_api.h....o 4b30: 73617069 2e680003 00004f54 55535f73 sapi.h....OTUS_s 4b40: 6f632e68 00040000 64745f64 6566732e oc.h....dt_defs. 4b50: 68000300 00636d6e 6f735f61 70692e68 h....cmnos_api.h 4b60: 00050000 7379735f 6366672e 68000200 ....sys_cfg.h... 4b70: 00726f6d 5f636667 2e680006 00006d61 .rom_cfg.h....ma 4b80: 67706965 5f6d656d 2e680002 0000636f gpie_mem.h....co 4b90: 72652e68 00070000 68616c2e 68000800 re.h....hal.h... 4ba0: 00636f72 652d6973 612e6800 09000063 .core-isa.h....c 4bb0: 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... 4bc0: 7469652e 68000900 00787472 756e7469 tie.h....xtrunti 4bd0: 6d652e68 00080000 73706563 7265672e me.h....specreg. 4be0: 68000900 00636f72 65626974 732e6800 h....corebits.h. 4bf0: 08000070 72696e74 665f6170 692e6800 ...printf_api.h. 4c00: 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... 4c10: 00726567 5f646566 732e6800 06000064 .reg_defs.h....d 4c20: 62675f61 70692e68 000c0000 6d656d5f bg_api.h....mem_ 4c30: 6170692e 68000d00 006d6973 635f6170 api.h....misc_ap 4c40: 692e6800 0e000073 7472696e 675f6170 i.h....string_ap 4c50: 692e6800 0f000074 696d6572 5f617069 i.h....timer_api 4c60: 2e680010 0000726f 6d705f61 70692e68 .h....romp_api.h 4c70: 00110000 616c6c6f 6372616d 5f617069 ....allocram_api 4c80: 2e680012 00007461 736b6c65 745f6170 .h....tasklet_ap 4c90: 692e6800 13000063 6c6f636b 5f617069 i.h....clock_api 4ca0: 2e680014 0000696e 74725f61 70692e68 .h....intr_api.h 4cb0: 00150000 7764745f 6170692e 68001600 ....wdt_api.h... 4cc0: 00656570 726f6d5f 6170692e 68001700 .eeprom_api.h... 4cd0: 00757362 5f617069 2e680018 00006869 .usb_api.h....hi 4ce0: 665f7063 692e6800 18000068 69665f61 f_pci.h....hif_a 4cf0: 70692e68 00180000 6164665f 6e627566 pi.h....adf_nbuf 4d00: 2e680019 00006164 665f6f73 5f757469 .h....adf_os_uti 4d10: 6c2e6800 1a000061 64665f6f 735f7574 l.h....adf_os_ut 4d20: 696c5f70 76742e68 001b0000 6164665f il_pvt.h....adf_ 4d30: 6f735f74 79706573 2e68001a 00006164 os_types.h....ad 4d40: 665f6f73 5f737464 74797065 732e6800 f_os_stdtypes.h. 4d50: 1a000061 64665f6f 735f7479 7065735f ...adf_os_types_ 4d60: 7076742e 68001b00 00737464 6465662e pvt.h....stddef. 4d70: 68001c00 00766275 665f6170 692e6800 h....vbuf_api.h. 4d80: 1d000076 64657363 5f617069 2e68001e ...vdesc_api.h.. 4d90: 00007374 64617267 2e680003 00007661 ..stdarg.h....va 4da0: 2d787465 6e73612e 68001c00 00616466 -xtensa.h....adf 4db0: 5f6f735f 646d612e 68001a00 00616466 _os_dma.h....adf 4dc0: 5f6f735f 646d615f 7076742e 68001b00 _os_dma_pvt.h... 4dd0: 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h 4de0: 001f0000 6164665f 6e627566 5f707674 ....adf_nbuf_pvt 4df0: 2e680020 0000646d 615f6c69 622e6800 .h. ..dma_lib.h. 4e00: 18000068 69665f67 6d61632e 68001800 ...hif_gmac.h... 4e10: 004d6167 7069655f 6170692e 68000200 .Magpie_api.h... 4e20: 00757362 6669666f 5f617069 2e680018 .usbfifo_api.h.. 4e30: 00006874 635f6170 692e6800 21000068 ..htc_api.h.!..h 4e40: 74632e68 00220000 6275665f 706f6f6c tc.h."..buf_pool 4e50: 5f617069 2e680023 0000776d 695f7376 _api.h.#..wmi_sv 4e60: 635f6170 692e6800 24000077 6d692e68 c_api.h.$..wmi.h 4e70: 00220000 61746864 6566732e 68002200 ."..athdefs.h.". 4e80: 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. 4e90: 68002500 00000005 02008e1d a0032c01 h.%...........,. 4ea0: 03000900 0301030c 09000801 03010900 ................ 4eb0: 0f010301 09000601 03030900 06010303 ................ 4ec0: 09000901 03030900 09010305 09000f01 ................ 4ed0: 03020900 0c01030f 09000501 03000900 ................ 4ee0: 03010309 09001501 03020900 06010302 ................ 4ef0: 09000601 03010900 03010312 09000501 ................ 4f00: 03000900 03010301 09000301 03020900 ................ 4f10: 03010302 09000a01 03020900 09010301 ................ 4f20: 09000301 030c0900 02010378 09000201 ...........x.... 4f30: 03010900 05010307 09000201 03750900 .............u.. 4f40: 06010301 09000301 030a0900 0201030b ................ 4f50: 09000301 03000900 03010309 09000301 ................ 4f60: 03020900 0b010307 09000801 03020900 ................ 4f70: 05010305 09000e01 03010900 09010378 ...............x 4f80: 09000a01 03110900 0501037c 09000201 ...........|.... 4f90: 03010900 09010303 09000201 036a0900 .............j.. 4fa0: 02010301 09000901 03150900 0201030b ................ 4fb0: 09000201 03000900 03010308 09000301 ................ 4fc0: 03020900 08010307 09000801 03020900 ................ 4fd0: 03010304 09000701 037e0900 0201037e .........~.....~ 4fe0: 09000201 03040900 0301037a 09000301 ...........z.... 4ff0: 03080900 03010306 09000201 037e0900 .............~.. 5000: 02010302 09000201 036d0900 05010301 .........m...... 5010: 09000901 03120900 02010313 09000501 ................ 5020: 03020900 0301037e 09000901 03020900 .......~........ 5030: 02010301 09000501 03030900 0301037d ...............} 5040: 09000301 03050900 07010325 09001d01 ...........%.... 5050: 03010900 14010301 09000f01 037f0900 ................ 5060: 05010301 09000301 03030900 03010301 ................ 5070: 09001401 03010900 0f01037f 09000601 ................ 5080: 03010900 0301030b 09000301 03010900 ................ 5090: 14010302 09000e01 037e0900 05010302 .........~...... 50a0: 09000301 03040900 03010305 09001701 ................ 50b0: 03010900 17010304 09000c01 037c0900 .............|.. 50c0: 03010304 09000301 03040900 06010301 ................ 50d0: 09001701 03010900 0e01037f 09000301 ................ 50e0: 03010900 03010303 09000501 03010900 ................ 50f0: 0e01037f 09000501 03010900 03010303 ................ 5100: 09000301 03010900 1701030a 09000f01 ................ 5110: 03020900 12010301 09000b01 03020900 ................ 5120: 0b01037b 09000801 030f0900 03010301 ...{............ 5130: 09001701 03010900 0f01037f 09000501 ................ 5140: 03010900 03010302 09000301 03010900 ................ 5150: 17010301 09000f01 03080900 08010307 ................ 5160: 09000c01 03030900 06010302 09000301 ................ 5170: 03140900 03010370 09000201 03080900 .......p........ 5180: 0501036d 09000901 030d0900 03010301 ...m............ 5190: 09000501 030d0900 0701034c 09000201 ...........L.... 51a0: 03020900 0e010332 09000f01 03020900 .......2........ 51b0: 04010300 09000301 03040900 0c01037f ................ 51c0: 09000201 037f0900 0201037f 09000201 ................ 51d0: 03040900 02010900 02000101 00000e7d ...............} 51e0: 00020000 0cf90101 fb0e0a00 01010101 ................ 51f0: 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp 5200: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 5210: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 5220: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ 5230: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui 5240: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 5250: 6d2f636d 6e6f732f 696e7472 2f737263 m/cmnos/intr/src 5260: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 5270: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 5280: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 5290: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 52a0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 52b0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 52c0: 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r 52d0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 52e0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 52f0: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor 5300: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 5310: 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ 5320: 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works 5330: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 5340: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 5350: 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in 5360: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 5370: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 5380: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 5390: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 53a0: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in 53b0: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con 53c0: 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ 53d0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 53e0: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 53f0: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 5400: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ 5410: 696e636c 7564652f 7874656e 7361002f include/xtensa./ 5420: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 5430: 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu 5440: 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l 5450: 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x 5460: 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i 5470: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co 5480: 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works 5490: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 54a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 54b0: 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr 54c0: 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W 54d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 54e0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 54f0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 5500: 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root 5510: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 5520: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 5530: 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r 5540: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 5550: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 5560: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 5570: 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ 5580: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 5590: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 55a0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 55b0: 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc 55c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 55d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 55e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 55f0: 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string 5600: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 5610: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 5620: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 5630: 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti 5640: 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo 5650: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 5660: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 5670: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 5680: 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r 5690: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 56a0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 56b0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 56c0: 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ 56d0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 56e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 56f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 5700: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas 5710: 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W 5720: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 5730: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 5740: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 5750: 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo 5760: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 5770: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 5780: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 5790: 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r 57a0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 57b0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 57c0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 57d0: 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ 57e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 57f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 5800: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 5810: 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i 5820: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 5830: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 5840: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 5850: 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r 5860: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 5870: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 5880: 696e636c 7564652f 6e627566 002f726f include/nbuf./ro 5890: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 58a0: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 58b0: 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ 58c0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 58d0: 3230322f 7467742f 74617267 65742f61 202/tgt/target/a 58e0: 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x 58f0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 5900: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 5910: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 5920: 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x 5930: 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ 5940: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 5950: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 5960: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 5970: 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root 5980: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 5990: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 59a0: 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd 59b0: 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo 59c0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 59d0: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 59e0: 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work 59f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 5a00: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 5a10: 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu 5a20: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 5a30: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 5a40: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 5a50: 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc 5a60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 5a70: 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ 5a80: 696e636c 75646500 2f726f6f 742f576f include./root/Wo 5a90: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 5aa0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 5ab0: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p 5ac0: 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo 5ad0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 5ae0: 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi 5af0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 5b00: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 5b10: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 5b20: 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi 5b30: 6e652f69 6e630000 636d6e6f 735f696e ne/inc..cmnos_in 5b40: 74722e63 00010000 7379735f 6366672e tr.c....sys_cfg. 5b50: 68000200 00726f6d 5f636667 2e680003 h....rom_cfg.h.. 5b60: 00006d61 67706965 5f6d656d 2e680002 ..magpie_mem.h.. 5b70: 00006174 686f735f 6170692e 68000200 ..athos_api.h... 5b80: 006f7361 70692e68 00040000 4f545553 .osapi.h....OTUS 5b90: 5f736f63 2e680005 00006474 5f646566 _soc.h....dt_def 5ba0: 732e6800 04000063 6d6e6f73 5f617069 s.h....cmnos_api 5bb0: 2e680006 0000636f 72652e68 00070000 .h....core.h.... 5bc0: 68616c2e 68000800 00636f72 652d6973 hal.h....core-is 5bd0: 612e6800 09000063 6f72652d 6d61746d a.h....core-matm 5be0: 61702e68 00090000 7469652e 68000900 ap.h....tie.h... 5bf0: 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... 5c00: 73706563 7265672e 68000900 00636f72 specreg.h....cor 5c10: 65626974 732e6800 08000070 72696e74 ebits.h....print 5c20: 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ 5c30: 6170692e 68000b00 00726567 5f646566 api.h....reg_def 5c40: 732e6800 03000064 62675f61 70692e68 s.h....dbg_api.h 5c50: 000c0000 6d656d5f 6170692e 68000d00 ....mem_api.h... 5c60: 006d6973 635f6170 692e6800 0e000073 .misc_api.h....s 5c70: 7472696e 675f6170 692e6800 0f000074 tring_api.h....t 5c80: 696d6572 5f617069 2e680010 0000726f imer_api.h....ro 5c90: 6d705f61 70692e68 00110000 616c6c6f mp_api.h....allo 5ca0: 6372616d 5f617069 2e680012 00007461 cram_api.h....ta 5cb0: 736b6c65 745f6170 692e6800 13000063 sklet_api.h....c 5cc0: 6c6f636b 5f617069 2e680014 0000696e lock_api.h....in 5cd0: 74725f61 70692e68 00150000 7764745f tr_api.h....wdt_ 5ce0: 6170692e 68001600 00656570 726f6d5f api.h....eeprom_ 5cf0: 6170692e 68001700 00757362 5f617069 api.h....usb_api 5d00: 2e680018 00006869 665f7063 692e6800 .h....hif_pci.h. 5d10: 18000068 69665f61 70692e68 00180000 ...hif_api.h.... 5d20: 6164665f 6e627566 2e680019 00006164 adf_nbuf.h....ad 5d30: 665f6f73 5f757469 6c2e6800 1a000061 f_os_util.h....a 5d40: 64665f6f 735f7574 696c5f70 76742e68 df_os_util_pvt.h 5d50: 001b0000 6164665f 6f735f74 79706573 ....adf_os_types 5d60: 2e68001a 00006164 665f6f73 5f737464 .h....adf_os_std 5d70: 74797065 732e6800 1a000061 64665f6f types.h....adf_o 5d80: 735f7479 7065735f 7076742e 68001b00 s_types_pvt.h... 5d90: 00737464 6465662e 68001c00 00766275 .stddef.h....vbu 5da0: 665f6170 692e6800 1d000076 64657363 f_api.h....vdesc 5db0: 5f617069 2e68001e 00007374 64617267 _api.h....stdarg 5dc0: 2e680004 00007661 2d787465 6e73612e .h....va-xtensa. 5dd0: 68001c00 00616466 5f6f735f 646d612e h....adf_os_dma. 5de0: 68001a00 00616466 5f6f735f 646d615f h....adf_os_dma_ 5df0: 7076742e 68001b00 00616466 5f6e6574 pvt.h....adf_net 5e00: 5f747970 65732e68 001f0000 6164665f _types.h....adf_ 5e10: 6e627566 5f707674 2e680020 0000646d nbuf_pvt.h. ..dm 5e20: 615f6c69 622e6800 18000068 69665f67 a_lib.h....hif_g 5e30: 6d61632e 68001800 004d6167 7069655f mac.h....Magpie_ 5e40: 6170692e 68000200 00757362 6669666f api.h....usbfifo 5e50: 5f617069 2e680018 00006874 635f6170 _api.h....htc_ap 5e60: 692e6800 21000068 74632e68 00220000 i.h.!..htc.h.".. 5e70: 6275665f 706f6f6c 5f617069 2e680023 buf_pool_api.h.# 5e80: 0000776d 695f7376 635f6170 692e6800 ..wmi_svc_api.h. 5e90: 24000077 6d692e68 00220000 61746864 $..wmi.h."..athd 5ea0: 6566732e 68002200 00646d61 5f656e67 efs.h."..dma_eng 5eb0: 696e655f 6170692e 68002500 00726567 ine_api.h.%..reg 5ec0: 64756d70 2e680002 00006d61 67706965 dump.h....magpie 5ed0: 5f726567 64756d70 2e680002 00000000 _regdump.h...... 5ee0: 0502008e 2188031d 01030209 00030103 ....!........... 5ef0: 0a090005 01030009 00030103 04090003 ................ 5f00: 01030309 00060103 01090009 01030309 ................ 5f10: 00070103 02090008 01030509 00040103 ................ 5f20: 00090003 01030509 00030103 01090006 ................ 5f30: 01037f09 00030103 01090002 01030309 ................ 5f40: 00030103 7e090003 01030209 00120103 ....~........... 5f50: 7e090003 01030209 00050103 01090003 ~............... 5f60: 01030109 00080103 04090004 01030009 ................ 5f70: 00030103 05090003 01030109 00060103 ................ 5f80: 7f090003 01030109 00020103 05090003 ................ 5f90: 01037c09 00060103 0409000a 01037c09 ..|...........|. 5fa0: 00030103 04090005 01030109 00030103 ................ 5fb0: 01090008 01030509 00050103 00090003 ................ 5fc0: 01030309 00030103 00090020 01030109 ........... .... 5fd0: 00050103 0009001b 01030209 00060103 ................ 5fe0: 05090009 01037d09 00030103 01090005 ......}......... 5ff0: 01030209 00020103 01090003 01030d09 ................ 6000: 00020103 00090003 01030109 00030103 ................ 6010: 00090020 01030109 00060103 00090027 ... ...........' 6020: 01030409 00030103 0809000a 01030009 ................ 6030: 00030103 0509000f 01037f09 00020103 ................ 6040: 7f090002 01037f09 00020103 7f090002 ................ 6050: 01030f09 00020109 00020001 0100000d ................ 6060: 22000200 000cd601 01fb0e0a 00010101 "............... 6070: 01000000 012f726f 6f742f57 6f726b73 ...../root/Works 6080: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 6090: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 60a0: 315f312f 696d6167 652f6d61 67706965 1_1/image/magpie 60b0: 2f2e2e2f 2e2e2f2e 2e2f2e2e 2f2f6275 /../../../..//bu 60c0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 60d0: 6f6d2f63 6d6e6f73 2f6d656d 2f737263 om/cmnos/mem/src 60e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 60f0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 6100: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 6110: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 6120: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 6130: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 6140: 5f312f69 6e632f6d 61677069 65002f72 _1/inc/magpie./r 6150: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 6160: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 6170: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor 6180: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 6190: 2f746774 2f746172 6765742f 696e632f /tgt/target/inc/ 61a0: 4f545553 002f726f 6f742f57 6f726b73 OTUS./root/Works 61b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 61c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 61d0: 315f312f 726f6d2f 636d6e6f 732f696e 1_1/rom/cmnos/in 61e0: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 61f0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 6200: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 6210: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 6220: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in 6230: 636c7564 652f7874 656e7361 2f636f6e clude/xtensa/con 6240: 66696700 2f6f7074 2f787465 6e73612f fig./opt/xtensa/ 6250: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 6260: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 6270: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT 6280: 6f6f6c73 2f787465 6e73612d 656c662f ools/xtensa-elf/ 6290: 696e636c 7564652f 7874656e 7361002f include/xtensa./ 62a0: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 62b0: 546f6f6c 732f696e 7374616c 6c2f6275 Tools/install/bu 62c0: 696c6473 2f52422d 32303037 2e322d6c ilds/RB-2007.2-l 62d0: 696e7578 2f4d6167 7069655f 50302f78 inux/Magpie_P0/x 62e0: 74656e73 612d656c 662f6172 63682f69 tensa-elf/arch/i 62f0: 6e636c75 64652f78 74656e73 612f636f nclude/xtensa/co 6300: 6e666967 002f726f 6f742f57 6f726b73 nfig./root/Works 6310: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 6320: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 6330: 315f312f 726f6d2f 636d6e6f 732f7072 1_1/rom/cmnos/pr 6340: 696e7466 2f696e63 002f726f 6f742f57 intf/inc./root/W 6350: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 6360: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 6370: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 6380: 732f7561 72742f69 6e63002f 726f6f74 s/uart/inc./root 6390: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 63a0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 63b0: 636d6e6f 732f6462 672f696e 63002f72 cmnos/dbg/inc./r 63c0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 63d0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 63e0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 63f0: 2f636d6e 6f732f6d 656d2f69 6e63002f /cmnos/mem/inc./ 6400: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 6410: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 6420: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 6430: 6d2f636d 6e6f732f 6d697363 2f696e63 m/cmnos/misc/inc 6440: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 6450: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 6460: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 6470: 726f6d2f 636d6e6f 732f7374 72696e67 rom/cmnos/string 6480: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 6490: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 64a0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 64b0: 315f312f 726f6d2f 636d6e6f 732f7469 1_1/rom/cmnos/ti 64c0: 6d65722f 696e6300 2f726f6f 742f576f mer/inc./root/Wo 64d0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 64e0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 64f0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 6500: 2f726f6d 70617463 682f696e 63002f72 /rompatch/inc./r 6510: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 6520: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 6530: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 6540: 2f636d6e 6f732f61 6c6c6f63 72616d2f /cmnos/allocram/ 6550: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 6560: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 6570: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 6580: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas 6590: 6b6c6574 2f696e63 002f726f 6f742f57 klet/inc./root/W 65a0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 65b0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 65c0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 65d0: 732f636c 6f636b2f 696e6300 2f726f6f s/clock/inc./roo 65e0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 65f0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 6600: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 6610: 6d6e6f73 2f696e74 722f696e 63002f72 mnos/intr/inc./r 6620: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 6630: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 6640: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 6650: 2f636d6e 6f732f77 64742f69 6e63002f /cmnos/wdt/inc./ 6660: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 6670: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 6680: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 6690: 6d2f636d 6e6f732f 65657072 6f6d2f69 m/cmnos/eeprom/i 66a0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 66b0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 66c0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 66d0: 312f726f 6d2f6869 662f696e 63002f72 1/rom/hif/inc./r 66e0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 66f0: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 6700: 696e636c 7564652f 6e627566 002f726f include/nbuf./ro 6710: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 6720: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 6730: 6e636c75 64652f6f 73002f72 6f6f742f nclude/os./root/ 6740: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 6750: 3230322f 7467742f 74617267 65742f61 202/tgt/target/a 6760: 64662f6f 732f696e 63002f6f 70742f78 df/os/inc./opt/x 6770: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 6780: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 6790: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 67a0: 74656e73 61546f6f 6c732f6c 69622f78 tensaTools/lib/x 67b0: 63632d6c 69622f69 6e636c75 6465002f cc-lib/include./ 67c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 67d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 67e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 67f0: 6d2f7662 75662f69 6e63002f 726f6f74 m/vbuf/inc./root 6800: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 6810: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 6820: 61677069 655f315f 312f726f 6d2f7664 agpie_1_1/rom/vd 6830: 6573632f 696e6300 2f726f6f 742f576f esc/inc./root/Wo 6840: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 6850: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 6860: 652f6e65 74002f72 6f6f742f 576f726b e/net./root/Work 6870: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 6880: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 6890: 5f315f31 2f726f6d 2f616466 2f6e6275 _1_1/rom/adf/nbu 68a0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 68b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 68c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 68d0: 5f315f31 2f726f6d 2f687463 2f696e63 _1_1/rom/htc/inc 68e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 68f0: 2f303431 325f7632 30322f77 6c616e2f /0412_v202/wlan/ 6900: 696e636c 75646500 2f726f6f 742f576f include./root/Wo 6910: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 6920: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 6930: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p 6940: 6f6f6c2f 696e6300 2f726f6f 742f576f ool/inc./root/Wo 6950: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 6960: 322f7467 742f7461 72676574 2f776d69 2/tgt/target/wmi 6970: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 6980: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 6990: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 69a0: 315f312f 726f6d2f 646d615f 656e6769 1_1/rom/dma_engi 69b0: 6e652f69 6e630000 636d6e6f 735f6d65 ne/inc..cmnos_me 69c0: 6d2e6300 01000073 79735f63 66672e68 m.c....sys_cfg.h 69d0: 00020000 726f6d5f 6366672e 68000300 ....rom_cfg.h... 69e0: 006d6167 7069655f 6d656d2e 68000200 .magpie_mem.h... 69f0: 00617468 6f735f61 70692e68 00020000 .athos_api.h.... 6a00: 6f736170 692e6800 0400004f 5455535f osapi.h....OTUS_ 6a10: 736f632e 68000500 0064745f 64656673 soc.h....dt_defs 6a20: 2e680004 0000636d 6e6f735f 6170692e .h....cmnos_api. 6a30: 68000600 00636f72 652e6800 07000068 h....core.h....h 6a40: 616c2e68 00080000 636f7265 2d697361 al.h....core-isa 6a50: 2e680009 0000636f 72652d6d 61746d61 .h....core-matma 6a60: 702e6800 09000074 69652e68 00090000 p.h....tie.h.... 6a70: 78747275 6e74696d 652e6800 08000073 xtruntime.h....s 6a80: 70656372 65672e68 00090000 636f7265 pecreg.h....core 6a90: 62697473 2e680008 00007072 696e7466 bits.h....printf 6aa0: 5f617069 2e68000a 00007561 72745f61 _api.h....uart_a 6ab0: 70692e68 000b0000 7265675f 64656673 pi.h....reg_defs 6ac0: 2e680003 00006462 675f6170 692e6800 .h....dbg_api.h. 6ad0: 0c00006d 656d5f61 70692e68 000d0000 ...mem_api.h.... 6ae0: 6d697363 5f617069 2e68000e 00007374 misc_api.h....st 6af0: 72696e67 5f617069 2e68000f 00007469 ring_api.h....ti 6b00: 6d65725f 6170692e 68001000 00726f6d mer_api.h....rom 6b10: 705f6170 692e6800 11000061 6c6c6f63 p_api.h....alloc 6b20: 72616d5f 6170692e 68001200 00746173 ram_api.h....tas 6b30: 6b6c6574 5f617069 2e680013 0000636c klet_api.h....cl 6b40: 6f636b5f 6170692e 68001400 00696e74 ock_api.h....int 6b50: 725f6170 692e6800 15000077 64745f61 r_api.h....wdt_a 6b60: 70692e68 00160000 65657072 6f6d5f61 pi.h....eeprom_a 6b70: 70692e68 00170000 7573625f 6170692e pi.h....usb_api. 6b80: 68001800 00686966 5f706369 2e680018 h....hif_pci.h.. 6b90: 00006869 665f6170 692e6800 18000061 ..hif_api.h....a 6ba0: 64665f6e 6275662e 68001900 00616466 df_nbuf.h....adf 6bb0: 5f6f735f 7574696c 2e68001a 00006164 _os_util.h....ad 6bc0: 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. 6bd0: 1b000061 64665f6f 735f7479 7065732e ...adf_os_types. 6be0: 68001a00 00616466 5f6f735f 73746474 h....adf_os_stdt 6bf0: 79706573 2e68001a 00006164 665f6f73 ypes.h....adf_os 6c00: 5f747970 65735f70 76742e68 001b0000 _types_pvt.h.... 6c10: 73746464 65662e68 001c0000 76627566 stddef.h....vbuf 6c20: 5f617069 2e68001d 00007664 6573635f _api.h....vdesc_ 6c30: 6170692e 68001e00 00737464 6172672e api.h....stdarg. 6c40: 68000400 0076612d 7874656e 73612e68 h....va-xtensa.h 6c50: 001c0000 6164665f 6f735f64 6d612e68 ....adf_os_dma.h 6c60: 001a0000 6164665f 6f735f64 6d615f70 ....adf_os_dma_p 6c70: 76742e68 001b0000 6164665f 6e65745f vt.h....adf_net_ 6c80: 74797065 732e6800 1f000061 64665f6e types.h....adf_n 6c90: 6275665f 7076742e 68002000 00646d61 buf_pvt.h. ..dma 6ca0: 5f6c6962 2e680018 00006869 665f676d _lib.h....hif_gm 6cb0: 61632e68 00180000 4d616770 69655f61 ac.h....Magpie_a 6cc0: 70692e68 00020000 75736266 69666f5f pi.h....usbfifo_ 6cd0: 6170692e 68001800 00687463 5f617069 api.h....htc_api 6ce0: 2e680021 00006874 632e6800 22000062 .h.!..htc.h."..b 6cf0: 75665f70 6f6f6c5f 6170692e 68002300 uf_pool_api.h.#. 6d00: 00776d69 5f737663 5f617069 2e680024 .wmi_svc_api.h.$ 6d10: 0000776d 692e6800 22000061 74686465 ..wmi.h."..athde 6d20: 66732e68 00220000 646d615f 656e6769 fs.h."..dma_engi 6d30: 6e655f61 70692e68 00250000 00000502 ne_api.h.%...... 6d40: 008e2318 03090103 01090003 01030b09 ..#............. 6d50: 00050103 00090003 01030509 000f0103 ................ 6d60: 7f090002 01037f09 00020103 7f090002 ................ 6d70: 01037f09 00020103 05090002 01090002 ................ 6d80: 00010100 000ea700 0200000c f90101fb ................ 6d90: 0e0a0001 01010100 0000012f 726f6f74 .........../root 6da0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 6db0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 6dc0: 61677069 655f315f 312f696d 6167652f agpie_1_1/image/ 6dd0: 6d616770 69652f2e 2e2f2e2e 2f2e2e2f magpie/../../../ 6de0: 2e2e2f2f 6275696c 642f6d61 67706965 ..//build/magpie 6df0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 6e00: 6973632f 73726300 2f726f6f 742f576f isc/src./root/Wo 6e10: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 6e20: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 6e30: 69655f31 5f312f69 6e63002f 726f6f74 ie_1_1/inc./root 6e40: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 6e50: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 6e60: 61677069 655f315f 312f696e 632f6d61 agpie_1_1/inc/ma 6e70: 67706965 002f726f 6f742f57 6f726b73 gpie./root/Works 6e80: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 6e90: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r 6ea0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 6eb0: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 6ec0: 65742f69 6e632f4f 54555300 2f726f6f et/inc/OTUS./roo 6ed0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 6ee0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 6ef0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 6f00: 6d6e6f73 2f696e63 002f6f70 742f7874 mnos/inc./opt/xt 6f10: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 6f20: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 6f30: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 6f40: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 6f50: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 6f60: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ 6f70: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 6f80: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 6f90: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 6fa0: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 6fb0: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 6fc0: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens 6fd0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 6fe0: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 6ff0: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp 7000: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf 7010: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt 7020: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo 7030: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 7040: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 7050: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 7060: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. 7070: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 7080: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 7090: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 70a0: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in 70b0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 70c0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 70d0: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg 70e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 70f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 7100: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 7110: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me 7120: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work 7130: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 7140: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 7150: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 7160: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo 7170: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 7180: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 7190: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 71a0: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo 71b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 71c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 71d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 71e0: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ 71f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 7200: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 7210: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 7220: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch 7230: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 7240: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 7250: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 7260: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al 7270: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root 7280: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 7290: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 72a0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 72b0: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. 72c0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 72d0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 72e0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 72f0: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i 7300: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 7310: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 7320: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 7330: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr 7340: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 7350: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 7360: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 7370: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd 7380: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 7390: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 73a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 73b0: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 73c0: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ 73d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 73e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 73f0: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 7400: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 7410: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 7420: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 7430: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp 7440: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 7450: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os 7460: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 7470: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 7480: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc 7490: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 74a0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 74b0: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 74c0: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 74d0: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in 74e0: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work 74f0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 7500: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 7510: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in 7520: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 7530: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 7540: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 7550: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ 7560: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 7570: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 7580: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro 7590: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 75a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 75b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 75c0: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro 75d0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 75e0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 75f0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 7600: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo 7610: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 7620: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ 7630: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 7640: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 7650: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 7660: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ 7670: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 7680: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 7690: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo 76a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 76b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 76c0: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d 76d0: 6d615f65 6e67696e 652f696e 63000063 ma_engine/inc..c 76e0: 6d6e6f73 5f6d6973 632e6300 01000073 mnos_misc.c....s 76f0: 79735f63 66672e68 00020000 726f6d5f ys_cfg.h....rom_ 7700: 6366672e 68000300 006d6167 7069655f cfg.h....magpie_ 7710: 6d656d2e 68000200 00617468 6f735f61 mem.h....athos_a 7720: 70692e68 00020000 6f736170 692e6800 pi.h....osapi.h. 7730: 0400004f 5455535f 736f632e 68000500 ...OTUS_soc.h... 7740: 0064745f 64656673 2e680004 0000636d .dt_defs.h....cm 7750: 6e6f735f 6170692e 68000600 00636f72 nos_api.h....cor 7760: 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... 7770: 636f7265 2d697361 2e680009 0000636f core-isa.h....co 7780: 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t 7790: 69652e68 00090000 78747275 6e74696d ie.h....xtruntim 77a0: 652e6800 08000073 70656372 65672e68 e.h....specreg.h 77b0: 00090000 636f7265 62697473 2e680008 ....corebits.h.. 77c0: 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. 77d0: 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... 77e0: 7265675f 64656673 2e680003 00006462 reg_defs.h....db 77f0: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a 7800: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api 7810: 2e68000e 00007374 72696e67 5f617069 .h....string_api 7820: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. 7830: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. 7840: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 7850: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api 7860: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. 7870: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. 7880: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... 7890: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... 78a0: 7573625f 6170692e 68001800 00686966 usb_api.h....hif 78b0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap 78c0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. 78d0: 68001900 00616466 5f6f735f 7574696c h....adf_os_util 78e0: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti 78f0: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o 7900: 735f7479 7065732e 68001a00 00616466 s_types.h....adf 7910: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. 7920: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 7930: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h 7940: 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. 7950: 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... 7960: 00737464 6172672e 68000400 0076612d .stdarg.h....va- 7970: 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ 7980: 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ 7990: 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... 79a0: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. 79b0: 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. 79c0: 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. 79d0: 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... 79e0: 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... 79f0: 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... 7a00: 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht 7a10: 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ 7a20: 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc 7a30: 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. 7a40: 22000061 74686465 66732e68 00220000 "..athdefs.h.".. 7a50: 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h 7a60: 00250000 72656764 756d702e 68000200 .%..regdump.h... 7a70: 006d6167 7069655f 72656764 756d702e .magpie_regdump. 7a80: 68000200 00000005 02008e23 40031401 h..........#@... 7a90: 03000900 03010305 09000601 03010900 ................ 7aa0: 06010311 09000501 03050900 03010309 ................ 7ab0: 09000501 03000900 03010302 09000301 ................ 7ac0: 03060900 0e01037f 09000401 03010900 ................ 7ad0: 0501037f 09000301 03050900 06010302 ................ 7ae0: 09000a01 037f0900 04010301 09000401 ................ 7af0: 03040900 06010301 09000801 03020900 ................ 7b00: 0801030b 09000601 03000900 03010301 ................ 7b10: 09000301 03030900 08010302 09000201 ................ 7b20: 03020900 02010304 09000b01 03050900 ................ 7b30: 0c010303 09000b01 03010900 09010301 ................ 7b40: 09000401 03010900 05010302 09000901 ................ 7b50: 03020900 0b01037a 09000401 03070900 .......z........ 7b60: 03010309 09000901 03070900 02010302 ................ 7b70: 09000301 03070900 05010302 09000301 ................ 7b80: 03070900 05010304 09000301 03060900 ................ 7b90: 1101037d 09000901 03090900 0b010308 ...}............ 7ba0: 09000801 03000900 0301030b 09000301 ................ 7bb0: 030e0900 0601037e 09000401 03020900 .......~........ 7bc0: 0601030e 09000301 03790900 02010307 .........y...... 7bd0: 09000201 03090900 03010300 09000301 ................ 7be0: 03030900 03010308 09000601 03000900 ................ 7bf0: 03010309 09001801 037f0900 0201037f ................ 7c00: 09000201 037e0900 0201037f 09000201 .....~.......... 7c10: 037f0900 0201037f 09000201 037f0900 ................ 7c20: 02010309 09000201 09000200 01010000 ................ 7c30: 10c30002 00000cdc 0101fb0e 0a000101 ................ 7c40: 01010000 00012f72 6f6f742f 576f726b ....../root/Work 7c50: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 7c60: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 7c70: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 7c80: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 7c90: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 7ca0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 7cb0: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works 7cc0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 7cd0: 67742f74 61726765 742f696e 63002f72 gt/target/inc./r 7ce0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 7cf0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 7d00: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 7d10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 7d20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 7d30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 7d40: 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root 7d50: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 7d60: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 7d70: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W 7d80: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 7d90: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 7da0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 7db0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 7dc0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 7dd0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 7de0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 7df0: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 7e00: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 7e10: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte 7e20: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 7e30: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 7e40: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 7e50: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 7e60: 656c662f 696e636c 7564652f 7874656e elf/include/xten 7e70: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X 7e80: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 7e90: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 7ea0: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 7eb0: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 7ec0: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 7ed0: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W 7ee0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 7ef0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 7f00: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 7f10: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro 7f20: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 7f30: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 7f40: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 7f50: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ 7f60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 7f70: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 7f80: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in 7f90: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 7fa0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 7fb0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 7fc0: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i 7fd0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 7fe0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 7ff0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 8000: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 8010: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 8020: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 8030: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 8040: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st 8050: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W 8060: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 8070: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 8080: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 8090: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo 80a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 80b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 80c0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 80d0: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in 80e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 80f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 8100: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 8110: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 8120: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo 8130: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 8140: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 8150: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 8160: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro 8170: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 8180: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 8190: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 81a0: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. 81b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 81c0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 81d0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 81e0: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in 81f0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 8200: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 8210: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 8220: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i 8230: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 8240: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 8250: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 8260: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 8270: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor 8280: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 8290: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 82a0: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in 82b0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 82c0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 82d0: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf 82e0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 82f0: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a 8300: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r 8310: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 8320: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 8330: 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o 8340: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 8350: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 8360: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 8370: 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l 8380: 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu 8390: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa 83a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 83b0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 83c0: 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ 83d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 83e0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 83f0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 8400: 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo 8410: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 8420: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in 8430: 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ 8440: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 8450: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 8460: 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf 8470: 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ 8480: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 8490: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 84a0: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc 84b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 84c0: 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w 84d0: 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo 84e0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 84f0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 8500: 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b 8510: 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo 8520: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 8530: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 8540: 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W 8550: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 8560: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 8570: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 8580: 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno 8590: 735f7072 696e7466 2e630001 00006474 s_printf.c....dt 85a0: 5f646566 732e6800 02000073 79735f63 _defs.h....sys_c 85b0: 66672e68 00030000 726f6d5f 6366672e fg.h....rom_cfg. 85c0: 68000400 006d6167 7069655f 6d656d2e h....magpie_mem. 85d0: 68000300 00617468 6f735f61 70692e68 h....athos_api.h 85e0: 00030000 6f736170 692e6800 0200004f ....osapi.h....O 85f0: 5455535f 736f632e 68000500 00636d6e TUS_soc.h....cmn 8600: 6f735f61 70692e68 00060000 636f7265 os_api.h....core 8610: 2e680007 00006861 6c2e6800 08000063 .h....hal.h....c 8620: 6f72652d 6973612e 68000900 00636f72 ore-isa.h....cor 8630: 652d6d61 746d6170 2e680009 00007469 e-matmap.h....ti 8640: 652e6800 09000078 7472756e 74696d65 e.h....xtruntime 8650: 2e680008 00007370 65637265 672e6800 .h....specreg.h. 8660: 09000063 6f726562 6974732e 68000800 ...corebits.h... 8670: 00707269 6e74665f 6170692e 68000a00 .printf_api.h... 8680: 00756172 745f6170 692e6800 0b000072 .uart_api.h....r 8690: 65675f64 6566732e 68000400 00646267 eg_defs.h....dbg 86a0: 5f617069 2e68000c 00006d65 6d5f6170 _api.h....mem_ap 86b0: 692e6800 0d00006d 6973635f 6170692e i.h....misc_api. 86c0: 68000e00 00737472 696e675f 6170692e h....string_api. 86d0: 68000f00 0074696d 65725f61 70692e68 h....timer_api.h 86e0: 00100000 726f6d70 5f617069 2e680011 ....romp_api.h.. 86f0: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h 8700: 00120000 7461736b 6c65745f 6170692e ....tasklet_api. 8710: 68001300 00636c6f 636b5f61 70692e68 h....clock_api.h 8720: 00140000 696e7472 5f617069 2e680015 ....intr_api.h.. 8730: 00007764 745f6170 692e6800 16000065 ..wdt_api.h....e 8740: 6570726f 6d5f6170 692e6800 17000075 eprom_api.h....u 8750: 73625f61 70692e68 00180000 6869665f sb_api.h....hif_ 8760: 7063692e 68001800 00686966 5f617069 pci.h....hif_api 8770: 2e680018 00006164 665f6e62 75662e68 .h....adf_nbuf.h 8780: 00190000 6164665f 6f735f75 74696c2e ....adf_os_util. 8790: 68001a00 00616466 5f6f735f 7574696c h....adf_os_util 87a0: 5f707674 2e68001b 00006164 665f6f73 _pvt.h....adf_os 87b0: 5f747970 65732e68 001a0000 6164665f _types.h....adf_ 87c0: 6f735f73 74647479 7065732e 68001a00 os_stdtypes.h... 87d0: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv 87e0: 742e6800 1b000073 74646465 662e6800 t.h....stddef.h. 87f0: 1c000076 6275665f 6170692e 68001d00 ...vbuf_api.h... 8800: 00766465 73635f61 70692e68 001e0000 .vdesc_api.h.... 8810: 73746461 72672e68 00020000 76612d78 stdarg.h....va-x 8820: 74656e73 612e6800 1c000061 64665f6f tensa.h....adf_o 8830: 735f646d 612e6800 1a000061 64665f6f s_dma.h....adf_o 8840: 735f646d 615f7076 742e6800 1b000061 s_dma_pvt.h....a 8850: 64665f6e 65745f74 79706573 2e68001f df_net_types.h.. 8860: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h 8870: 00200000 646d615f 6c69622e 68001800 . ..dma_lib.h... 8880: 00686966 5f676d61 632e6800 1800004d .hif_gmac.h....M 8890: 61677069 655f6170 692e6800 03000075 agpie_api.h....u 88a0: 73626669 666f5f61 70692e68 00180000 sbfifo_api.h.... 88b0: 6874635f 6170692e 68002100 00687463 htc_api.h.!..htc 88c0: 2e680022 00006275 665f706f 6f6c5f61 .h."..buf_pool_a 88d0: 70692e68 00230000 776d695f 7376635f pi.h.#..wmi_svc_ 88e0: 6170692e 68002400 00776d69 2e680022 api.h.$..wmi.h." 88f0: 00006174 68646566 732e6800 22000064 ..athdefs.h."..d 8900: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. 8910: 25000000 00050200 8e24b803 3a010300 %........$..:... 8920: 09000301 03010900 03010301 09000301 ................ 8930: 03010900 08010305 09000801 037c0900 .............|.. 8940: 02010302 09000501 03020900 08010305 ................ 8950: 09000401 03000900 03010307 09000201 ................ 8960: 03020900 0c010301 09000201 03010900 ................ 8970: 0701037f 09000201 03010900 0501037f ................ 8980: 09000201 03010900 0501037e 09000501 ...........~.... 8990: 03090900 03010377 09000201 03050900 .......w........ 89a0: 02010301 09000c01 037f0900 0d010304 ................ 89b0: 09000201 03010900 03010305 09000201 ................ 89c0: 03000900 03010306 09000201 037a0900 .............z.. 89d0: 02010306 09000801 03020900 0f010301 ................ 89e0: 09000801 037f0900 02010301 09000301 ................ 89f0: 03010900 03010302 09000301 037e0900 .............~.. 8a00: 02010302 09000201 03010900 030103af ................ 8a10: 0109000c 01034009 00080103 0109000c ......@......... 8a20: 01030109 000e0103 01090002 01038e7f ................ 8a30: 09000301 03030900 04010302 09000501 ................ 8a40: 03020900 0c010302 09000401 03010900 ................ 8a50: 0d010301 09000b01 037f0900 0501037f ................ 8a60: 09000201 030f0900 12010375 09000301 ...........u.... 8a70: 03010900 05010301 09000301 037f0900 ................ 8a80: 02010302 09000201 037f0900 03010301 ................ 8a90: 09000401 03020900 0601037e 09000501 ...........~.... 8aa0: 03350900 09010353 09000201 03020900 .5.....S........ 8ab0: 03010304 09000301 037c0900 02010304 .........|...... 8ac0: 09000801 03270900 0e01036a 09005301 .....'.....j..S. 8ad0: 03060900 0f01037a 09000501 03060900 .......z........ 8ae0: 08010301 09000601 03020900 030103d2 ................ 8af0: 00090008 01035709 004e0103 7b090015 ......W..N..{... 8b00: 01030109 000e0103 68090003 01036909 ........h.....i. 8b10: 00020103 01090003 0103db00 09001701 ................ 8b20: 036b0900 36010309 09000201 03780900 .k..6........x.. 8b30: 0201037f 09000201 03010900 02010308 ................ 8b40: 09000301 03010900 08010301 09000801 ................ 8b50: 037f0900 02010301 09000901 03630900 .............c.. 8b60: 02010328 09000401 03040900 0201037d ...(...........} 8b70: 09000201 037f0900 03010302 09000301 ................ 8b80: 037f0900 02010305 09000701 03010900 ................ 8b90: 07010301 09000301 03040900 0c010302 ................ 8ba0: 09000201 03020900 0b010301 09000701 ................ 8bb0: 037e0900 02010305 09000d01 03010900 .~.............. 8bc0: 09010301 09000301 03030900 07010301 ................ 8bd0: 09000501 03010900 0601037d 09000201 ...........}.... 8be0: 03050900 0a0103f6 7e090010 01031209 ........~....... 8bf0: 00050103 01090003 0103d900 09001601 ................ 8c00: 03bf7f09 00150103 01090005 01030209 ................ 8c10: 00060103 3e09000b 01035c09 00050103 ....>.....\..... 8c20: 24090014 01037d09 00060103 01090005 $.....}......... 8c30: 01030109 00050103 01090005 01037a09 ..............z. 8c40: 00050103 01090005 01032509 00030103 ..........%..... 8c50: 01090005 01037e09 00020103 887f0900 ......~......... 8c60: 0c010335 09000d01 03050900 2001031f ...5........ ... 8c70: 09001901 03650900 050103c4 00090017 .....e.......... 8c80: 0103a57f 09000201 035b0900 1a01032c .........[....., 8c90: 09002301 03010900 0e01030b 09000301 ..#............. 8ca0: 03cc0009 00080103 00090003 01030809 ................ 8cb0: 000c0103 78090005 01030809 00100103 ....x........... 8cc0: 0709000b 01030509 00050103 01090003 ................ 8cd0: 01030309 00050103 00090003 01030209 ................ 8ce0: 00060103 7f090002 01030209 00020109 ................ 8cf0: 00020001 0100000e 76000200 000ce001 ........v....... 8d00: 01fb0e0a 00010101 01000000 012f726f ............./ro 8d10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 8d20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 8d30: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 8d40: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 8d50: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 8d60: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 8d70: 2f726f6d 70617463 682f7372 63002f72 /rompatch/src./r 8d80: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 8d90: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 8da0: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 8db0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 8dc0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 8dd0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 8de0: 696e632f 6d616770 6965002f 726f6f74 inc/magpie./root 8df0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 8e00: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 8e10: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 8e20: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 8e30: 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU 8e40: 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac 8e50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 8e60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 8e70: 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ 8e80: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 8e90: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 8ea0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 8eb0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 8ec0: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu 8ed0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 8ee0: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 8ef0: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 8f00: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 8f10: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 8f20: 732f7874 656e7361 2d656c66 2f696e63 s/xtensa-elf/inc 8f30: 6c756465 2f787465 6e736100 2f6f7074 lude/xtensa./opt 8f40: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 8f50: 6c732f69 6e737461 6c6c2f62 75696c64 ls/install/build 8f60: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 8f70: 782f4d61 67706965 5f50302f 7874656e x/Magpie_P0/xten 8f80: 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl 8f90: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi 8fa0: 67002f72 6f6f742f 576f726b 73706163 g./root/Workspac 8fb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 8fc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 8fd0: 2f726f6d 2f636d6e 6f732f70 72696e74 /rom/cmnos/print 8fe0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 8ff0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 9000: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 9010: 5f315f31 2f726f6d 2f636d6e 6f732f75 _1_1/rom/cmnos/u 9020: 6172742f 696e6300 2f726f6f 742f576f art/inc./root/Wo 9030: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 9040: 322f7467 742f7461 72676574 2f636d6e 2/tgt/target/cmn 9050: 6f732f64 62672f69 6e63002f 726f6f74 os/dbg/inc./root 9060: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 9070: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 9080: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 9090: 6e6f732f 6d656d2f 696e6300 2f726f6f nos/mem/inc./roo 90a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 90b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 90c0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 90d0: 6d6e6f73 2f6d6973 632f696e 63002f72 mnos/misc/inc./r 90e0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 90f0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 9100: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 9110: 2f636d6e 6f732f73 7472696e 672f696e /cmnos/string/in 9120: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 9130: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 9140: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 9150: 2f726f6d 2f636d6e 6f732f74 696d6572 /rom/cmnos/timer 9160: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 9170: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 9180: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 9190: 315f312f 726f6d2f 636d6e6f 732f726f 1_1/rom/cmnos/ro 91a0: 6d706174 63682f69 6e63002f 726f6f74 mpatch/inc./root 91b0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 91c0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 91d0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 91e0: 6e6f732f 616c6c6f 6372616d 2f696e63 nos/allocram/inc 91f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 9200: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 9210: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 9220: 726f6d2f 636d6e6f 732f7461 736b6c65 rom/cmnos/taskle 9230: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 9240: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 9250: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 9260: 5f315f31 2f726f6d 2f636d6e 6f732f63 _1_1/rom/cmnos/c 9270: 6c6f636b 2f696e63 002f726f 6f742f57 lock/inc./root/W 9280: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 9290: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 92a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 92b0: 732f696e 74722f69 6e63002f 726f6f74 s/intr/inc./root 92c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 92d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 92e0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 92f0: 6e6f732f 7764742f 696e6300 2f726f6f nos/wdt/inc./roo 9300: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 9310: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 9320: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 9330: 6d6e6f73 2f656570 726f6d2f 696e6300 mnos/eeprom/inc. 9340: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 9350: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 9360: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 9370: 6f6d2f68 69662f69 6e63002f 726f6f74 om/hif/inc./root 9380: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 9390: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc 93a0: 6c756465 2f6e6275 66002f72 6f6f742f lude/nbuf./root/ 93b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 93c0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 93d0: 7564652f 6f73002f 726f6f74 2f576f72 ude/os./root/Wor 93e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 93f0: 2f746774 2f746172 6765742f 6164662f /tgt/target/adf/ 9400: 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten 9410: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 9420: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 9430: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 9440: 7361546f 6f6c732f 6c69622f 7863632d saTools/lib/xcc- 9450: 6c69622f 696e636c 75646500 2f726f6f lib/include./roo 9460: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 9470: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 9480: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v 9490: 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo 94a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 94b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 94c0: 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc 94d0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 94e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 94f0: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 9500: 6574002f 726f6f74 2f576f72 6b737061 et./root/Workspa 9510: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 9520: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 9530: 312f726f 6d2f6164 662f6e62 75662f69 1/rom/adf/nbuf/i 9540: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 9550: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 9560: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 9570: 312f726f 6d2f6874 632f696e 63002f72 1/rom/htc/inc./r 9580: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 9590: 31325f76 3230322f 776c616e 2f696e63 12_v202/wlan/inc 95a0: 6c756465 002f726f 6f742f57 6f726b73 lude./root/Works 95b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 95c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 95d0: 315f312f 726f6d2f 6275665f 706f6f6c 1_1/rom/buf_pool 95e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 95f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 9600: 67742f74 61726765 742f776d 692f696e gt/target/wmi/in 9610: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 9620: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 9630: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 9640: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ 9650: 696e6300 00636d6e 6f735f72 6f6d7061 inc..cmnos_rompa 9660: 7463682e 63000100 00737973 5f636667 tch.c....sys_cfg 9670: 2e680002 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. 9680: 0300006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. 9690: 02000061 74686f73 5f617069 2e680002 ...athos_api.h.. 96a0: 00006f73 6170692e 68000400 004f5455 ..osapi.h....OTU 96b0: 535f736f 632e6800 05000064 745f6465 S_soc.h....dt_de 96c0: 66732e68 00040000 636d6e6f 735f6170 fs.h....cmnos_ap 96d0: 692e6800 06000063 6f72652e 68000700 i.h....core.h... 96e0: 0068616c 2e680008 0000636f 72652d69 .hal.h....core-i 96f0: 73612e68 00090000 636f7265 2d6d6174 sa.h....core-mat 9700: 6d61702e 68000900 00746965 2e680009 map.h....tie.h.. 9710: 00007874 72756e74 696d652e 68000800 ..xtruntime.h... 9720: 00737065 63726567 2e680009 0000636f .specreg.h....co 9730: 72656269 74732e68 00080000 7072696e rebits.h....prin 9740: 74665f61 70692e68 000a0000 75617274 tf_api.h....uart 9750: 5f617069 2e68000b 00007265 675f6465 _api.h....reg_de 9760: 66732e68 00030000 6462675f 6170692e fs.h....dbg_api. 9770: 68000c00 006d656d 5f617069 2e68000d h....mem_api.h.. 9780: 00006d69 73635f61 70692e68 000e0000 ..misc_api.h.... 9790: 73747269 6e675f61 70692e68 000f0000 string_api.h.... 97a0: 74696d65 725f6170 692e6800 10000072 timer_api.h....r 97b0: 6f6d705f 6170692e 68001100 00616c6c omp_api.h....all 97c0: 6f637261 6d5f6170 692e6800 12000074 ocram_api.h....t 97d0: 61736b6c 65745f61 70692e68 00130000 asklet_api.h.... 97e0: 636c6f63 6b5f6170 692e6800 14000069 clock_api.h....i 97f0: 6e74725f 6170692e 68001500 00776474 ntr_api.h....wdt 9800: 5f617069 2e680016 00006565 70726f6d _api.h....eeprom 9810: 5f617069 2e680017 00007573 625f6170 _api.h....usb_ap 9820: 692e6800 18000068 69665f70 63692e68 i.h....hif_pci.h 9830: 00180000 6869665f 6170692e 68001800 ....hif_api.h... 9840: 00616466 5f6e6275 662e6800 19000061 .adf_nbuf.h....a 9850: 64665f6f 735f7574 696c2e68 001a0000 df_os_util.h.... 9860: 6164665f 6f735f75 74696c5f 7076742e adf_os_util_pvt. 9870: 68001b00 00616466 5f6f735f 74797065 h....adf_os_type 9880: 732e6800 1a000061 64665f6f 735f7374 s.h....adf_os_st 9890: 64747970 65732e68 001a0000 6164665f dtypes.h....adf_ 98a0: 6f735f74 79706573 5f707674 2e68001b os_types_pvt.h.. 98b0: 00007374 64646566 2e68001c 00007662 ..stddef.h....vb 98c0: 75665f61 70692e68 001d0000 76646573 uf_api.h....vdes 98d0: 635f6170 692e6800 1e000073 74646172 c_api.h....stdar 98e0: 672e6800 04000076 612d7874 656e7361 g.h....va-xtensa 98f0: 2e68001c 00006164 665f6f73 5f646d61 .h....adf_os_dma 9900: 2e68001a 00006164 665f6f73 5f646d61 .h....adf_os_dma 9910: 5f707674 2e68001b 00006164 665f6e65 _pvt.h....adf_ne 9920: 745f7479 7065732e 68001f00 00616466 t_types.h....adf 9930: 5f6e6275 665f7076 742e6800 20000064 _nbuf_pvt.h. ..d 9940: 6d615f6c 69622e68 00180000 6869665f ma_lib.h....hif_ 9950: 676d6163 2e680018 00004d61 67706965 gmac.h....Magpie 9960: 5f617069 2e680002 00007573 62666966 _api.h....usbfif 9970: 6f5f6170 692e6800 18000068 74635f61 o_api.h....htc_a 9980: 70692e68 00210000 6874632e 68002200 pi.h.!..htc.h.". 9990: 00627566 5f706f6f 6c5f6170 692e6800 .buf_pool_api.h. 99a0: 23000077 6d695f73 76635f61 70692e68 #..wmi_svc_api.h 99b0: 00240000 776d692e 68002200 00617468 .$..wmi.h."..ath 99c0: 64656673 2e680022 0000646d 615f656e defs.h."..dma_en 99d0: 67696e65 5f617069 2e680025 00000000 gine_api.h.%.... 99e0: 0502008e 29cc0323 01030009 00030103 ....)..#........ 99f0: 06090003 01030109 00100103 06090002 ................ 9a00: 01030009 00030103 0b090003 01030109 ................ 9a10: 00080103 03090005 01030109 000b0103 ................ 9a20: 10090002 01037309 00020103 02090008 ......s......... 9a30: 01030109 000d0103 02090007 01030109 ................ 9a40: 000a0103 01090006 01030109 00060103 ................ 9a50: 7b090006 01030609 00030103 7a090005 {...........z... 9a60: 01030809 00030103 02090002 01030c09 ................ 9a70: 00050103 00090003 01030f09 00030103 ................ 9a80: 7c090003 01030409 00030103 0109000c |............... 9a90: 01037f09 000a0103 0e090004 01037e09 ..............~. 9aa0: 00020103 02090002 01030509 00080103 ................ 9ab0: 11090004 01036209 00020103 1e090002 ......b......... 9ac0: 01030c09 00020103 00090003 01030b09 ................ 9ad0: 00030103 02090005 01030909 00050103 ................ 9ae0: 00090003 01031809 00050103 0309000f ................ 9af0: 01030209 000f0103 01090003 01037f09 ................ 9b00: 00030103 01090003 01030409 00080103 ................ 9b10: 05090008 01030209 00090103 7009000b ............p... 9b20: 01031a09 00020103 78090002 01030809 ........x....... 9b30: 00080103 08090005 01030309 00030103 ................ 9b40: 04090005 01030009 00030103 0409000c ................ 9b50: 01037f09 00020103 7f090002 01037f09 ................ 9b60: 00020103 05090002 01090002 00010100 ................ 9b70: 000d2e00 0200000c dc0101fb 0e0a0001 ................ 9b80: 01010100 0000012f 726f6f74 2f576f72 ......./root/Wor 9b90: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 9ba0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 9bb0: 655f315f 312f696d 6167652f 6d616770 e_1_1/image/magp 9bc0: 69652f2e 2e2f2e2e 2f2e2e2f 2e2e2f2f ie/../../../..// 9bd0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 9be0: 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin 9bf0: 672f7372 63002f72 6f6f742f 576f726b g/src./root/Work 9c00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 9c10: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 9c20: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W 9c30: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 9c40: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in 9c50: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 9c60: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 9c70: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. 9c80: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 9c90: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 9ca0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 9cb0: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro 9cc0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 9cd0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 9ce0: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ 9cf0: 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten 9d00: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 9d10: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 9d20: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 9d30: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e 9d40: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens 9d50: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt 9d60: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 9d70: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 9d80: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 9d90: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 9da0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 9db0: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ 9dc0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 9dd0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 9de0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 9df0: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a 9e00: 7263682f 696e636c 7564652f 7874656e rch/include/xten 9e10: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ 9e20: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 9e30: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 9e40: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 9e50: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r 9e60: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 9e70: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 9e80: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 9e90: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. 9ea0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 9eb0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 9ec0: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i 9ed0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 9ee0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 9ef0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 9f00: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ 9f10: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 9f20: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 9f30: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 9f40: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 9f50: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 9f60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 9f70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 9f80: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s 9f90: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ 9fa0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 9fb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 9fc0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 9fd0: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro 9fe0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 9ff0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build a000: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ a010: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i a020: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa a030: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt a040: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ a050: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo a060: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W a070: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 a080: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag a090: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno a0a0: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r a0b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 a0c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil a0d0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom a0e0: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc a0f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace a100: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b a110: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ a120: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i a130: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa a140: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt a150: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ a160: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ a170: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp a180: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg a190: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 a1a0: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep a1b0: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo a1c0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 a1d0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp a1e0: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i a1f0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa a200: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt a210: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu a220: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac a230: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ a240: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ a250: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 a260: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar a270: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ a280: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev a290: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to a2a0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li a2b0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ a2c0: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl a2d0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp a2e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg a2f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 a300: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. a310: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ a320: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu a330: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r a340: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro a350: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 a360: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i a370: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root a380: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ a390: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m a3a0: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad a3b0: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root a3c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ a3d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m a3e0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht a3f0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work a400: 73706163 652f3034 31325f76 3230322f space/0412_v202/ a410: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro a420: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 a430: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build a440: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ a450: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro a460: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 a470: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe a480: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ a490: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v a4a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma a4b0: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma a4c0: 5f656e67 696e652f 696e6300 00636d6e _engine/inc..cmn a4d0: 6f735f73 7472696e 672e6300 01000061 os_string.c....a a4e0: 74686f73 5f617069 2e680002 00006f73 thos_api.h....os a4f0: 6170692e 68000300 004f5455 535f736f api.h....OTUS_so a500: 632e6800 04000064 745f6465 66732e68 c.h....dt_defs.h a510: 00030000 636d6e6f 735f6170 692e6800 ....cmnos_api.h. a520: 05000073 79735f63 66672e68 00020000 ...sys_cfg.h.... a530: 726f6d5f 6366672e 68000600 006d6167 rom_cfg.h....mag a540: 7069655f 6d656d2e 68000200 00636f72 pie_mem.h....cor a550: 652e6800 07000068 616c2e68 00080000 e.h....hal.h.... a560: 636f7265 2d697361 2e680009 0000636f core-isa.h....co a570: 72652d6d 61746d61 702e6800 09000074 re-matmap.h....t a580: 69652e68 00090000 78747275 6e74696d ie.h....xtruntim a590: 652e6800 08000073 70656372 65672e68 e.h....specreg.h a5a0: 00090000 636f7265 62697473 2e680008 ....corebits.h.. a5b0: 00007072 696e7466 5f617069 2e68000a ..printf_api.h.. a5c0: 00007561 72745f61 70692e68 000b0000 ..uart_api.h.... a5d0: 7265675f 64656673 2e680006 00006462 reg_defs.h....db a5e0: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a a5f0: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api a600: 2e68000e 00007374 72696e67 5f617069 .h....string_api a610: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. a620: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. a630: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. a640: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api a650: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. a660: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. a670: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... a680: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... a690: 7573625f 6170692e 68001800 00686966 usb_api.h....hif a6a0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap a6b0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. a6c0: 68001900 00616466 5f6f735f 7574696c h....adf_os_util a6d0: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti a6e0: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o a6f0: 735f7479 7065732e 68001a00 00616466 s_types.h....adf a700: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. a710: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p a720: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h a730: 001c0000 76627566 5f617069 2e68001d ....vbuf_api.h.. a740: 00007664 6573635f 6170692e 68001e00 ..vdesc_api.h... a750: 00737464 6172672e 68000300 0076612d .stdarg.h....va- a760: 7874656e 73612e68 001c0000 6164665f xtensa.h....adf_ a770: 6f735f64 6d612e68 001a0000 6164665f os_dma.h....adf_ a780: 6f735f64 6d615f70 76742e68 001b0000 os_dma_pvt.h.... a790: 6164665f 6e65745f 74797065 732e6800 adf_net_types.h. a7a0: 1f000061 64665f6e 6275665f 7076742e ...adf_nbuf_pvt. a7b0: 68002000 00646d61 5f6c6962 2e680018 h. ..dma_lib.h.. a7c0: 00006869 665f676d 61632e68 00180000 ..hif_gmac.h.... a7d0: 4d616770 69655f61 70692e68 00020000 Magpie_api.h.... a7e0: 75736266 69666f5f 6170692e 68001800 usbfifo_api.h... a7f0: 00687463 5f617069 2e680021 00006874 .htc_api.h.!..ht a800: 632e6800 22000062 75665f70 6f6f6c5f c.h."..buf_pool_ a810: 6170692e 68002300 00776d69 5f737663 api.h.#..wmi_svc a820: 5f617069 2e680024 0000776d 692e6800 _api.h.$..wmi.h. a830: 22000061 74686465 66732e68 00220000 "..athdefs.h.".. a840: 646d615f 656e6769 6e655f61 70692e68 dma_engine_api.h a850: 00250000 00000502 008e2b24 030c0103 .%........+$.... a860: 01090003 01030409 00050103 00090003 ................ a870: 01030609 00120103 7f090002 01037f09 ................ a880: 00020103 7f090002 01037f09 00020103 ................ a890: 7f090002 01030609 00020109 00020001 ................ a8a0: 0100000e 50000200 000cde01 01fb0e0a ....P........... a8b0: 00010101 01000000 012f726f 6f742f57 ........./root/W a8c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 a8d0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag a8e0: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma a8f0: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. a900: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 a910: 5f312f72 6f6d2f63 6d6e6f73 2f746173 _1/rom/cmnos/tas a920: 6b6c6574 2f737263 002f726f 6f742f57 klet/src./root/W a930: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 a940: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag a950: 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo a960: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 a970: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ a980: 6d616770 69655f31 5f312f69 6e632f6d magpie_1_1/inc/m a990: 61677069 65002f72 6f6f742f 576f726b agpie./root/Work a9a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ a9b0: 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ a9c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 a9d0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar a9e0: 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro a9f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 aa00: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build aa10: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ aa20: 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x aa30: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools aa40: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R aa50: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X aa60: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens aa70: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt aa80: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt aa90: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo aaa0: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools aab0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux aac0: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte aad0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ aae0: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten aaf0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in ab00: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- ab10: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag ab20: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el ab30: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x ab40: 74656e73 612f636f 6e666967 002f726f tensa/config./ro ab50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 ab60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build ab70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ ab80: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc ab90: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace aba0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b abb0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ abc0: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i abd0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa abe0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt abf0: 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db ac00: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work ac10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ ac20: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie ac30: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m ac40: 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor ac50: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 ac60: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi ac70: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ ac80: 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W ac90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 aca0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag acb0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno acc0: 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro acd0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 ace0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build acf0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ ad00: 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. ad10: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ ad20: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu ad30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r ad40: 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc ad50: 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work ad60: 73706163 652f3034 31325f76 3230322f space/0412_v202/ ad70: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie ad80: 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a ad90: 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo ada0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 adb0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ adc0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c add0: 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc ade0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace adf0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b ae00: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ ae10: 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ ae20: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp ae30: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg ae40: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 ae50: 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int ae60: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work ae70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ ae80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie ae90: 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w aea0: 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor aeb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 aec0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi aed0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ aee0: 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root aef0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ af00: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m af10: 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi af20: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work af30: 73706163 652f3034 31325f76 3230322f space/0412_v202/ af40: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ af50: 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works af60: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t af70: 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o af80: 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac af90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ afa0: 74617267 65742f61 64662f6f 732f696e target/adf/os/in afb0: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt afc0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install afd0: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 afe0: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo aff0: 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i b000: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor b010: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 b020: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi b030: 655f315f 312f726f 6d2f7662 75662f69 e_1_1/rom/vbuf/i b040: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa b050: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt b060: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ b070: 312f726f 6d2f7664 6573632f 696e6300 1/rom/vdesc/inc. b080: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ b090: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad b0a0: 662f696e 636c7564 652f6e65 74002f72 f/include/net./r b0b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 b0c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil b0d0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom b0e0: 2f616466 2f6e6275 662f696e 63002f72 /adf/nbuf/inc./r b0f0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 b100: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil b110: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom b120: 2f687463 2f696e63 002f726f 6f742f57 /htc/inc./root/W b130: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 b140: 30322f77 6c616e2f 696e636c 75646500 02/wlan/include. b150: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ b160: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu b170: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r b180: 6f6d2f62 75665f70 6f6f6c2f 696e6300 om/buf_pool/inc. b190: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ b1a0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta b1b0: 72676574 2f776d69 2f696e63 002f726f rget/wmi/inc./ro b1c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 b1d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build b1e0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ b1f0: 646d615f 656e6769 6e652f69 6e630000 dma_engine/inc.. b200: 636d6e6f 735f7461 736b6c65 742e6300 cmnos_tasklet.c. b210: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... b220: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag b230: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath b240: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap b250: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. b260: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. b270: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... b280: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h b290: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. b2a0: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. b2b0: 09000074 69652e68 00090000 78747275 ...tie.h....xtru b2c0: 6e74696d 652e6800 08000073 70656372 ntime.h....specr b2d0: 65672e68 00090000 636f7265 62697473 eg.h....corebits b2e0: 2e680008 00007072 696e7466 5f617069 .h....printf_api b2f0: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h b300: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. b310: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m b320: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc b330: 5f617069 2e68000e 00007374 72696e67 _api.h....string b340: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ b350: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap b360: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ b370: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet b380: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ b390: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap b3a0: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h b3b0: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h b3c0: 00170000 7573625f 6170692e 68001800 ....usb_api.h... b3d0: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi b3e0: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n b3f0: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ b400: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os b410: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a b420: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... b430: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes b440: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ b450: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd b460: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api b470: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. b480: 68001e00 00737464 6172672e 68000400 h....stdarg.h... b490: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... b4a0: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... b4b0: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h b4c0: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type b4d0: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ b4e0: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib b4f0: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h b500: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h b510: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. b520: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! b530: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p b540: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi b550: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm b560: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h b570: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a b580: 70692e68 00250000 00000502 008e2b50 pi.h.%........+P b590: 03100103 00090003 01030209 00030103 ................ b5a0: 00090002 01030109 00020103 04090002 ................ b5b0: 01030109 00030103 7f090002 01030209 ................ b5c0: 00020103 01090002 01030109 00020103 ................ b5d0: 01090002 01030409 00030103 01090003 ................ b5e0: 01030409 00050103 7c090002 01030509 ........|....... b5f0: 00030103 7f090002 01030409 00020103 ................ b600: 03090006 01030409 00050103 04090003 ................ b610: 01030409 00080103 01090002 01030209 ................ b620: 00020103 0d090004 01037209 00020103 ..........r..... b630: 02090005 01030809 00050103 01090002 ................ b640: 01037409 00020103 05090006 01030309 ..t............. b650: 00040103 07090002 01030409 00050103 ................ b660: 00090003 01030309 00030103 7d090002 ............}... b670: 01030409 00020103 04090004 01030109 ................ b680: 00020103 7c090002 01030409 00020103 ....|........... b690: 7c090002 01030109 00020103 03090002 |............... b6a0: 01030109 00030103 02090002 01037809 ..............x. b6b0: 00020103 0b090003 01030109 00020103 ................ b6c0: 04090002 01030009 00030103 0509000f ................ b6d0: 01037f09 00020103 7f090002 01037f09 ................ b6e0: 00020103 7f090002 01030509 00020109 ................ b6f0: 00020001 0100000e 28000200 000cda01 ........(....... b700: 01fb0e0a 00010101 01000000 012f726f ............./ro b710: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 b720: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build b730: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag b740: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. b750: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp b760: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos b770: 2f74696d 65722f73 7263002f 726f6f74 /timer/src./root b780: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ b790: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m b7a0: 61677069 655f315f 312f696e 63002f72 agpie_1_1/inc./r b7b0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 b7c0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil b7d0: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc b7e0: 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo b7f0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 b800: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc b810: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace b820: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t b830: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ b840: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 b850: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui b860: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro b870: 6d2f636d 6e6f732f 696e6300 2f6f7074 m/cmnos/inc./opt b880: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo b890: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools b8a0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux b8b0: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte b8c0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ b8d0: 7874656e 73612f63 6f6e6669 67002f6f xtensa/config./o b8e0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT b8f0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too b900: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin b910: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x b920: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ b930: 652f7874 656e7361 002f6f70 742f7874 e/xtensa./opt/xt b940: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ b950: 696e7374 616c6c2f 6275696c 64732f52 install/builds/R b960: 422d3230 30372e32 2d6c696e 75782f4d B-2007.2-linux/M b970: 61677069 655f5030 2f787465 6e73612d agpie_P0/xtensa- b980: 656c662f 61726368 2f696e63 6c756465 elf/arch/include b990: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ b9a0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 b9b0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui b9c0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro b9d0: 6d2f636d 6e6f732f 7072696e 74662f69 m/cmnos/printf/i b9e0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa b9f0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt ba00: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ ba10: 312f726f 6d2f636d 6e6f732f 75617274 1/rom/cmnos/uart ba20: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works ba30: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t ba40: 67742f74 61726765 742f636d 6e6f732f gt/target/cmnos/ ba50: 6462672f 696e6300 2f726f6f 742f576f dbg/inc./root/Wo ba60: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 ba70: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp ba80: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos ba90: 2f6d656d 2f696e63 002f726f 6f742f57 /mem/inc./root/W baa0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 bab0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag bac0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno bad0: 732f6d69 73632f69 6e63002f 726f6f74 s/misc/inc./root bae0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ baf0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m bb00: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm bb10: 6e6f732f 73747269 6e672f69 6e63002f nos/string/inc./ bb20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 bb30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui bb40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro bb50: 6d2f636d 6e6f732f 74696d65 722f696e m/cmnos/timer/in bb60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac bb70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ bb80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 bb90: 2f726f6d 2f636d6e 6f732f72 6f6d7061 /rom/cmnos/rompa bba0: 7463682f 696e6300 2f726f6f 742f576f tch/inc./root/Wo bbb0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 bbc0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp bbd0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos bbe0: 2f616c6c 6f637261 6d2f696e 63002f72 /allocram/inc./r bbf0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 bc00: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil bc10: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom bc20: 2f636d6e 6f732f74 61736b6c 65742f69 /cmnos/tasklet/i bc30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa bc40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt bc50: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ bc60: 312f726f 6d2f636d 6e6f732f 636c6f63 1/rom/cmnos/cloc bc70: 6b2f696e 63002f72 6f6f742f 576f726b k/inc./root/Work bc80: 73706163 652f3034 31325f76 3230322f space/0412_v202/ bc90: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie bca0: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i bcb0: 6e74722f 696e6300 2f726f6f 742f576f ntr/inc./root/Wo bcc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 bcd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp bce0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos bcf0: 2f776474 2f696e63 002f726f 6f742f57 /wdt/inc./root/W bd00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 bd10: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag bd20: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno bd30: 732f6565 70726f6d 2f696e63 002f726f s/eeprom/inc./ro bd40: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 bd50: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build bd60: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ bd70: 6869662f 696e6300 2f726f6f 742f576f hif/inc./root/Wo bd80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 bd90: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ bda0: 652f6e62 7566002f 726f6f74 2f576f72 e/nbuf./root/Wor bdb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 bdc0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include bdd0: 2f6f7300 2f726f6f 742f576f 726b7370 /os./root/Worksp bde0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg bdf0: 742f7461 72676574 2f616466 2f6f732f t/target/adf/os/ be00: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ be10: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta be20: 6c6c2f74 6f6f6c73 2f52422d 32303037 ll/tools/RB-2007 be30: 2e322d6c 696e7578 2f587465 6e736154 .2-linux/XtensaT be40: 6f6f6c73 2f6c6962 2f786363 2d6c6962 ools/lib/xcc-lib be50: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W be60: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 be70: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag be80: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf be90: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works bea0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t beb0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ bec0: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in bed0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac bee0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ bef0: 6164662f 696e636c 7564652f 6e657400 adf/include/net. bf00: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ bf10: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu bf20: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r bf30: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. bf40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ bf50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu bf60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r bf70: 6f6d2f68 74632f69 6e63002f 726f6f74 om/htc/inc./root bf80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ bf90: 76323032 2f776c61 6e2f696e 636c7564 v202/wlan/includ bfa0: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac bfb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ bfc0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 bfd0: 2f726f6d 2f627566 5f706f6f 6c2f696e /rom/buf_pool/in bfe0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac bff0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ c000: 74617267 65742f77 6d692f69 6e63002f target/wmi/inc./ c010: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 c020: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui c030: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro c040: 6d2f646d 615f656e 67696e65 2f696e63 m/dma_engine/inc c050: 0000636d 6e6f735f 74696d65 722e6300 ..cmnos_timer.c. c060: 01000073 79735f63 66672e68 00020000 ...sys_cfg.h.... c070: 726f6d5f 6366672e 68000300 006d6167 rom_cfg.h....mag c080: 7069655f 6d656d2e 68000200 00617468 pie_mem.h....ath c090: 6f735f61 70692e68 00020000 6f736170 os_api.h....osap c0a0: 692e6800 0400004f 5455535f 736f632e i.h....OTUS_soc. c0b0: 68000500 0064745f 64656673 2e680004 h....dt_defs.h.. c0c0: 0000636d 6e6f735f 6170692e 68000600 ..cmnos_api.h... c0d0: 00636f72 652e6800 07000068 616c2e68 .core.h....hal.h c0e0: 00080000 636f7265 2d697361 2e680009 ....core-isa.h.. c0f0: 0000636f 72652d6d 61746d61 702e6800 ..core-matmap.h. c100: 09000074 69652e68 00090000 78747275 ...tie.h....xtru c110: 6e74696d 652e6800 08000073 70656372 ntime.h....specr c120: 65672e68 00090000 636f7265 62697473 eg.h....corebits c130: 2e680008 00007072 696e7466 5f617069 .h....printf_api c140: 2e68000a 00007561 72745f61 70692e68 .h....uart_api.h c150: 000b0000 7265675f 64656673 2e680003 ....reg_defs.h.. c160: 00006462 675f6170 692e6800 0c00006d ..dbg_api.h....m c170: 656d5f61 70692e68 000d0000 6d697363 em_api.h....misc c180: 5f617069 2e68000e 00007374 72696e67 _api.h....string c190: 5f617069 2e68000f 00007469 6d65725f _api.h....timer_ c1a0: 6170692e 68001000 00726f6d 705f6170 api.h....romp_ap c1b0: 692e6800 11000061 6c6c6f63 72616d5f i.h....allocram_ c1c0: 6170692e 68001200 00746173 6b6c6574 api.h....tasklet c1d0: 5f617069 2e680013 0000636c 6f636b5f _api.h....clock_ c1e0: 6170692e 68001400 00696e74 725f6170 api.h....intr_ap c1f0: 692e6800 15000077 64745f61 70692e68 i.h....wdt_api.h c200: 00160000 65657072 6f6d5f61 70692e68 ....eeprom_api.h c210: 00170000 7573625f 6170692e 68001800 ....usb_api.h... c220: 00686966 5f706369 2e680018 00006869 .hif_pci.h....hi c230: 665f6170 692e6800 18000061 64665f6e f_api.h....adf_n c240: 6275662e 68001900 00616466 5f6f735f buf.h....adf_os_ c250: 7574696c 2e68001a 00006164 665f6f73 util.h....adf_os c260: 5f757469 6c5f7076 742e6800 1b000061 _util_pvt.h....a c270: 64665f6f 735f7479 7065732e 68001a00 df_os_types.h... c280: 00616466 5f6f735f 73746474 79706573 .adf_os_stdtypes c290: 2e68001a 00006164 665f6f73 5f747970 .h....adf_os_typ c2a0: 65735f70 76742e68 001b0000 73746464 es_pvt.h....stdd c2b0: 65662e68 001c0000 76627566 5f617069 ef.h....vbuf_api c2c0: 2e68001d 00007664 6573635f 6170692e .h....vdesc_api. c2d0: 68001e00 00737464 6172672e 68000400 h....stdarg.h... c2e0: 0076612d 7874656e 73612e68 001c0000 .va-xtensa.h.... c2f0: 6164665f 6f735f64 6d612e68 001a0000 adf_os_dma.h.... c300: 6164665f 6f735f64 6d615f70 76742e68 adf_os_dma_pvt.h c310: 001b0000 6164665f 6e65745f 74797065 ....adf_net_type c320: 732e6800 1f000061 64665f6e 6275665f s.h....adf_nbuf_ c330: 7076742e 68002000 00646d61 5f6c6962 pvt.h. ..dma_lib c340: 2e680018 00006869 665f676d 61632e68 .h....hif_gmac.h c350: 00180000 4d616770 69655f61 70692e68 ....Magpie_api.h c360: 00020000 75736266 69666f5f 6170692e ....usbfifo_api. c370: 68001800 00687463 5f617069 2e680021 h....htc_api.h.! c380: 00006874 632e6800 22000062 75665f70 ..htc.h."..buf_p c390: 6f6f6c5f 6170692e 68002300 00776d69 ool_api.h.#..wmi c3a0: 5f737663 5f617069 2e680024 0000776d _svc_api.h.$..wm c3b0: 692e6800 22000061 74686465 66732e68 i.h."..athdefs.h c3c0: 00220000 646d615f 656e6769 6e655f61 ."..dma_engine_a c3d0: 70692e68 00250000 00000502 008e2c04 pi.h.%........,. c3e0: 03200103 05090003 01037b09 00020103 . ........{..... c3f0: 06090002 01037d09 00020103 01090002 ......}......... c400: 01030309 00020103 06090003 01030a09 ................ c410: 00030103 03090009 01030209 00020103 ................ c420: 02090009 01030209 00080103 7c090004 ............|... c430: 01030709 00100103 01090002 01030209 ................ c440: 00040103 06090002 01030609 00090103 ................ c450: 00090003 01030509 00030103 0e090002 ................ c460: 01037409 00020103 02090004 01030309 ..t............. c470: 00030103 7b090004 01030909 000a0103 ....{........... c480: 01090004 01030709 00020103 01090002 ................ c490: 01030109 00020103 05090007 01030009 ................ c4a0: 00030103 01090003 01030009 00020103 ................ c4b0: 01090002 01030509 00020103 00090003 ................ c4c0: 01030409 00050103 05090011 01037e09 ..............~. c4d0: 00040103 01090004 01030109 00020103 ................ c4e0: 7b090005 01030709 00030103 05090005 {............... c4f0: 01030009 00030103 0509000f 01037f09 ................ c500: 00020103 7f090002 01037f09 00020103 ................ c510: 7f090002 01030509 00020109 00020001 ................ c520: 0100000e a2000200 000cd601 01fb0e0a ................ c530: 00010101 01000000 012f726f 6f742f57 ........./root/W c540: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 c550: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag c560: 7069655f 315f312f 696d6167 652f6d61 pie_1_1/image/ma c570: 67706965 2f2e2e2f 2e2e2f2e 2e2f2e2e gpie/../../../.. c580: 2f2f6275 696c642f 6d616770 69655f31 //build/magpie_1 c590: 5f312f72 6f6d2f63 6d6e6f73 2f776474 _1/rom/cmnos/wdt c5a0: 2f737263 002f726f 6f742f57 6f726b73 /src./root/Works c5b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t c5c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ c5d0: 315f312f 696e6300 2f726f6f 742f576f 1_1/inc./root/Wo c5e0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 c5f0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp c600: 69655f31 5f312f69 6e632f6d 61677069 ie_1_1/inc/magpi c610: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac c620: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ c630: 74617267 65742f69 6e63002f 726f6f74 target/inc./root c640: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ c650: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ c660: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W c670: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 c680: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag c690: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno c6a0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens c6b0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins c6c0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 c6d0: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens c6e0: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el c6f0: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa c700: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte c710: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i c720: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- c730: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte c740: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- c750: 656c662f 696e636c 7564652f 7874656e elf/include/xten c760: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X c770: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal c780: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 c790: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ c7a0: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar c7b0: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens c7c0: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W c7d0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 c7e0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag c7f0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno c800: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro c810: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 c820: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build c830: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ c840: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ c850: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 c860: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar c870: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in c880: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac c890: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ c8a0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 c8b0: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i c8c0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa c8d0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt c8e0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ c8f0: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc c900: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works c910: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t c920: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ c930: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st c940: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W c950: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 c960: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag c970: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno c980: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo c990: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 c9a0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ c9b0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c c9c0: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in c9d0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac c9e0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ c9f0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 ca00: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc ca10: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo ca20: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 ca30: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp ca40: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos ca50: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro ca60: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 ca70: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build ca80: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ ca90: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. caa0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ cab0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu cac0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r cad0: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in cae0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac caf0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ cb00: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 cb10: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i cb20: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa cb30: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt cb40: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ cb50: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr cb60: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor cb70: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 cb80: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi cb90: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in cba0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac cbb0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ cbc0: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf cbd0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace cbe0: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a cbf0: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r cc00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 cc10: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ cc20: 65742f61 64662f6f 732f696e 63002f6f et/adf/os/inc./o cc30: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT cc40: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too cc50: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin cc60: 75782f58 74656e73 61546f6f 6c732f6c ux/XtensaTools/l cc70: 69622f78 63632d6c 69622f69 6e636c75 ib/xcc-lib/inclu cc80: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa cc90: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt cca0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ ccb0: 312f726f 6d2f7662 75662f69 6e63002f 1/rom/vbuf/inc./ ccc0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 ccd0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui cce0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro ccf0: 6d2f7664 6573632f 696e6300 2f726f6f m/vdesc/inc./roo cd00: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 cd10: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in cd20: 636c7564 652f6e65 74002f72 6f6f742f clude/net./root/ cd30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v cd40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma cd50: 67706965 5f315f31 2f726f6d 2f616466 gpie_1_1/rom/adf cd60: 2f6e6275 662f696e 63002f72 6f6f742f /nbuf/inc./root/ cd70: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v cd80: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma cd90: 67706965 5f315f31 2f726f6d 2f687463 gpie_1_1/rom/htc cda0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works cdb0: 70616365 2f303431 325f7632 30322f77 pace/0412_v202/w cdc0: 6c616e2f 696e636c 75646500 2f726f6f lan/include./roo cdd0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 cde0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ cdf0: 6d616770 69655f31 5f312f72 6f6d2f62 magpie_1_1/rom/b ce00: 75665f70 6f6f6c2f 696e6300 2f726f6f uf_pool/inc./roo ce10: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 ce20: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target ce30: 2f776d69 2f696e63 002f726f 6f742f57 /wmi/inc./root/W ce40: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 ce50: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag ce60: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ ce70: 656e6769 6e652f69 6e630000 636d6e6f engine/inc..cmno ce80: 735f7764 742e6300 01000073 79735f63 s_wdt.c....sys_c ce90: 66672e68 00020000 726f6d5f 6366672e fg.h....rom_cfg. cea0: 68000300 006d6167 7069655f 6d656d2e h....magpie_mem. ceb0: 68000200 00617468 6f735f61 70692e68 h....athos_api.h cec0: 00020000 6f736170 692e6800 0400004f ....osapi.h....O ced0: 5455535f 736f632e 68000500 0064745f TUS_soc.h....dt_ cee0: 64656673 2e680004 0000636d 6e6f735f defs.h....cmnos_ cef0: 6170692e 68000600 00636f72 652e6800 api.h....core.h. cf00: 07000068 616c2e68 00080000 636f7265 ...hal.h....core cf10: 2d697361 2e680009 0000636f 72652d6d -isa.h....core-m cf20: 61746d61 702e6800 09000074 69652e68 atmap.h....tie.h cf30: 00090000 78747275 6e74696d 652e6800 ....xtruntime.h. cf40: 08000073 70656372 65672e68 00090000 ...specreg.h.... cf50: 636f7265 62697473 2e680008 00007072 corebits.h....pr cf60: 696e7466 5f617069 2e68000a 00007561 intf_api.h....ua cf70: 72745f61 70692e68 000b0000 7265675f rt_api.h....reg_ cf80: 64656673 2e680003 00006462 675f6170 defs.h....dbg_ap cf90: 692e6800 0c00006d 656d5f61 70692e68 i.h....mem_api.h cfa0: 000d0000 6d697363 5f617069 2e68000e ....misc_api.h.. cfb0: 00007374 72696e67 5f617069 2e68000f ..string_api.h.. cfc0: 00007469 6d65725f 6170692e 68001000 ..timer_api.h... cfd0: 00726f6d 705f6170 692e6800 11000061 .romp_api.h....a cfe0: 6c6c6f63 72616d5f 6170692e 68001200 llocram_api.h... cff0: 00746173 6b6c6574 5f617069 2e680013 .tasklet_api.h.. d000: 0000636c 6f636b5f 6170692e 68001400 ..clock_api.h... d010: 00696e74 725f6170 692e6800 15000077 .intr_api.h....w d020: 64745f61 70692e68 00160000 65657072 dt_api.h....eepr d030: 6f6d5f61 70692e68 00170000 7573625f om_api.h....usb_ d040: 6170692e 68001800 00686966 5f706369 api.h....hif_pci d050: 2e680018 00006869 665f6170 692e6800 .h....hif_api.h. d060: 18000061 64665f6e 6275662e 68001900 ...adf_nbuf.h... d070: 00616466 5f6f735f 7574696c 2e68001a .adf_os_util.h.. d080: 00006164 665f6f73 5f757469 6c5f7076 ..adf_os_util_pv d090: 742e6800 1b000061 64665f6f 735f7479 t.h....adf_os_ty d0a0: 7065732e 68001a00 00616466 5f6f735f pes.h....adf_os_ d0b0: 73746474 79706573 2e68001a 00006164 stdtypes.h....ad d0c0: 665f6f73 5f747970 65735f70 76742e68 f_os_types_pvt.h d0d0: 001b0000 73746464 65662e68 001c0000 ....stddef.h.... d0e0: 76627566 5f617069 2e68001d 00007664 vbuf_api.h....vd d0f0: 6573635f 6170692e 68001e00 00737464 esc_api.h....std d100: 6172672e 68000400 0076612d 7874656e arg.h....va-xten d110: 73612e68 001c0000 6164665f 6f735f64 sa.h....adf_os_d d120: 6d612e68 001a0000 6164665f 6f735f64 ma.h....adf_os_d d130: 6d615f70 76742e68 001b0000 6164665f ma_pvt.h....adf_ d140: 6e65745f 74797065 732e6800 1f000061 net_types.h....a d150: 64665f6e 6275665f 7076742e 68002000 df_nbuf_pvt.h. . d160: 00646d61 5f6c6962 2e680018 00006869 .dma_lib.h....hi d170: 665f676d 61632e68 00180000 4d616770 f_gmac.h....Magp d180: 69655f61 70692e68 00020000 75736266 ie_api.h....usbf d190: 69666f5f 6170692e 68001800 00687463 ifo_api.h....htc d1a0: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. d1b0: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. d1c0: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api d1d0: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a d1e0: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ d1f0: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. d200: 00000502 008e2ce4 03160103 00090003 ......,......... d210: 01030909 00060103 0209000c 01030309 ................ d220: 00060103 7e090002 01037f09 00020103 ....~........... d230: 03090006 01037c09 00020103 04090002 ......|......... d240: 01031209 00030103 00090003 01030109 ................ d250: 00030103 01090008 01030109 00090103 ................ d260: 09090005 01030009 00030103 11090006 ................ d270: 01037b09 00060103 02090003 01030109 ..{............. d280: 00020103 03090006 01037409 00040103 ..........t..... d290: 01090002 01030b09 00060103 08090002 ................ d2a0: 01030009 00030103 02090003 01030409 ................ d2b0: 00020103 7e090002 01030109 00020103 ....~........... d2c0: 7f090004 01030209 00020103 7c090002 ............|... d2d0: 01030409 00030103 02090005 01030709 ................ d2e0: 00040103 00090003 01030709 00030103 ................ d2f0: 7e090002 01037b09 00020103 06090002 ~.....{......... d300: 01037f09 00020103 02090002 01037c09 ..............|. d310: 00020103 04090003 01030309 00050103 ................ d320: 08090002 01030009 00030103 04090008 ................ d330: 01030109 00030103 01090002 01030309 ................ d340: 00020103 04090003 01037e09 00020103 ..........~..... d350: 01090002 01037f09 00040103 02090002 ................ d360: 01030109 00070103 08090002 01030009 ................ d370: 00030103 02090003 01030209 00060103 ................ d380: 0309000a 01030409 00020103 00090003 ................ d390: 01030709 00150103 7f090002 01037f09 ................ d3a0: 00020103 7f090002 01037f09 00020103 ................ d3b0: 7f090002 01037f09 00020103 07090002 ................ d3c0: 01090002 00010100 000efc00 0200000c ................ d3d0: d60101fb 0e0a0001 01010100 0000012f .............../ d3e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 d3f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui d400: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im d410: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. d420: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma d430: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn d440: 6f732f75 6172742f 73726300 2f726f6f os/uart/src./roo d450: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 d460: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ d470: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ d480: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 d490: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui d4a0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in d4b0: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W d4c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 d4d0: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in d4e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac d4f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ d500: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. d510: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ d520: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu d530: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r d540: 6f6d2f63 6d6e6f73 2f696e63 002f6f70 om/cmnos/inc./op d550: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo d560: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool d570: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu d580: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt d590: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include d5a0: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ d5b0: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev d5c0: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to d5d0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li d5e0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ d5f0: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu d600: 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x d610: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools d620: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ d630: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ d640: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa d650: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ d660: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. d670: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ d680: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu d690: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r d6a0: 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ d6b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp d6c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg d6d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 d6e0: 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar d6f0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work d700: 73706163 652f3034 31325f76 3230322f space/0412_v202/ d710: 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos d720: 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W d730: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 d740: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag d750: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno d760: 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ d770: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v d780: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma d790: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn d7a0: 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo d7b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 d7c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ d7d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c d7e0: 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. d7f0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ d800: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu d810: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r d820: 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i d830: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa d840: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt d850: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ d860: 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp d870: 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W d880: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 d890: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag d8a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno d8b0: 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ d8c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 d8d0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui d8e0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro d8f0: 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ d900: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp d910: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg d920: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 d930: 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo d940: 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor d950: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 d960: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi d970: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ d980: 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W d990: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 d9a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag d9b0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno d9c0: 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ d9d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v d9e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma d9f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn da00: 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r da10: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 da20: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil da30: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom da40: 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W da50: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 da60: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu da70: 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo da80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 da90: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ daa0: 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works dab0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t dac0: 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os dad0: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa dae0: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst daf0: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 db00: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa db10: 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li db20: 622f696e 636c7564 65002f72 6f6f742f b/include./root/ db30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v db40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma db50: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu db60: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work db70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ db80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie db90: 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i dba0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa dbb0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt dbc0: 2f616466 2f696e63 6c756465 2f6e6574 /adf/include/net dbd0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace dbe0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b dbf0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ dc00: 726f6d2f 6164662f 6e627566 2f696e63 rom/adf/nbuf/inc dc10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace dc20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b dc30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ dc40: 726f6d2f 6874632f 696e6300 2f726f6f rom/htc/inc./roo dc50: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 dc60: 5f763230 322f776c 616e2f69 6e636c75 _v202/wlan/inclu dc70: 6465002f 726f6f74 2f576f72 6b737061 de./root/Workspa dc80: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt dc90: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ dca0: 312f726f 6d2f6275 665f706f 6f6c2f69 1/rom/buf_pool/i dcb0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa dcc0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt dcd0: 2f746172 6765742f 776d692f 696e6300 /target/wmi/inc. dce0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ dcf0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu dd00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r dd10: 6f6d2f64 6d615f65 6e67696e 652f696e om/dma_engine/in dd20: 63000075 6172745f 6170692e 63000100 c..uart_api.c... dd30: 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro dd40: 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi dd50: 655f6d65 6d2e6800 02000061 74686f73 e_mem.h....athos dd60: 5f617069 2e680002 00006f73 6170692e _api.h....osapi. dd70: 68000400 004f5455 535f736f 632e6800 h....OTUS_soc.h. dd80: 05000064 745f6465 66732e68 00040000 ...dt_defs.h.... dd90: 636d6e6f 735f6170 692e6800 06000063 cmnos_api.h....c dda0: 6f72652e 68000700 0068616c 2e680008 ore.h....hal.h.. ddb0: 0000636f 72652d69 73612e68 00090000 ..core-isa.h.... ddc0: 636f7265 2d6d6174 6d61702e 68000900 core-matmap.h... ddd0: 00746965 2e680009 00007874 72756e74 .tie.h....xtrunt dde0: 696d652e 68000800 00737065 63726567 ime.h....specreg ddf0: 2e680009 0000636f 72656269 74732e68 .h....corebits.h de00: 00080000 7072696e 74665f61 70692e68 ....printf_api.h de10: 000a0000 75617274 5f617069 2e68000b ....uart_api.h.. de20: 00007265 675f6465 66732e68 00030000 ..reg_defs.h.... de30: 6462675f 6170692e 68000c00 006d656d dbg_api.h....mem de40: 5f617069 2e68000d 00006d69 73635f61 _api.h....misc_a de50: 70692e68 000e0000 73747269 6e675f61 pi.h....string_a de60: 70692e68 000f0000 74696d65 725f6170 pi.h....timer_ap de70: 692e6800 10000072 6f6d705f 6170692e i.h....romp_api. de80: 68001100 00616c6c 6f637261 6d5f6170 h....allocram_ap de90: 692e6800 12000074 61736b6c 65745f61 i.h....tasklet_a dea0: 70692e68 00130000 636c6f63 6b5f6170 pi.h....clock_ap deb0: 692e6800 14000069 6e74725f 6170692e i.h....intr_api. dec0: 68001500 00776474 5f617069 2e680016 h....wdt_api.h.. ded0: 00006565 70726f6d 5f617069 2e680017 ..eeprom_api.h.. dee0: 00007573 625f6170 692e6800 18000068 ..usb_api.h....h def0: 69665f70 63692e68 00180000 6869665f if_pci.h....hif_ df00: 6170692e 68001800 00616466 5f6e6275 api.h....adf_nbu df10: 662e6800 19000061 64665f6f 735f7574 f.h....adf_os_ut df20: 696c2e68 001a0000 6164665f 6f735f75 il.h....adf_os_u df30: 74696c5f 7076742e 68001b00 00616466 til_pvt.h....adf df40: 5f6f735f 74797065 732e6800 1a000061 _os_types.h....a df50: 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h df60: 001a0000 6164665f 6f735f74 79706573 ....adf_os_types df70: 5f707674 2e68001b 00007374 64646566 _pvt.h....stddef df80: 2e68001c 00007662 75665f61 70692e68 .h....vbuf_api.h df90: 001d0000 76646573 635f6170 692e6800 ....vdesc_api.h. dfa0: 1e000073 74646172 672e6800 04000076 ...stdarg.h....v dfb0: 612d7874 656e7361 2e68001c 00006164 a-xtensa.h....ad dfc0: 665f6f73 5f646d61 2e68001a 00006164 f_os_dma.h....ad dfd0: 665f6f73 5f646d61 5f707674 2e68001b f_os_dma_pvt.h.. dfe0: 00006164 665f6e65 745f7479 7065732e ..adf_net_types. dff0: 68001f00 00616466 5f6e6275 665f7076 h....adf_nbuf_pv e000: 742e6800 20000064 6d615f6c 69622e68 t.h. ..dma_lib.h e010: 00180000 6869665f 676d6163 2e680018 ....hif_gmac.h.. e020: 00004d61 67706965 5f617069 2e680002 ..Magpie_api.h.. e030: 00007573 62666966 6f5f6170 692e6800 ..usbfifo_api.h. e040: 18000068 74635f61 70692e68 00210000 ...htc_api.h.!.. e050: 6874632e 68002200 00627566 5f706f6f htc.h."..buf_poo e060: 6c5f6170 692e6800 23000077 6d695f73 l_api.h.#..wmi_s e070: 76635f61 70692e68 00240000 776d692e vc_api.h.$..wmi. e080: 68002200 00617468 64656673 2e680022 h."..athdefs.h." e090: 0000646d 615f656e 67696e65 5f617069 ..dma_engine_api e0a0: 2e680025 00000000 0502008e 2df80318 .h.%........-... e0b0: 01030009 00030103 05090005 01030409 ................ e0c0: 00060103 03090008 01037d09 00030103 ..........}..... e0d0: 03090003 01030409 000c0103 07090006 ................ e0e0: 01030609 00080103 01090003 01030109 ................ e0f0: 00030103 08090004 01030209 00030103 ................ e100: 08090005 01030109 00030103 00090002 ................ e110: 01030909 00030103 00090003 01031c09 ................ e120: 00090103 01090006 01030609 00030103 ................ e130: 0909000c 01030109 00060103 06090003 ................ e140: 01030709 00020103 00090003 01030709 ................ e150: 00090103 01090006 01030609 00030103 ................ e160: 0909000c 01030109 00060103 05090003 ................ e170: 01030809 00020103 00090003 01030309 ................ e180: 00030103 08090009 01037a09 00040103 ..........z..... e190: 01090009 01030509 00020103 09090002 ................ e1a0: 01031709 00030103 07090005 01030009 ................ e1b0: 00030103 01090003 01030809 00060103 ................ e1c0: 00090003 01030309 00030103 03090005 ................ e1d0: 01030109 00050103 7f090003 01030209 ................ e1e0: 00030103 7e090002 01030409 00030103 ....~........... e1f0: 08090005 01030009 00030103 03090006 ................ e200: 01037e09 00020103 02090003 01030309 ..~............. e210: 00020103 7b090002 01030209 00060103 ....{........... e220: 03090006 01030109 00030103 05090002 ................ e230: 01030709 00050103 00090003 01030409 ................ e240: 00050103 02090006 01030209 00090103 ................ e250: 7e090003 01030209 00030103 0109000c ~............... e260: 01030309 00090103 0109000c 01030809 ................ e270: 00020103 00090003 01030c09 00030103 ................ e280: 7d09001a 01030309 00020103 7f090003 }............... e290: 01037d09 00020103 7f090002 01037f09 ..}............. e2a0: 00020103 7f090002 01037f09 00020103 ................ e2b0: 7f090002 01037f09 00020103 0b090002 ................ e2c0: 01090002 00010100 00115000 0200000c ..........P..... e2d0: c00101fb 0e0a0001 01010100 0000012f .............../ e2e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 e2f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui e300: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im e310: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. e320: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma e330: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif e340: 2f706369 2f002f72 6f6f742f 576f726b /pci/./root/Work e350: 73706163 652f3034 31325f76 3230322f space/0412_v202/ e360: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie e370: 5f315f31 2f726f6d 2f686966 2f696e63 _1_1/rom/hif/inc e380: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace e390: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a e3a0: 64662f69 6e636c75 64652f6e 62756600 df/include/nbuf. e3b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ e3c0: 30343132 5f763230 322f7467 742f6164 0412_v202/tgt/ad e3d0: 662f696e 636c7564 652f6f73 002f726f f/include/os./ro e3e0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 e3f0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe e400: 742f6164 662f6f73 2f696e63 002f6f70 t/adf/os/inc./op e410: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo e420: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool e430: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu e440: 782f5874 656e7361 546f6f6c 732f6c69 x/XtensaTools/li e450: 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ e460: 65002f72 6f6f742f 576f726b 73706163 e./root/Workspac e470: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ e480: 74617267 65742f69 6e63002f 726f6f74 target/inc./root e490: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ e4a0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ e4b0: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W e4c0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 e4d0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag e4e0: 7069655f 315f312f 726f6d2f 76627566 pie_1_1/rom/vbuf e4f0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works e500: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t e510: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ e520: 315f312f 726f6d2f 76646573 632f696e 1_1/rom/vdesc/in e530: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac e540: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ e550: 6164662f 696e636c 7564652f 6e657400 adf/include/net. e560: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ e570: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu e580: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r e590: 6f6d2f61 64662f6e 6275662f 696e6300 om/adf/nbuf/inc. e5a0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ e5b0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu e5c0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i e5d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa e5e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt e5f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ e600: 312f726f 6d2f636d 6e6f732f 696e6300 1/rom/cmnos/inc. e610: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ e620: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu e630: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i e640: 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x e650: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools e660: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R e670: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X e680: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens e690: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt e6a0: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt e6b0: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo e6c0: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools e6d0: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux e6e0: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte e6f0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ e700: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten e710: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in e720: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- e730: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag e740: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el e750: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x e760: 74656e73 612f636f 6e666967 002f726f tensa/config./ro e770: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 e780: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build e790: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ e7a0: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc e7b0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace e7c0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b e7d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ e7e0: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i e7f0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa e800: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt e810: 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db e820: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work e830: 73706163 652f3034 31325f76 3230322f space/0412_v202/ e840: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie e850: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m e860: 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor e870: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 e880: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi e890: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ e8a0: 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W e8b0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 e8c0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag e8d0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno e8e0: 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro e8f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 e900: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build e910: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ e920: 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. e930: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ e940: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu e950: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r e960: 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc e970: 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work e980: 73706163 652f3034 31325f76 3230322f space/0412_v202/ e990: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie e9a0: 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a e9b0: 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo e9c0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 e9d0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ e9e0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c e9f0: 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc ea00: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace ea10: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b ea20: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ ea30: 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ ea40: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp ea50: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg ea60: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 ea70: 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int ea80: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work ea90: 73706163 652f3034 31325f76 3230322f space/0412_v202/ eaa0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie eab0: 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w eac0: 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor ead0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 eae0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi eaf0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ eb00: 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root eb10: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ eb20: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m eb30: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht eb40: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work eb50: 73706163 652f3034 31325f76 3230322f space/0412_v202/ eb60: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro eb70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 eb80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build eb90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ eba0: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro ebb0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 ebc0: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe ebd0: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ ebe0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v ebf0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma ec00: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma ec10: 5f656e67 696e652f 696e6300 00686966 _engine/inc..hif ec20: 5f706369 2e630001 00006869 665f6170 _pci.c....hif_ap ec30: 692e6800 02000061 64665f6e 6275662e i.h....adf_nbuf. ec40: 68000300 00616466 5f6f735f 7574696c h....adf_os_util ec50: 2e680004 00006164 665f6f73 5f757469 .h....adf_os_uti ec60: 6c5f7076 742e6800 05000061 64665f6f l_pvt.h....adf_o ec70: 735f7479 7065732e 68000400 00616466 s_types.h....adf ec80: 5f6f735f 73746474 79706573 2e680004 _os_stdtypes.h.. ec90: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p eca0: 76742e68 00050000 73746464 65662e68 vt.h....stddef.h ecb0: 00060000 6f736170 692e6800 0700004f ....osapi.h....O ecc0: 5455535f 736f632e 68000800 00766275 TUS_soc.h....vbu ecd0: 665f6170 692e6800 09000076 64657363 f_api.h....vdesc ece0: 5f617069 2e68000a 00007374 64617267 _api.h....stdarg ecf0: 2e680007 00007661 2d787465 6e73612e .h....va-xtensa. ed00: 68000600 00616466 5f6f735f 646d612e h....adf_os_dma. ed10: 68000400 00616466 5f6f735f 646d615f h....adf_os_dma_ ed20: 7076742e 68000500 00616466 5f6e6574 pvt.h....adf_net ed30: 5f747970 65732e68 000b0000 6164665f _types.h....adf_ ed40: 6e627566 5f707674 2e68000c 00004d61 nbuf_pvt.h....Ma ed50: 67706965 5f617069 2e68000d 0000636d gpie_api.h....cm ed60: 6e6f735f 6170692e 68000e00 00737973 nos_api.h....sys ed70: 5f636667 2e68000d 0000726f 6d5f6366 _cfg.h....rom_cf ed80: 672e6800 0f00006d 61677069 655f6d65 g.h....magpie_me ed90: 6d2e6800 0d000063 6f72652e 68001000 m.h....core.h... eda0: 0068616c 2e680011 0000636f 72652d69 .hal.h....core-i edb0: 73612e68 00120000 636f7265 2d6d6174 sa.h....core-mat edc0: 6d61702e 68001200 00746965 2e680012 map.h....tie.h.. edd0: 00007874 72756e74 696d652e 68001100 ..xtruntime.h... ede0: 00737065 63726567 2e680012 0000636f .specreg.h....co edf0: 72656269 74732e68 00110000 7072696e rebits.h....prin ee00: 74665f61 70692e68 00130000 75617274 tf_api.h....uart ee10: 5f617069 2e680014 00007265 675f6465 _api.h....reg_de ee20: 66732e68 000f0000 64745f64 6566732e fs.h....dt_defs. ee30: 68000700 00646267 5f617069 2e680015 h....dbg_api.h.. ee40: 00006d65 6d5f6170 692e6800 1600006d ..mem_api.h....m ee50: 6973635f 6170692e 68001700 00737472 isc_api.h....str ee60: 696e675f 6170692e 68001800 0074696d ing_api.h....tim ee70: 65725f61 70692e68 00190000 726f6d70 er_api.h....romp ee80: 5f617069 2e68001a 0000616c 6c6f6372 _api.h....allocr ee90: 616d5f61 70692e68 001b0000 7461736b am_api.h....task eea0: 6c65745f 6170692e 68001c00 00636c6f let_api.h....clo eeb0: 636b5f61 70692e68 001d0000 696e7472 ck_api.h....intr eec0: 5f617069 2e68001e 00007764 745f6170 _api.h....wdt_ap eed0: 692e6800 1f000065 6570726f 6d5f6170 i.h....eeprom_ap eee0: 692e6800 20000075 73625f61 70692e68 i.h. ..usb_api.h eef0: 00020000 6869665f 7063692e 68000200 ....hif_pci.h... ef00: 00646d61 5f6c6962 2e680002 00006869 .dma_lib.h....hi ef10: 665f676d 61632e68 00020000 75736266 f_gmac.h....usbf ef20: 69666f5f 6170692e 68000200 00687463 ifo_api.h....htc ef30: 5f617069 2e680021 00006874 632e6800 _api.h.!..htc.h. ef40: 22000062 75665f70 6f6f6c5f 6170692e "..buf_pool_api. ef50: 68002300 00776d69 5f737663 5f617069 h.#..wmi_svc_api ef60: 2e680024 0000776d 692e6800 22000061 .h.$..wmi.h."..a ef70: 74686465 66732e68 00220000 646d615f thdefs.h."..dma_ ef80: 656e6769 6e655f61 70692e68 00250000 engine_api.h.%.. ef90: 00000502 008e2f94 03300103 01090003 ....../..0...... efa0: 01030509 00090103 01090003 01030109 ................ efb0: 00050103 04090004 01030009 00030103 ................ efc0: 10090002 01037f09 000f0103 7c090003 ............|... efd0: 01037809 00020103 04090002 01037c09 ..x...........|. efe0: 00020103 0a090002 01037609 00020103 ..........v..... eff0: 06090002 01037a09 00020103 12090003 ......z......... f000: 01030009 00030103 0a090002 01037c09 ..............|. f010: 00020103 03090002 01030109 00020103 ................ f020: 79090003 01030b09 00060103 00090003 y............... f030: 01031009 00020103 7c090009 01030309 ........|....... f040: 00020103 01090002 01037309 00030103 ..........s..... f050: 03090006 01037d09 00020103 06090002 ......}......... f060: 01037a09 00020103 13090003 01030009 ..z............. f070: 00030103 06090003 01030209 00030103 ................ f080: 0109000b 01030809 00040103 00090003 ................ f090: 01030609 00030103 0209000c 01030209 ................ f0a0: 00080103 03090008 01030609 000c0103 ................ f0b0: 0109000b 01030109 00100103 02090008 ................ f0c0: 01030609 000b0103 0109000b 01030109 ................ f0d0: 00100103 02090008 01030609 000b0103 ................ f0e0: 01090008 01030109 00100103 02090008 ................ f0f0: 01030109 00080103 06090002 01030009 ................ f100: 00030103 01090003 01030109 00060103 ................ f110: 02090006 01030109 000a0103 0209000a ................ f120: 01030309 000d0103 0a090005 01030009 ................ f130: 00030103 01090003 01030109 00060103 ................ f140: 05090006 01030109 000a0103 0509000a ................ f150: 01030109 000a0103 0109000a 01030109 ................ f160: 000a0103 0209000d 01030b09 00030103 ................ f170: 00090003 01030409 00030103 0209000a ................ f180: 01030109 00030103 07090009 01037d09 ..............}. f190: 00020103 0209000a 01037e09 00030103 ..........~..... f1a0: 02090002 01030109 000b0103 08090004 ................ f1b0: 01030109 00030103 0a090005 01030009 ................ f1c0: 00030103 03090003 01030009 00020103 ................ f1d0: 01090002 01030109 00040103 01090004 ................ f1e0: 01030a09 00020103 00090003 01030409 ................ f1f0: 00030103 02090008 01030309 000a0103 ................ f200: 05090007 01037d09 00020103 03090009 ......}......... f210: 01030b09 00020103 00090003 01030309 ................ f220: 00050103 0309000a 01030309 00090103 ................ f230: 7f090002 01030109 00090103 08090002 ................ f240: 01030009 00030103 05090009 01037f09 ................ f250: 000a0103 0409000e 01037f09 000a0103 ................ f260: 0409000e 01037f09 000a0103 0409000e ................ f270: 01037f09 000a0103 0709000b 01030109 ................ f280: 000a0103 04090011 01030109 000a0103 ................ f290: 0409000c 01030209 00030103 0c090003 ................ f2a0: 01030009 00030103 03090003 01037d09 ..............}. f2b0: 00030103 03090002 01030209 00030103 ................ f2c0: 01090003 01030109 00090103 03090002 ................ f2d0: 01030b09 00100103 00090003 01030309 ................ f2e0: 00030103 7d090003 01030309 00020103 ....}........... f2f0: 02090003 01030309 00030103 01090008 ................ f300: 01030b09 00030103 01090003 01030109 ................ f310: 00030103 02090002 01030c09 00080103 ................ f320: 04090003 01037e09 00030103 7f090003 ......~......... f330: 01030309 00030103 0b090004 01030109 ................ f340: 00030103 00090002 01030909 00030103 ................ f350: 01090003 01030509 00050103 00090003 ................ f360: 01030109 00020103 01090003 01030109 ................ f370: 00030103 08090005 01030009 00030103 ................ f380: 0309001b 01030709 00020103 7f090002 ................ f390: 01037f09 00020103 7f090002 01037f09 ................ f3a0: 00020103 7f090002 01037d09 00020103 ..........}..... f3b0: 02090002 01030809 00050103 7f090008 ................ f3c0: 01030209 00020103 01090002 01030409 ................ f3d0: 00050103 00090003 01030909 001b0103 ................ f3e0: 7f090002 01037f09 00020103 7f090002 ................ f3f0: 01037f09 00020103 7f090002 01037f09 ................ f400: 00020103 7f090002 01037f09 00020103 ................ f410: 09090002 01090002 00010100 00129d00 ................ f420: 0200000d 160101fb 0e0a0001 01010100 ................ f430: 0000012f 726f6f74 2f576f72 6b737061 .../root/Workspa f440: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt f450: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ f460: 312f696d 6167652f 6d616770 69652f2e 1/image/magpie/. f470: 2e2f2e2e 2f2e2e2f 2e2e2f2f 6275696c ./../../..//buil f480: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom f490: 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro f4a0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 f4b0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build f4c0: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. f4d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ f4e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu f4f0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i f500: 6e632f6d 61677069 65002f72 6f6f742f nc/magpie./root/ f510: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v f520: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i f530: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa f540: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt f550: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS f560: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace f570: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b f580: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ f590: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o f5a0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT f5b0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too f5c0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin f5d0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x f5e0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ f5f0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. f600: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe f610: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t f620: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l f630: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools f640: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl f650: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ f660: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool f670: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds f680: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux f690: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens f6a0: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu f6b0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config f6c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace f6d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b f6e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ f6f0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf f700: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works f710: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t f720: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ f730: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua f740: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor f750: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 f760: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno f770: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ f780: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v f790: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma f7a0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn f7b0: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root f7c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ f7d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m f7e0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm f7f0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro f800: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 f810: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build f820: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ f830: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc f840: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace f850: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b f860: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ f870: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ f880: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp f890: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg f8a0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 f8b0: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom f8c0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ f8d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v f8e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma f8f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn f900: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. f910: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ f920: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu f930: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r f940: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet f950: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works f960: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t f970: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ f980: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl f990: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo f9a0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 f9b0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp f9c0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos f9d0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ f9e0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v f9f0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma fa00: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn fa10: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root fa20: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ fa30: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m fa40: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm fa50: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ fa60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 fa70: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui fa80: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro fa90: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ faa0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v fab0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl fac0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W fad0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 fae0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu faf0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work fb00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ fb10: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o fb20: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens fb30: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins fb40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 fb50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens fb60: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l fb70: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root fb80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ fb90: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m fba0: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb fbb0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor fbc0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 fbd0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi fbe0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ fbf0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp fc00: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg fc10: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne fc20: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac fc30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ fc40: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 fc50: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in fc60: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac fc70: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ fc80: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 fc90: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro fca0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 fcb0: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl fcc0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp fcd0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg fce0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 fcf0: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ fd00: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp fd10: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg fd20: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc fd30: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace fd40: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b fd50: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ fd60: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i fd70: 6e630000 4849465f 7573622e 63000100 nc..HIF_usb.c... fd80: 00737973 5f636667 2e680002 0000726f .sys_cfg.h....ro fd90: 6d5f6366 672e6800 0300006d 61677069 m_cfg.h....magpi fda0: 655f6d65 6d2e6800 02000064 745f6465 e_mem.h....dt_de fdb0: 66732e68 00040000 7265675f 64656673 fs.h....reg_defs fdc0: 2e680003 00006f73 6170692e 68000400 .h....osapi.h... fdd0: 004f5455 535f736f 632e6800 0500004d .OTUS_soc.h....M fde0: 61677069 655f6170 692e6800 02000063 agpie_api.h....c fdf0: 6d6e6f73 5f617069 2e680006 0000636f mnos_api.h....co fe00: 72652e68 00070000 68616c2e 68000800 re.h....hal.h... fe10: 00636f72 652d6973 612e6800 09000063 .core-isa.h....c fe20: 6f72652d 6d61746d 61702e68 00090000 ore-matmap.h.... fe30: 7469652e 68000900 00787472 756e7469 tie.h....xtrunti fe40: 6d652e68 00080000 73706563 7265672e me.h....specreg. fe50: 68000900 00636f72 65626974 732e6800 h....corebits.h. fe60: 08000070 72696e74 665f6170 692e6800 ...printf_api.h. fe70: 0a000075 6172745f 6170692e 68000b00 ...uart_api.h... fe80: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me fe90: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ fea0: 6170692e 68000e00 00737472 696e675f api.h....string_ feb0: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a fec0: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api fed0: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a fee0: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ fef0: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a ff00: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api ff10: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. ff20: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. ff30: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... ff40: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif ff50: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb ff60: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u ff70: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ ff80: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad ff90: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... ffa0: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. ffb0: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type ffc0: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde ffd0: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. ffe0: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h fff0: 001e0000 73746461 72672e68 00040000 ....stdarg.h.... 10000: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a 10010: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a 10020: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 10030: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types 10040: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 10050: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 10060: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. 10070: 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 10080: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. 10090: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 100a0: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 100b0: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 100c0: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 100d0: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 100e0: 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me 100f0: 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me 10100: 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o 10110: 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os 10120: 5f696f5f 7076742e 68001b00 00686966 _io_pvt.h....hif 10130: 5f757362 2e680018 00000000 0502008e _usb.h.......... 10140: 33b00326 01030009 00030103 03090003 3..&............ 10150: 01030209 00020103 7f090002 01030109 ................ 10160: 00040103 01090002 01030409 00040103 ................ 10170: 0b090003 01030209 00020103 01090009 ................ 10180: 01030209 000d0103 7e090003 01030209 ........~....... 10190: 00020103 02090009 01030209 000b0103 ................ 101a0: 03090004 01030009 00030103 03090003 ................ 101b0: 01030109 00020103 7c090002 01030409 ........|....... 101c0: 00020103 01090003 01030109 00020103 ................ 101d0: 02090005 01030309 00040103 01090002 ................ 101e0: 01030409 00040103 00090003 01030509 ................ 101f0: 00030103 7b090002 01030509 00020103 ....{........... 10200: 02090005 01030509 00050103 00090003 ................ 10210: 01030409 000f0103 01090002 01030109 ................ 10220: 00020103 02090002 01037f09 00030103 ................ 10230: 01090002 01030409 00050103 01090009 ................ 10240: 01030309 00090103 04090009 01030309 ................ 10250: 000c0103 01090004 01030109 00020103 ................ 10260: 02090002 01030409 00030103 02090003 ................ 10270: 01030309 00050103 00090003 01030309 ................ 10280: 00030103 00090002 01030109 00020103 ................ 10290: 01090004 01030209 00040103 05090002 ................ 102a0: 01030009 00030103 08090006 01030109 ................ 102b0: 000b0103 02090011 01030109 00080103 ................ 102c0: 02090008 01030109 000b0103 04090008 ................ 102d0: 01030109 000b0103 0309000c 01030109 ................ 102e0: 00080103 03090006 01030409 00060103 ................ 102f0: 03090005 01030309 00040103 01090003 ................ 10300: 01030009 00020103 1a090003 01030009 ................ 10310: 00030103 01090005 01030409 00030103 ................ 10320: 0d090006 01037709 00020103 01090003 ......w......... 10330: 01030809 00060103 7c090002 01030109 ........|....... 10340: 00060103 03090005 01030309 00030103 ................ 10350: 03090003 01031309 00060103 71090002 ............q... 10360: 01030f09 00060103 77090002 01030609 ........w....... 10370: 00030103 03090006 01030809 00040103 ................ 10380: 00090003 01030609 00050103 21090003 ............!... 10390: 01030109 00070103 01090016 01037b09 ..............{. 103a0: 00050103 67090002 01030209 00090103 ....g........... 103b0: 0209000a 01030209 00030104 3e03f27d ............>..} 103c0: 09000a01 0401038e 02090003 01043e03 ..............>. 103d0: f27d0900 02010401 038e0209 00050103 .}.............. 103e0: 01090002 01037909 000d0103 16090002 ......y......... 103f0: 01037209 00020103 01090004 01030109 ..r............. 10400: 00030103 01090003 01030809 00050103 ................ 10410: 6d090004 0103b27f 09000d01 03040900 m............... 10420: 0601037e 09000201 03040900 0401037d ...~...........} 10430: 09000201 03010900 02010305 09000401 ................ 10440: 03010900 02010375 09000201 03e80009 .......u........ 10450: 00030103 24090005 01030009 00030103 ....$........... 10460: 01090003 01036b09 00030103 02090002 ......k......... 10470: 01030109 00060103 7f090002 01030109 ................ 10480: 00030103 02090008 01037e09 00030103 ..........~..... 10490: 02090002 01030309 00090103 04090002 ................ 104a0: 01037d09 00040103 07090002 01037d09 ..}...........}. 104b0: 00030103 03090002 01030609 00030103 ................ 104c0: 02090003 01030409 000e0103 04090008 ................ 104d0: 01036c09 00040103 19090007 01030309 ..l............. 104e0: 00030103 01090006 01030309 00040103 ................ 104f0: 08090004 01037c09 00020103 01090008 ......|......... 10500: 01030109 00080103 02090005 01030309 ................ 10510: 00040103 03090003 01030309 00050103 ................ 10520: 02090003 01030309 00050103 02090003 ................ 10530: 01030309 00050103 01090003 01030109 ................ 10540: 00050103 02090002 01030509 00060103 ................ 10550: 08090003 01037c09 00060103 03090002 ......|......... 10560: 0103f400 09000901 03000900 03010307 ................ 10570: 09000301 03070900 0f010354 09000c01 ...........T.... 10580: 03010900 0a010331 09000901 03650900 .......1.....e.. 10590: 11010301 09000a01 03290900 09010302 .........)...... 105a0: 09000e01 030f0900 030103fd 7e09000a ............~... 105b0: 01030109 00080103 84010900 07010305 ................ 105c0: 09000701 03917f09 000a0103 0109000a ................ 105d0: 0103f000 09000901 03040900 02010307 ................ 105e0: 09000301 03030900 05010302 09000301 ................ 105f0: 037f0900 02010301 09000501 03010900 ................ 10600: 03010305 09000301 03000900 03010303 ................ 10610: 09001b01 03070900 0201037f 09000201 ................ 10620: 037f0900 0201037f 09000201 037f0900 ................ 10630: 0201037f 09000201 037d0900 02010302 .........}...... 10640: 09000201 03080900 0501037f 09000801 ................ 10650: 03020900 02010304 09000201 03030900 ................ 10660: 05010300 09000301 03020900 06010301 ................ 10670: 09001101 03020900 0c010304 09000901 ................ 10680: 03010900 11010302 09000b01 03030900 ................ 10690: 09010301 09000e01 03010900 0c010302 ................ 106a0: 09000901 03010900 0e010301 09000c01 ................ 106b0: 03010900 09010900 02000101 00001cc9 ................ 106c0: 00020000 0d640101 fb0e0a00 01010101 .....d.......... 106d0: 00000001 2f726f6f 742f576f 726b7370 ..../root/Worksp 106e0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 106f0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 10700: 5f312f69 6d616765 2f6d6167 7069652f _1/image/magpie/ 10710: 2e2e2f2e 2e2f2e2e 2f2e2e2f 2f627569 ../../../..//bui 10720: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 10730: 6d2f6869 662f7573 622f7372 63002f72 m/hif/usb/src./r 10740: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 10750: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 10760: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 10770: 2f686966 2f757362 2f737263 002f726f /hif/usb/src./ro 10780: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 10790: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 107a0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 107b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 107c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 107d0: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. 107e0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 107f0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 10800: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 10810: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 10820: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 10830: 2f746172 6765742f 696e632f 4f545553 /target/inc/OTUS 10840: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 10850: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 10860: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 10870: 726f6d2f 636d6e6f 732f696e 63002f6f rom/cmnos/inc./o 10880: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 10890: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 108a0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 108b0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 108c0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 108d0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 108e0: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 108f0: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 10900: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 10910: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 10920: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 10930: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 10940: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 10950: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 10960: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 10970: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 10980: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 10990: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 109a0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 109b0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 109c0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 109d0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 109e0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 109f0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 10a00: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 10a10: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 10a20: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 10a30: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 10a40: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 10a50: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 10a60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10a70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 10a80: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 10a90: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 10aa0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 10ab0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 10ac0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 10ad0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 10ae0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 10af0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 10b00: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 10b10: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 10b20: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 10b30: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 10b40: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 10b50: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 10b60: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 10b70: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 10b80: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 10b90: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 10ba0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 10bb0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10bc0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 10bd0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 10be0: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 10bf0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 10c00: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 10c10: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 10c20: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 10c30: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 10c40: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 10c50: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 10c60: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 10c70: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 10c80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 10c90: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 10ca0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 10cb0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 10cc0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10cd0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 10ce0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 10cf0: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 10d00: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 10d10: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 10d20: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 10d30: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 10d40: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 10d50: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 10d60: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 10d70: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 10d80: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 10d90: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 10da0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 10db0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 10dc0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 10dd0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 10de0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 10df0: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 10e00: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 10e10: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 10e20: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 10e30: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 10e40: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 10e50: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 10e60: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 10e70: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 10e80: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 10e90: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 10ea0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 10eb0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 10ec0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 10ed0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 10ee0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 10ef0: 742f6164 662f696e 636c7564 652f6e65 t/adf/include/ne 10f00: 74002f72 6f6f742f 576f726b 73706163 t./root/Workspac 10f10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 10f20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 10f30: 2f726f6d 2f616466 2f6e6275 662f696e /rom/adf/nbuf/in 10f40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 10f50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 10f60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 10f70: 2f726f6d 2f687463 2f696e63 002f726f /rom/htc/inc./ro 10f80: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 10f90: 325f7632 30322f77 6c616e2f 696e636c 2_v202/wlan/incl 10fa0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 10fb0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 10fc0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 10fd0: 5f312f72 6f6d2f62 75665f70 6f6f6c2f _1/rom/buf_pool/ 10fe0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 10ff0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 11000: 742f7461 72676574 2f776d69 2f696e63 t/target/wmi/inc 11010: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 11020: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 11030: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 11040: 726f6d2f 646d615f 656e6769 6e652f69 rom/dma_engine/i 11050: 6e630000 7573625f 6170692e 63000100 nc..usb_api.c... 11060: 00757362 5f646566 732e6800 02000075 .usb_defs.h....u 11070: 73625f74 61626c65 2e680002 00006474 sb_table.h....dt 11080: 5f646566 732e6800 03000072 65675f64 _defs.h....reg_d 11090: 6566732e 68000400 00757362 5f747970 efs.h....usb_typ 110a0: 652e6800 02000075 73625f70 72652e68 e.h....usb_pre.h 110b0: 00020000 7573625f 65787472 2e680002 ....usb_extr.h.. 110c0: 00007573 625f7374 642e6800 02000061 ..usb_std.h....a 110d0: 74686f73 5f617069 2e680005 00006f73 thos_api.h....os 110e0: 6170692e 68000300 004f5455 535f736f api.h....OTUS_so 110f0: 632e6800 06000063 6d6e6f73 5f617069 c.h....cmnos_api 11100: 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. 11110: 05000072 6f6d5f63 66672e68 00040000 ...rom_cfg.h.... 11120: 6d616770 69655f6d 656d2e68 00050000 magpie_mem.h.... 11130: 636f7265 2e680008 00006861 6c2e6800 core.h....hal.h. 11140: 09000063 6f72652d 6973612e 68000a00 ...core-isa.h... 11150: 00636f72 652d6d61 746d6170 2e68000a .core-matmap.h.. 11160: 00007469 652e6800 0a000078 7472756e ..tie.h....xtrun 11170: 74696d65 2e680009 00007370 65637265 time.h....specre 11180: 672e6800 0a000063 6f726562 6974732e g.h....corebits. 11190: 68000900 00707269 6e74665f 6170692e h....printf_api. 111a0: 68000b00 00756172 745f6170 692e6800 h....uart_api.h. 111b0: 0c000064 62675f61 70692e68 000d0000 ...dbg_api.h.... 111c0: 6d656d5f 6170692e 68000e00 006d6973 mem_api.h....mis 111d0: 635f6170 692e6800 0f000073 7472696e c_api.h....strin 111e0: 675f6170 692e6800 10000074 696d6572 g_api.h....timer 111f0: 5f617069 2e680011 0000726f 6d705f61 _api.h....romp_a 11200: 70692e68 00120000 616c6c6f 6372616d pi.h....allocram 11210: 5f617069 2e680013 00007461 736b6c65 _api.h....taskle 11220: 745f6170 692e6800 14000063 6c6f636b t_api.h....clock 11230: 5f617069 2e680015 0000696e 74725f61 _api.h....intr_a 11240: 70692e68 00160000 7764745f 6170692e pi.h....wdt_api. 11250: 68001700 00656570 726f6d5f 6170692e h....eeprom_api. 11260: 68001800 00757362 5f617069 2e680019 h....usb_api.h.. 11270: 00006869 665f7063 692e6800 19000068 ..hif_pci.h....h 11280: 69665f61 70692e68 00190000 6164665f if_api.h....adf_ 11290: 6e627566 2e68001a 00006164 665f6f73 nbuf.h....adf_os 112a0: 5f757469 6c2e6800 1b000061 64665f6f _util.h....adf_o 112b0: 735f7574 696c5f70 76742e68 001c0000 s_util_pvt.h.... 112c0: 6164665f 6f735f74 79706573 2e68001b adf_os_types.h.. 112d0: 00006164 665f6f73 5f737464 74797065 ..adf_os_stdtype 112e0: 732e6800 1b000061 64665f6f 735f7479 s.h....adf_os_ty 112f0: 7065735f 7076742e 68001c00 00737464 pes_pvt.h....std 11300: 6465662e 68001d00 00766275 665f6170 def.h....vbuf_ap 11310: 692e6800 1e000076 64657363 5f617069 i.h....vdesc_api 11320: 2e68001f 00007374 64617267 2e680003 .h....stdarg.h.. 11330: 00007661 2d787465 6e73612e 68001d00 ..va-xtensa.h... 11340: 00616466 5f6f735f 646d612e 68001b00 .adf_os_dma.h... 11350: 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. 11360: 68001c00 00616466 5f6e6574 5f747970 h....adf_net_typ 11370: 65732e68 00200000 6164665f 6e627566 es.h. ..adf_nbuf 11380: 5f707674 2e680021 0000646d 615f6c69 _pvt.h.!..dma_li 11390: 622e6800 19000068 69665f67 6d61632e b.h....hif_gmac. 113a0: 68001900 004d6167 7069655f 6170692e h....Magpie_api. 113b0: 68000500 00757362 6669666f 5f617069 h....usbfifo_api 113c0: 2e680019 00006874 635f6170 692e6800 .h....htc_api.h. 113d0: 22000068 74632e68 00230000 6275665f "..htc.h.#..buf_ 113e0: 706f6f6c 5f617069 2e680024 0000776d pool_api.h.$..wm 113f0: 695f7376 635f6170 692e6800 25000077 i_svc_api.h.%..w 11400: 6d692e68 00230000 61746864 6566732e mi.h.#..athdefs. 11410: 68002300 00646d61 5f656e67 696e655f h.#..dma_engine_ 11420: 6170692e 68002600 00000005 02008e38 api.h.&........8 11430: 8403be02 01030009 00030103 0c090003 ................ 11440: 01037d09 00050103 03090002 01037d09 ..}...........}. 11450: 00030103 7b09000c 01030a09 00060103 ....{........... 11460: 01090004 01030b09 00020103 00090003 ................ 11470: 01030a09 00030103 7b090008 01030709 ........{....... 11480: 00060103 0409000c 01030409 00040103 ................ 11490: 04090003 01030209 00110103 0109001e ................ 114a0: 01030109 000f0103 01090005 01030209 ................ 114b0: 00070103 0109001e 01030109 000f0103 ................ 114c0: 01090005 01030b09 00050103 00090003 ................ 114d0: 01030309 00060103 7d090003 01030309 ........}....... 114e0: 00020103 05090009 01037b09 00020103 ..........{..... 114f0: 05090003 01030109 00060103 04090006 ................ 11500: 01030209 00060103 01090004 01030b09 ................ 11510: 00020103 00090003 01030109 00030103 ................ 11520: 03090008 01037e09 00060103 08090002 ......~......... 11530: 01037e09 00020103 7f090002 01030109 ..~............. 11540: 00050103 02090002 01031b09 00030103 ................ 11550: 00090003 0103f100 09000501 03987f09 ................ 11560: 00060103 e8000900 0501037b 09000601 ...........{.... 11570: 039d7f09 00150103 e7000900 0301039f ................ 11580: 7f090002 01030709 00020103 0209000f ................ 11590: 0103de00 09000401 037d0900 02010301 .........}...... 115a0: 09000301 039b7f09 00030103 18090005 ................ 115b0: 01037f09 00020103 0109000c 01030109 ................ 115c0: 00020103 07090005 01030109 00080103 ................ 115d0: 07090006 01030b09 00060103 0809000c ................ 115e0: 01030209 00080103 0309000a 01030109 ................ 115f0: 000b0103 05090005 01030109 000b0103 ................ 11600: 04090006 01030909 00060103 05090008 ................ 11610: 01031a09 00050103 00090003 01030409 ................ 11620: 00030103 01090009 01030809 00020103 ................ 11630: 7d090002 01030209 00060103 01090004 }............... 11640: 01030d09 00030103 00090003 0103d400 ................ 11650: 09000301 03780900 18010301 09000201 .....x.......... 11660: 03090900 06010304 09000301 037c0900 .............|.. 11670: 03010304 09000601 03010900 03010346 ...............F 11680: 09000401 03320900 05010308 09000201 .....2.......... 11690: 03b57f09 00020103 01090005 01032d09 ..............-. 116a0: 00060103 7b090010 01030609 00050103 ....{........... 116b0: 05090009 01030109 00020103 56090006 ............V... 116c0: 01030109 00040103 0e090006 01030509 ................ 116d0: 00060103 05090006 01033309 00070103 ..........3..... 116e0: 00090003 01030609 00080103 01090006 ................ 116f0: 01030409 00090103 02090008 01030209 ................ 11700: 00020103 0f090004 01030009 00030103 ................ 11710: 05090006 01030509 00030103 7d090007 ............}... 11720: 01030309 00050103 04090012 01030209 ................ 11730: 00090103 01090003 01032609 00020103 ..........&..... 11740: 67090002 01030309 00030103 01090003 g............... 11750: 01030409 00030103 06090011 01030309 ................ 11760: 00120103 02090003 01030109 000f0103 ................ 11770: 0409000f 01030109 00040103 5c090002 ............\... 11780: 01030109 00030103 04090003 01032b09 ..............+. 11790: 00150103 00090003 01030309 00030103 ................ 117a0: 17090009 01036a09 00030103 7f090002 ......j......... 117b0: 01031709 00030103 73090003 01031a09 ........s....... 117c0: 00090103 77090002 01030109 00080103 ....w........... 117d0: 04090009 01030209 00080103 01090003 ................ 117e0: 01030109 00020103 0e090005 01030009 ................ 117f0: 00030103 05090003 01032a09 00090103 ..........*..... 11800: 7e090002 01035809 00030103 09090005 ~.....X......... 11810: 01030209 00080103 7e090005 01030209 ........~....... 11820: 00030103 01090003 01030109 00060103 ................ 11830: 02090009 01030309 00030103 18090012 ................ 11840: 01036d09 00020103 03090003 01030309 ..m............. 11850: 00110103 01090003 01030209 00040103 ................ 11860: 0109000f 01030909 000f0103 0b090005 ................ 11870: 01030009 00030103 05090006 01037b09 ..............{. 11880: 00050103 0a090002 01037b09 00020103 ..........{..... 11890: 05090008 01037b09 00030103 0b090003 ......{......... 118a0: 01037a09 00030103 06090003 01037a09 ..z...........z. 118b0: 00020103 7b090006 01031309 00060103 ....{........... 118c0: 7f090003 01030109 00070103 05090004 ................ 118d0: 01037309 000b0103 13090002 01036d09 ..s...........m. 118e0: 00030103 13090002 01030709 00030103 ................ 118f0: 01090002 01037f09 00030103 01090003 ................ 11900: 01030409 00040103 01090008 01030209 ................ 11910: 000e0103 02090003 01030109 00060103 ................ 11920: 0209000e 01030209 00030103 01090006 ................ 11930: 01030409 000b0103 0709000a 01037f09 ................ 11940: 00020103 03090004 01030a09 00040103 ................ 11950: 00090003 01030309 00030103 7d090002 ............}... 11960: 01030309 00020103 05090003 01030509 ................ 11970: 00020103 76090002 01030509 000b0103 ....v........... 11980: 0709000c 01030409 00100103 0c090006 ................ 11990: 01037909 00020103 01090003 01030109 ..y............. 119a0: 00030103 01090003 01037f09 00060103 ................ 119b0: 7f090003 01030409 00030103 02090002 ................ 119c0: 01037c09 00030103 02090002 01037209 ..|...........r. 119d0: 00050103 1209000a 01030709 00070103 ................ 119e0: 7f090002 01030109 00040103 02090002 ................ 119f0: 01030a09 00020103 00090003 01030709 ................ 11a00: 00090103 0209000e 01030209 000c0103 ................ 11a10: 04090003 01037f09 00030103 7f090003 ................ 11a20: 01030109 00060103 7e090003 01030309 ........~....... 11a30: 00060103 10090003 01037809 00050103 ..........x..... 11a40: 7f090003 01037f09 00090103 7f090003 ................ 11a50: 01031609 00070103 00090003 01038901 ................ 11a60: 09000301 03010900 240103fa 7e090002 ........$...~... 11a70: 01038301 09000c01 03010900 060103fc ................ 11a80: 7e090002 01030309 00020103 7d090008 ~...........}... 11a90: 01030609 00020103 7a090008 01030909 ........z....... 11aa0: 00020103 77090008 01030c09 00020103 ....w........... 11ab0: 74090008 01031509 00020103 6b090008 t...........k... 11ac0: 0103fc00 09000201 03847f09 00080103 ................ 11ad0: 1d090002 01030509 00060103 01090011 ................ 11ae0: 01030309 00060103 04090006 01030709 ................ 11af0: 00050103 07090011 01030109 00110103 ................ 11b00: 0309000f 01030409 00120103 40090012 ............@... 11b10: 01038b01 09000501 03000900 03010309 ................ 11b20: 09000c01 033a0900 03010346 09000501 .....:.....F.... 11b30: 033a0900 03010354 09000801 03010900 .:.....T........ 11b40: 0601037f 09000301 03010900 03010302 ................ 11b50: 09000501 03020900 11010301 09000b01 ................ 11b60: 03020900 07010302 09000f01 03010900 ................ 11b70: 08010301 09000601 03010900 06010320 ............... 11b80: 09000601 034c0900 0501037f 09000301 .....L.......... 11b90: 037f0900 03010301 09000601 03010900 ................ 11ba0: 05010302 09000301 03320900 04010366 .........2.....f 11bb0: 09000201 03010900 02010301 09000601 ................ 11bc0: 03010900 06010301 09000601 03020900 ................ 11bd0: 06010302 09000c01 03010900 0f010308 ................ 11be0: 09001701 03090900 0601030f 09000401 ................ 11bf0: 03000900 03010308 09000301 03060900 ................ 11c00: 0e01037c 09000301 03040900 05010302 ...|............ 11c10: 09000601 03020900 0f010305 09000601 ................ 11c20: 037b0900 05010302 09000301 03030900 .{.............. 11c30: 03010302 09000601 03010900 0601037f ................ 11c40: 09000201 03010900 06010304 09000301 ................ 11c50: 03030900 0301037d 09000401 03050900 .......}........ 11c60: 09010301 09000c01 03150900 08010303 ................ 11c70: 09000201 03020900 0a010301 09000c01 ................ 11c80: 03040900 05010303 09000301 037d0900 .............}.. 11c90: 06010305 09000901 03010900 0c010314 ................ 11ca0: 09000501 03030900 06010306 09000b01 ................ 11cb0: 03020900 0b010303 09000501 037f0900 ................ 11cc0: 03010302 09000301 037e0900 06010301 .........~...... 11cd0: 09000301 037f0900 06010304 09000301 ................ 11ce0: 03010900 0b010303 09001801 03020900 ................ 11cf0: 0b010301 09001801 03030900 18010303 ................ 11d00: 09000301 03110900 0d010374 09000201 ...........t.... 11d10: 03030900 03010309 09000601 03070900 ................ 11d20: 09010300 09000301 03020900 0201037e ...............~ 11d30: 09000601 03020900 03010304 09000f01 ................ 11d40: 03010900 06010301 09000601 03030900 ................ 11d50: 06010301 09000601 03070900 06010301 ................ 11d60: 09000801 03030900 06010301 09000801 ................ 11d70: 03030900 08010303 09000601 03030900 ................ 11d80: 06010302 09000801 03010900 06010301 ................ 11d90: 09000601 03040900 06010304 09000501 ................ 11da0: 03000900 03010301 09000301 03000900 ................ 11db0: 03010301 09000f01 03030900 04010300 ................ 11dc0: 09000301 03010900 03010300 09000201 ................ 11dd0: 03010900 02010301 09000401 03010900 ................ 11de0: 04010301 09000401 03030900 02010300 ................ 11df0: 09000301 030a0900 03010302 09000801 ................ 11e00: 03010900 03010305 09000701 03040900 ................ 11e10: 06010301 09000801 03020900 08010304 ................ 11e20: 09000701 03010900 06010301 09000501 ................ 11e30: 037c0900 02010312 09000201 036e0900 .|...........n.. 11e40: 0201030e 09000201 037e0900 0301037f .........~...... 11e50: 09000301 03020900 02010302 09000301 ................ 11e60: 03010900 02010302 09000301 03110900 ................ 11e70: 03010378 09000501 03080900 06010301 ...x............ 11e80: 09000201 03000900 0301030b 09000301 ................ 11e90: 03010900 05010304 09000301 03010900 ................ 11ea0: 0201037f 09000301 030d0900 08010373 ...............s 11eb0: 09000301 03100900 06010301 09000b01 ................ 11ec0: 037c0900 02010309 09000201 030d0900 .|.............. 11ed0: 05010378 09000901 03060900 0b010304 ...x............ 11ee0: 09000801 03040900 0b010302 09000b01 ................ 11ef0: 03040900 1101030f 09000501 03490900 .............I.. 11f00: 05010337 09001201 03600900 02010321 ...7.....`.....! 11f10: 09000b01 03000900 03010301 09000301 ................ 11f20: 03020900 0901030a 09000501 03000900 ................ 11f30: 03010301 09000301 030b0900 0c010302 ................ 11f40: 09000e01 037e0900 06010302 09000301 .....~.......... 11f50: 03020900 06010303 09000f01 03030900 ................ 11f60: 12010303 09000f01 03040900 11010304 ................ 11f70: 09000401 03000900 03010303 09000301 ................ 11f80: 03000900 09010303 09000f01 03030900 ................ 11f90: 0b010302 09000601 03010900 11010303 ................ 11fa0: 09000401 03040900 03010301 09000301 ................ 11fb0: 03030900 02010300 09000301 03050900 ................ 11fc0: 06010302 09000601 03020900 03010301 ................ 11fd0: 09000601 03030900 0e010302 09000301 ................ 11fe0: 03030900 06010302 09000301 03030900 ................ 11ff0: 0f010303 09000301 03020900 06010303 ................ 12000: 09000301 03020900 06010303 09000301 ................ 12010: 03030900 06010302 09000501 03030900 ................ 12020: 0b010303 09000301 03020900 08010303 ................ 12030: 09000201 03030900 03010304 09000601 ................ 12040: 037e0900 03010302 09000301 03020900 .~.............. 12050: 12010302 09000301 03020900 12010302 ................ 12060: 09000301 03040900 12010304 09000401 ................ 12070: 03000900 03010305 09000601 03080900 ................ 12080: 06010302 09000301 03010900 06010301 ................ 12090: 09000301 03030900 06010303 09000301 ................ 120a0: 03010900 06010301 09000301 03030900 ................ 120b0: 0b010303 09000301 03030900 06010303 ................ 120c0: 09000301 03010900 0f010303 09000901 ................ 120d0: 03030900 03010302 09000601 03030900 ................ 120e0: 03010302 09000601 03030900 03010304 ................ 120f0: 09000601 03020900 03010303 09000a01 ................ 12100: 03020900 03010303 09000801 03030900 ................ 12110: 05010303 09000b01 03030900 03010302 ................ 12120: 09000801 03030900 02010303 09000601 ................ 12130: 030f0900 06010302 09000301 03020900 ................ 12140: 1201037e 09000601 03020900 03010303 ...~............ 12150: 09000301 03080900 03010301 09001201 ................ 12160: 03040900 0f010306 09000301 037a0900 .............z.. 12170: 03010306 09000301 03030900 03010305 ................ 12180: 09000601 03040900 06010302 09000301 ................ 12190: 03010900 12010304 09000901 038b0109 ................ 121a0: 00050103 03090003 01037d09 00050103 ..........}..... 121b0: 03090006 01030209 000f0103 7e090003 ............~... 121c0: 01030209 00030103 04090008 01030909 ................ 121d0: 00030103 0109000f 01030209 00190103 ................ 121e0: 02090003 01030409 00210103 0a090074 .........!.....t 121f0: 01030309 00140103 04090002 01030009 ................ 12200: 00030103 05090003 01030809 00080103 ................ 12210: 78090005 01030809 00080103 1109000f x............... 12220: 01036f09 00030103 05090003 01030109 ..o............. 12230: 00030103 04090003 01030709 00030103 ................ 12240: 1b090003 01030709 000c0103 06090009 ................ 12250: 01030109 00110103 7f090006 01030109 ................ 12260: 00030103 0c090006 01030609 00030103 ................ 12270: 01090011 01037f09 00030103 01090003 ................ 12280: 01030209 00030103 02090014 01030709 ................ 12290: 00060103 03090009 01030209 00120103 ................ 122a0: 7c090002 01030409 00120103 06090005 |............... 122b0: 01030009 00030103 03090006 01037f09 ................ 122c0: 00020103 02090002 01030409 00030103 ................ 122d0: 00090003 01030b09 001b0103 7f090002 ................ 122e0: 01037e09 00020103 7f090003 01037f09 ..~............. 122f0: 00030103 7e090002 01037f09 00020103 ....~........... 12300: 7e090002 01030109 00020103 16090005 ~............... 12310: 01037e09 001b0103 7f090003 01037f09 ..~............. 12320: 00020103 7f090002 01037e09 00020103 ..........~..... 12330: 7f090002 01037d09 00020103 01090002 ......}......... 12340: 01031609 00050103 7f09001a 01037e09 ..............~. 12350: 00020103 7f090003 01037e09 00030103 ..........~..... 12360: 7f090003 01037e09 00030103 7e090003 ......~.....~... 12370: 01030109 00030103 0c090006 01030109 ................ 12380: 00030109 00020001 01000001 b8000200 ................ 12390: 0001b201 01fb0e0a 00010101 01000000 ................ 123a0: 012f726f 6f742f57 6f726b73 70616365 ./root/Workspace 123b0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 123c0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 123d0: 696d6167 652f6d61 67706965 2f2e2e2f image/magpie/../ 123e0: 2e2e2f2e 2e2f2e2e 2f2f6275 696c642f ../../..//build/ 123f0: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 12400: 69662f75 73622f73 7263002f 726f6f74 if/usb/src./root 12410: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 12420: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 12430: 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi 12440: 662f7573 622f7372 63002f72 6f6f742f f/usb/src./root/ 12450: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 12460: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 12470: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12480: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12490: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 124a0: 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work 124b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 124c0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 124d0: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. 124e0: 00757362 5f746162 6c652e63 00010000 .usb_table.c.... 124f0: 7573625f 74797065 2e680002 00006474 usb_type.h....dt 12500: 5f646566 732e6800 03000075 73625f74 _defs.h....usb_t 12510: 61626c65 2e680002 00007379 735f6366 able.h....sys_cf 12520: 672e6800 04000072 6f6d5f63 66672e68 g.h....rom_cfg.h 12530: 00050000 6d616770 69655f6d 656d2e68 ....magpie_mem.h 12540: 00040000 00000010 25000200 000d6501 ........%.....e. 12550: 01fb0e0a 00010101 01000000 012f726f ............./ro 12560: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12570: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 12580: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 12590: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 125a0: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 125b0: 69655f31 5f312f72 6f6d2f68 69662f75 ie_1_1/rom/hif/u 125c0: 73622f73 7263002f 726f6f74 2f576f72 sb/src./root/Wor 125d0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 125e0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 125f0: 655f315f 312f726f 6d2f6869 662f7573 e_1_1/rom/hif/us 12600: 622f7372 63002f72 6f6f742f 576f726b b/src./root/Work 12610: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 12620: 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ 12630: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 12640: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 12650: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 12660: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W 12670: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 12680: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 12690: 7069655f 315f312f 696e6300 2f726f6f pie_1_1/inc./roo 126a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 126b0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 126c0: 2f696e63 2f4f5455 53002f72 6f6f742f /inc/OTUS./root/ 126d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 126e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 126f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 12700: 6f732f69 6e63002f 6f70742f 7874656e os/inc./opt/xten 12710: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 12720: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 12730: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 12740: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e 12750: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens 12760: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt 12770: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 12780: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 12790: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 127a0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 127b0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 127c0: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ 127d0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 127e0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 127f0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 12800: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a 12810: 7263682f 696e636c 7564652f 7874656e rch/include/xten 12820: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ 12830: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 12840: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 12850: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 12860: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r 12870: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 12880: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 12890: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 128a0: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. 128b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 128c0: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 128d0: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i 128e0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 128f0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12900: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 12910: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ 12920: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 12930: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 12940: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 12950: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 12960: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 12970: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 12980: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 12990: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s 129a0: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ 129b0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 129c0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 129d0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 129e0: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro 129f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12a00: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 12a10: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 12a20: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i 12a30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12a40: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12a50: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 12a60: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo 12a70: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W 12a80: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 12a90: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 12aa0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 12ab0: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r 12ac0: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 12ad0: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 12ae0: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 12af0: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc 12b00: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 12b10: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 12b20: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 12b30: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i 12b40: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12b50: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12b60: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 12b70: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ 12b80: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 12b90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 12ba0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 12bb0: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep 12bc0: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo 12bd0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 12be0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 12bf0: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i 12c00: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 12c10: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 12c20: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu 12c30: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac 12c40: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 12c50: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ 12c60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 12c70: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 12c80: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ 12c90: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 12ca0: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 12cb0: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 12cc0: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 12cd0: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl 12ce0: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 12cf0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 12d00: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 12d10: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 12d20: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 12d30: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 12d40: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 12d50: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 12d60: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12d70: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 12d80: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root 12d90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 12da0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 12db0: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad 12dc0: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root 12dd0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 12de0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 12df0: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 12e00: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 12e10: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 12e20: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro 12e30: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12e40: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 12e50: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 12e60: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro 12e70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 12e80: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 12e90: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ 12ea0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 12eb0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 12ec0: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 12ed0: 5f656e67 696e652f 696e6300 00757362 _engine/inc..usb 12ee0: 5f666966 6f2e6300 01000075 73625f64 _fifo.c....usb_d 12ef0: 6566732e 68000200 00757362 5f746162 efs.h....usb_tab 12f00: 6c652e68 00020000 64745f64 6566732e le.h....dt_defs. 12f10: 68000300 00726567 5f646566 732e6800 h....reg_defs.h. 12f20: 04000075 73625f74 7970652e 68000200 ...usb_type.h... 12f30: 00757362 5f707265 2e680002 00007573 .usb_pre.h....us 12f40: 625f6578 74722e68 00020000 7573625f b_extr.h....usb_ 12f50: 7374642e 68000200 00737973 5f636667 std.h....sys_cfg 12f60: 2e680005 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. 12f70: 0400006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. 12f80: 05000061 74686f73 5f617069 2e680005 ...athos_api.h.. 12f90: 00006f73 6170692e 68000300 004f5455 ..osapi.h....OTU 12fa0: 535f736f 632e6800 06000063 6d6e6f73 S_soc.h....cmnos 12fb0: 5f617069 2e680007 0000636f 72652e68 _api.h....core.h 12fc0: 00080000 68616c2e 68000900 00636f72 ....hal.h....cor 12fd0: 652d6973 612e6800 0a000063 6f72652d e-isa.h....core- 12fe0: 6d61746d 61702e68 000a0000 7469652e matmap.h....tie. 12ff0: 68000a00 00787472 756e7469 6d652e68 h....xtruntime.h 13000: 00090000 73706563 7265672e 68000a00 ....specreg.h... 13010: 00636f72 65626974 732e6800 09000070 .corebits.h....p 13020: 72696e74 665f6170 692e6800 0b000075 rintf_api.h....u 13030: 6172745f 6170692e 68000c00 00646267 art_api.h....dbg 13040: 5f617069 2e68000d 00006d65 6d5f6170 _api.h....mem_ap 13050: 692e6800 0e00006d 6973635f 6170692e i.h....misc_api. 13060: 68000f00 00737472 696e675f 6170692e h....string_api. 13070: 68001000 0074696d 65725f61 70692e68 h....timer_api.h 13080: 00110000 726f6d70 5f617069 2e680012 ....romp_api.h.. 13090: 0000616c 6c6f6372 616d5f61 70692e68 ..allocram_api.h 130a0: 00130000 7461736b 6c65745f 6170692e ....tasklet_api. 130b0: 68001400 00636c6f 636b5f61 70692e68 h....clock_api.h 130c0: 00150000 696e7472 5f617069 2e680016 ....intr_api.h.. 130d0: 00007764 745f6170 692e6800 17000065 ..wdt_api.h....e 130e0: 6570726f 6d5f6170 692e6800 18000075 eprom_api.h....u 130f0: 73625f61 70692e68 00190000 6869665f sb_api.h....hif_ 13100: 7063692e 68001900 00686966 5f617069 pci.h....hif_api 13110: 2e680019 00006164 665f6e62 75662e68 .h....adf_nbuf.h 13120: 001a0000 6164665f 6f735f75 74696c2e ....adf_os_util. 13130: 68001b00 00616466 5f6f735f 7574696c h....adf_os_util 13140: 5f707674 2e68001c 00006164 665f6f73 _pvt.h....adf_os 13150: 5f747970 65732e68 001b0000 6164665f _types.h....adf_ 13160: 6f735f73 74647479 7065732e 68001b00 os_stdtypes.h... 13170: 00616466 5f6f735f 74797065 735f7076 .adf_os_types_pv 13180: 742e6800 1c000073 74646465 662e6800 t.h....stddef.h. 13190: 1d000076 6275665f 6170692e 68001e00 ...vbuf_api.h... 131a0: 00766465 73635f61 70692e68 001f0000 .vdesc_api.h.... 131b0: 73746461 72672e68 00030000 76612d78 stdarg.h....va-x 131c0: 74656e73 612e6800 1d000061 64665f6f tensa.h....adf_o 131d0: 735f646d 612e6800 1b000061 64665f6f s_dma.h....adf_o 131e0: 735f646d 615f7076 742e6800 1c000061 s_dma_pvt.h....a 131f0: 64665f6e 65745f74 79706573 2e680020 df_net_types.h. 13200: 00006164 665f6e62 75665f70 76742e68 ..adf_nbuf_pvt.h 13210: 00210000 646d615f 6c69622e 68001900 .!..dma_lib.h... 13220: 00686966 5f676d61 632e6800 1900004d .hif_gmac.h....M 13230: 61677069 655f6170 692e6800 05000075 agpie_api.h....u 13240: 73626669 666f5f61 70692e68 00190000 sbfifo_api.h.... 13250: 6874635f 6170692e 68002200 00687463 htc_api.h."..htc 13260: 2e680023 00006275 665f706f 6f6c5f61 .h.#..buf_pool_a 13270: 70692e68 00240000 776d695f 7376635f pi.h.$..wmi_svc_ 13280: 6170692e 68002500 00776d69 2e680023 api.h.%..wmi.h.# 13290: 00006174 68646566 732e6800 23000064 ..athdefs.h.#..d 132a0: 6d615f65 6e67696e 655f6170 692e6800 ma_engine_api.h. 132b0: 26000000 00050200 8e48f803 13010306 &........H...... 132c0: 09000301 03000900 11010307 09000601 ................ 132d0: 03030900 02010309 09000301 03000900 ................ 132e0: 13010306 09000601 03030900 04010306 ................ 132f0: 09000301 03000900 13010306 09000601 ................ 13300: 03030900 04010306 09000301 03000900 ................ 13310: 19010304 09000901 03030900 03010306 ................ 13320: 09000301 03000900 19010303 09000601 ................ 13330: 03030900 0201030c 09000301 03000900 ................ 13340: 14010301 09001201 03040900 1f010320 ............... 13350: 09000401 03000900 0301039f 01090006 ................ 13360: 01037b09 00030103 7b09000e 0103807f ..{.....{....... 13370: 09000601 03010900 07010302 09000701 ................ 13380: 03020900 07010306 09000801 03030900 ................ 13390: 07010301 09000a01 03010900 0a010305 ................ 133a0: 09000a01 03010900 08010302 09000701 ................ 133b0: 03020900 07010306 09000801 03030900 ................ 133c0: 07010301 09000a01 03010900 0a010305 ................ 133d0: 09000a01 03010900 08010301 09000701 ................ 133e0: 03090900 08010301 09000901 03010900 ................ 133f0: 09010305 09000901 03010900 08010301 ................ 13400: 09000701 03090900 08010301 09000901 ................ 13410: 03010900 09010307 09000901 03010900 ................ 13420: 07010302 09000701 03020900 07010306 ................ 13430: 09000801 03030900 07010301 09000a01 ................ 13440: 03010900 0a010308 09000a01 03010900 ................ 13450: 08010302 09000701 03020900 07010306 ................ 13460: 09000801 03030900 07010301 09000a01 ................ 13470: 03010900 0a010315 09000a01 03030900 ................ 13480: 02010300 09000301 03fb0009 00060103 ................ 13490: 7b090003 01037b09 000e0103 a47f0900 {.....{......... 134a0: 06010301 09000701 03010900 07010306 ................ 134b0: 09000801 03030900 07010301 09000901 ................ 134c0: 03020900 09010305 09000901 03010900 ................ 134d0: 08010301 09000701 03060900 08010303 ................ 134e0: 09000701 03010900 09010301 09000901 ................ 134f0: 03050900 09010301 09000801 03010900 ................ 13500: 07010309 09000801 03010900 09010301 ................ 13510: 09000901 03050900 09010301 09000801 ................ 13520: 03010900 07010309 09000801 03010900 ................ 13530: 09010301 09000901 03050900 09010301 ................ 13540: 09000701 03010900 07010306 09000801 ................ 13550: 03030900 07010301 09000901 03010900 ................ 13560: 09010312 09000901 09000200 01010000 ................ 13570: 0f330002 00000cc4 0101fb0e 0a000101 .3.............. 13580: 01010000 00012f72 6f6f742f 576f726b ....../root/Work 13590: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 135a0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 135b0: 5f315f31 2f696d61 67652f6d 61677069 _1_1/image/magpi 135c0: 652f2e2e 2f2e2e2f 2e2e2f2e 2e2f2f62 e/../../../..//b 135d0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 135e0: 726f6d2f 6869662f 646d615f 6c69622f rom/hif/dma_lib/ 135f0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 13600: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 13610: 61726765 742f696e 63002f72 6f6f742f arget/inc./root/ 13620: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13630: 3230322f 7467742f 74617267 65742f69 202/tgt/target/i 13640: 6e632f4f 54555300 2f726f6f 742f576f nc/OTUS./root/Wo 13650: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13660: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 13670: 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e 13680: 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ 13690: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 136a0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 136b0: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu 136c0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 136d0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 136e0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 136f0: 5f315f31 2f726f6d 2f766465 73632f69 _1_1/rom/vdesc/i 13700: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13710: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13720: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 13730: 312f696e 63002f72 6f6f742f 576f726b 1/inc./root/Work 13740: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 13750: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 13760: 5f315f31 2f726f6d 2f636d6e 6f732f69 _1_1/rom/cmnos/i 13770: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13780: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13790: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 137a0: 312f696e 632f6d61 67706965 002f6f70 1/inc/magpie./op 137b0: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo 137c0: 6f6c732f 696e7374 616c6c2f 746f6f6c ols/install/tool 137d0: 732f5242 2d323030 372e322d 6c696e75 s/RB-2007.2-linu 137e0: 782f5874 656e7361 546f6f6c 732f7874 x/XtensaTools/xt 137f0: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include 13800: 2f787465 6e73612f 636f6e66 6967002f /xtensa/config./ 13810: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 13820: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 13830: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 13840: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 13850: 7874656e 73612d65 6c662f69 6e636c75 xtensa-elf/inclu 13860: 64652f78 74656e73 61002f6f 70742f78 de/xtensa./opt/x 13870: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 13880: 2f696e73 74616c6c 2f627569 6c64732f /install/builds/ 13890: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 138a0: 4d616770 69655f50 302f7874 656e7361 Magpie_P0/xtensa 138b0: 2d656c66 2f617263 682f696e 636c7564 -elf/arch/includ 138c0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 138d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 138e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 138f0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 13900: 6f6d2f63 6d6e6f73 2f707269 6e74662f om/cmnos/printf/ 13910: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 13920: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 13930: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 13940: 5f312f72 6f6d2f63 6d6e6f73 2f756172 _1/rom/cmnos/uar 13950: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 13960: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 13970: 7467742f 74617267 65742f63 6d6e6f73 tgt/target/cmnos 13980: 2f646267 2f696e63 002f726f 6f742f57 /dbg/inc./root/W 13990: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 139a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 139b0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 139c0: 732f6d65 6d2f696e 63002f72 6f6f742f s/mem/inc./root/ 139d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 139e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 139f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 13a00: 6f732f6d 6973632f 696e6300 2f726f6f os/misc/inc./roo 13a10: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 13a20: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 13a30: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 13a40: 6d6e6f73 2f737472 696e672f 696e6300 mnos/string/inc. 13a50: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 13a60: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 13a70: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 13a80: 6f6d2f63 6d6e6f73 2f74696d 65722f69 om/cmnos/timer/i 13a90: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13aa0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 13ab0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 13ac0: 312f726f 6d2f636d 6e6f732f 726f6d70 1/rom/cmnos/romp 13ad0: 61746368 2f696e63 002f726f 6f742f57 atch/inc./root/W 13ae0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 13af0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 13b00: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 13b10: 732f616c 6c6f6372 616d2f69 6e63002f s/allocram/inc./ 13b20: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 13b30: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 13b40: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 13b50: 6d2f636d 6e6f732f 7461736b 6c65742f m/cmnos/tasklet/ 13b60: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 13b70: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 13b80: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 13b90: 5f312f72 6f6d2f63 6d6e6f73 2f636c6f _1/rom/cmnos/clo 13ba0: 636b2f69 6e63002f 726f6f74 2f576f72 ck/inc./root/Wor 13bb0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 13bc0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 13bd0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 13be0: 696e7472 2f696e63 002f726f 6f742f57 intr/inc./root/W 13bf0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 13c00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 13c10: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 13c20: 732f7764 742f696e 63002f72 6f6f742f s/wdt/inc./root/ 13c30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13c40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 13c50: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 13c60: 6f732f65 6570726f 6d2f696e 63002f72 os/eeprom/inc./r 13c70: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 13c80: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 13c90: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 13ca0: 2f686966 2f696e63 002f726f 6f742f57 /hif/inc./root/W 13cb0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 13cc0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 13cd0: 64652f6e 62756600 2f726f6f 742f576f de/nbuf./root/Wo 13ce0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13cf0: 322f7467 742f6164 662f696e 636c7564 2/tgt/adf/includ 13d00: 652f6f73 002f726f 6f742f57 6f726b73 e/os./root/Works 13d10: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 13d20: 67742f74 61726765 742f6164 662f6f73 gt/target/adf/os 13d30: 2f696e63 002f6f70 742f7874 656e7361 /inc./opt/xtensa 13d40: 2f587444 6576546f 6f6c732f 696e7374 /XtDevTools/inst 13d50: 616c6c2f 746f6f6c 732f5242 2d323030 all/tools/RB-200 13d60: 372e322d 6c696e75 782f5874 656e7361 7.2-linux/Xtensa 13d70: 546f6f6c 732f6c69 622f7863 632d6c69 Tools/lib/xcc-li 13d80: 622f696e 636c7564 65002f72 6f6f742f b/include./root/ 13d90: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13da0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 13db0: 7564652f 6e657400 2f726f6f 742f576f ude/net./root/Wo 13dc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13dd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 13de0: 69655f31 5f312f72 6f6d2f61 64662f6e ie_1_1/rom/adf/n 13df0: 6275662f 696e6300 2f726f6f 742f576f buf/inc./root/Wo 13e00: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 13e10: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 13e20: 69655f31 5f312f72 6f6d2f68 74632f69 ie_1_1/rom/htc/i 13e30: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 13e40: 63652f30 3431325f 76323032 2f776c61 ce/0412_v202/wla 13e50: 6e2f696e 636c7564 65002f72 6f6f742f n/include./root/ 13e60: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13e70: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 13e80: 67706965 5f315f31 2f726f6d 2f627566 gpie_1_1/rom/buf 13e90: 5f706f6f 6c2f696e 63002f72 6f6f742f _pool/inc./root/ 13ea0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 13eb0: 3230322f 7467742f 74617267 65742f77 202/tgt/target/w 13ec0: 6d692f69 6e630000 646d615f 6c69622e mi/inc..dma_lib. 13ed0: 63000100 0064745f 64656673 2e680002 c....dt_defs.h.. 13ee0: 00006f73 6170692e 68000200 004f5455 ..osapi.h....OTU 13ef0: 535f736f 632e6800 03000064 6d615f65 S_soc.h....dma_e 13f00: 6e67696e 655f6170 692e6800 04000076 ngine_api.h....v 13f10: 6275665f 6170692e 68000500 00766465 buf_api.h....vde 13f20: 73635f61 70692e68 00060000 4d616770 sc_api.h....Magp 13f30: 69655f61 70692e68 00070000 636d6e6f ie_api.h....cmno 13f40: 735f6170 692e6800 08000073 79735f63 s_api.h....sys_c 13f50: 66672e68 00070000 726f6d5f 6366672e fg.h....rom_cfg. 13f60: 68000900 006d6167 7069655f 6d656d2e h....magpie_mem. 13f70: 68000700 00636f72 652e6800 0a000068 h....core.h....h 13f80: 616c2e68 000b0000 636f7265 2d697361 al.h....core-isa 13f90: 2e68000c 0000636f 72652d6d 61746d61 .h....core-matma 13fa0: 702e6800 0c000074 69652e68 000c0000 p.h....tie.h.... 13fb0: 78747275 6e74696d 652e6800 0b000073 xtruntime.h....s 13fc0: 70656372 65672e68 000c0000 636f7265 pecreg.h....core 13fd0: 62697473 2e68000b 00007072 696e7466 bits.h....printf 13fe0: 5f617069 2e68000d 00007561 72745f61 _api.h....uart_a 13ff0: 70692e68 000e0000 7265675f 64656673 pi.h....reg_defs 14000: 2e680009 00006462 675f6170 692e6800 .h....dbg_api.h. 14010: 0f00006d 656d5f61 70692e68 00100000 ...mem_api.h.... 14020: 6d697363 5f617069 2e680011 00007374 misc_api.h....st 14030: 72696e67 5f617069 2e680012 00007469 ring_api.h....ti 14040: 6d65725f 6170692e 68001300 00726f6d mer_api.h....rom 14050: 705f6170 692e6800 14000061 6c6c6f63 p_api.h....alloc 14060: 72616d5f 6170692e 68001500 00746173 ram_api.h....tas 14070: 6b6c6574 5f617069 2e680016 0000636c klet_api.h....cl 14080: 6f636b5f 6170692e 68001700 00696e74 ock_api.h....int 14090: 725f6170 692e6800 18000077 64745f61 r_api.h....wdt_a 140a0: 70692e68 00190000 65657072 6f6d5f61 pi.h....eeprom_a 140b0: 70692e68 001a0000 7573625f 6170692e pi.h....usb_api. 140c0: 68001b00 00686966 5f706369 2e68001b h....hif_pci.h.. 140d0: 00006869 665f6170 692e6800 1b000061 ..hif_api.h....a 140e0: 64665f6e 6275662e 68001c00 00616466 df_nbuf.h....adf 140f0: 5f6f735f 7574696c 2e68001d 00006164 _os_util.h....ad 14100: 665f6f73 5f757469 6c5f7076 742e6800 f_os_util_pvt.h. 14110: 1e000061 64665f6f 735f7479 7065732e ...adf_os_types. 14120: 68001d00 00616466 5f6f735f 73746474 h....adf_os_stdt 14130: 79706573 2e68001d 00006164 665f6f73 ypes.h....adf_os 14140: 5f747970 65735f70 76742e68 001e0000 _types_pvt.h.... 14150: 73746464 65662e68 001f0000 73746461 stddef.h....stda 14160: 72672e68 00020000 76612d78 74656e73 rg.h....va-xtens 14170: 612e6800 1f000061 64665f6f 735f646d a.h....adf_os_dm 14180: 612e6800 1d000061 64665f6f 735f646d a.h....adf_os_dm 14190: 615f7076 742e6800 1e000061 64665f6e a_pvt.h....adf_n 141a0: 65745f74 79706573 2e680020 00006164 et_types.h. ..ad 141b0: 665f6e62 75665f70 76742e68 00210000 f_nbuf_pvt.h.!.. 141c0: 646d615f 6c69622e 68001b00 00686966 dma_lib.h....hif 141d0: 5f676d61 632e6800 1b000075 73626669 _gmac.h....usbfi 141e0: 666f5f61 70692e68 001b0000 6874635f fo_api.h....htc_ 141f0: 6170692e 68002200 00687463 2e680023 api.h."..htc.h.# 14200: 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h 14210: 00240000 776d695f 7376635f 6170692e .$..wmi_svc_api. 14220: 68002500 00776d69 2e680023 00006174 h.%..wmi.h.#..at 14230: 68646566 732e6800 23000000 00050200 hdefs.h.#....... 14240: 8e4cac03 a5010103 01090003 01030a09 .L.............. 14250: 00090103 01090003 01030109 00050103 ................ 14260: 09090004 01030009 00030103 0d090008 ................ 14270: 01030109 00060103 7b090005 01030509 ........{....... 14280: 00080103 0b090002 01030409 00030103 ................ 14290: 01090006 01030e09 00020103 74090008 ............t... 142a0: 01030209 000e0103 02090007 01030409 ................ 142b0: 00040103 7c090002 01030409 00020103 ....|........... 142c0: 01090005 01030109 00070103 02090008 ................ 142d0: 01030609 00040103 00090003 01030809 ................ 142e0: 000a0103 0509000e 01037e09 00040103 ..........~..... 142f0: 08090004 01037809 00050103 08090002 ......x......... 14300: 01037a09 00020103 06090006 01030109 ..z............. 14310: 00030103 01090007 01030109 00080103 ................ 14320: 01090007 01030b09 00050103 01090003 ................ 14330: 01030109 00030103 0a090002 01037c09 ..............|. 14340: 00080103 0209000e 01030209 00070103 ................ 14350: 0b090007 01030009 00030103 03090008 ................ 14360: 01030209 000a0103 7e090005 01030209 ........~....... 14370: 00020103 04090003 01030109 00070103 ................ 14380: 0a090002 01030009 00030103 01090006 ................ 14390: 01030a09 00130103 00090003 01030d09 ................ 143a0: 00030103 77090002 01030209 000a0103 ....w........... 143b0: 01090002 01037d09 00020103 09090002 ......}......... 143c0: 01030109 00050103 05090007 01030109 ................ 143d0: 00050103 7f09000b 01030409 00030103 ................ 143e0: 0b090005 01030109 00030103 01090006 ................ 143f0: 01030209 00020103 0b09001d 01030009 ................ 14400: 00030103 01090006 01030b09 00130103 ................ 14410: 00090003 01030309 00080103 0209000a ................ 14420: 01037e09 00050103 02090002 01030209 ..~............. 14430: 00030103 01090007 01030a09 00020103 ................ 14440: 01090003 01030109 00030103 02090002 ................ 14450: 01030509 001c0103 00090003 01030909 ................ 14460: 001b0103 7f090002 01037f09 00020103 ................ 14470: 7f090002 01037f09 00020103 7f090002 ................ 14480: 01037f09 00020103 7e090002 01030109 ........~....... 14490: 00020103 08090005 01030109 00020109 ................ 144a0: 00020001 0100000e 09000200 000d0301 ................ 144b0: 01fb0e0a 00010101 01000000 012f726f ............./ro 144c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 144d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 144e0: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 144f0: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 14500: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 14510: 69655f31 5f312f72 6f6d2f76 6275662f ie_1_1/rom/vbuf/ 14520: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp 14530: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 14540: 742f7461 72676574 2f696e63 002f726f t/target/inc./ro 14550: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 14560: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 14570: 742f696e 632f4f54 5553002f 726f6f74 t/inc/OTUS./root 14580: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14590: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 145a0: 61677069 655f315f 312f726f 6d2f7662 agpie_1_1/rom/vb 145b0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 145c0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 145d0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 145e0: 655f315f 312f726f 6d2f7664 6573632f e_1_1/rom/vdesc/ 145f0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14600: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 14610: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 14620: 5f312f69 6e63002f 726f6f74 2f576f72 _1/inc./root/Wor 14630: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 14640: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 14650: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 14660: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14670: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 14680: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 14690: 5f312f69 6e632f6d 61677069 65002f6f _1/inc/magpie./o 146a0: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 146b0: 6f6f6c73 2f696e73 74616c6c 2f746f6f ools/install/too 146c0: 6c732f52 422d3230 30372e32 2d6c696e ls/RB-2007.2-lin 146d0: 75782f58 74656e73 61546f6f 6c732f78 ux/XtensaTools/x 146e0: 74656e73 612d656c 662f696e 636c7564 tensa-elf/includ 146f0: 652f7874 656e7361 2f636f6e 66696700 e/xtensa/config. 14700: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 14710: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 14720: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 14730: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 14740: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 14750: 7564652f 7874656e 7361002f 6f70742f ude/xtensa./opt/ 14760: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 14770: 732f696e 7374616c 6c2f6275 696c6473 s/install/builds 14780: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 14790: 2f4d6167 7069655f 50302f78 74656e73 /Magpie_P0/xtens 147a0: 612d656c 662f6172 63682f69 6e636c75 a-elf/arch/inclu 147b0: 64652f78 74656e73 612f636f 6e666967 de/xtensa/config 147c0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 147d0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 147e0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 147f0: 726f6d2f 636d6e6f 732f7072 696e7466 rom/cmnos/printf 14800: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 14810: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 14820: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 14830: 315f312f 726f6d2f 636d6e6f 732f7561 1_1/rom/cmnos/ua 14840: 72742f69 6e63002f 726f6f74 2f576f72 rt/inc./root/Wor 14850: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 14860: 2f746774 2f746172 6765742f 636d6e6f /tgt/target/cmno 14870: 732f6462 672f696e 63002f72 6f6f742f s/dbg/inc./root/ 14880: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14890: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 148a0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 148b0: 6f732f6d 656d2f69 6e63002f 726f6f74 os/mem/inc./root 148c0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 148d0: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 148e0: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 148f0: 6e6f732f 6d697363 2f696e63 002f726f nos/misc/inc./ro 14900: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 14910: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 14920: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 14930: 636d6e6f 732f7374 72696e67 2f696e63 cmnos/string/inc 14940: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 14950: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 14960: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 14970: 726f6d2f 636d6e6f 732f7469 6d65722f rom/cmnos/timer/ 14980: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14990: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 149a0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 149b0: 5f312f72 6f6d2f63 6d6e6f73 2f726f6d _1/rom/cmnos/rom 149c0: 70617463 682f696e 63002f72 6f6f742f patch/inc./root/ 149d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 149e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 149f0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 14a00: 6f732f61 6c6c6f63 72616d2f 696e6300 os/allocram/inc. 14a10: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 14a20: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 14a30: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 14a40: 6f6d2f63 6d6e6f73 2f746173 6b6c6574 om/cmnos/tasklet 14a50: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 14a60: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 14a70: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 14a80: 315f312f 726f6d2f 636d6e6f 732f636c 1_1/rom/cmnos/cl 14a90: 6f636b2f 696e6300 2f726f6f 742f576f ock/inc./root/Wo 14aa0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 14ab0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 14ac0: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 14ad0: 2f696e74 722f696e 63002f72 6f6f742f /intr/inc./root/ 14ae0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14af0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 14b00: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 14b10: 6f732f77 64742f69 6e63002f 726f6f74 os/wdt/inc./root 14b20: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14b30: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 14b40: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 14b50: 6e6f732f 65657072 6f6d2f69 6e63002f nos/eeprom/inc./ 14b60: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 14b70: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 14b80: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 14b90: 6d2f6869 662f696e 63002f72 6f6f742f m/hif/inc./root/ 14ba0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14bb0: 3230322f 7467742f 6164662f 696e636c 202/tgt/adf/incl 14bc0: 7564652f 6e627566 002f726f 6f742f57 ude/nbuf./root/W 14bd0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 14be0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 14bf0: 64652f6f 73002f72 6f6f742f 576f726b de/os./root/Work 14c00: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 14c10: 7467742f 74617267 65742f61 64662f6f tgt/target/adf/o 14c20: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 14c30: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 14c40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 14c50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 14c60: 61546f6f 6c732f6c 69622f78 63632d6c aTools/lib/xcc-l 14c70: 69622f69 6e636c75 6465002f 726f6f74 ib/include./root 14c80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14c90: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc 14ca0: 6c756465 2f6e6574 002f726f 6f742f57 lude/net./root/W 14cb0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 14cc0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 14cd0: 7069655f 315f312f 726f6d2f 6164662f pie_1_1/rom/adf/ 14ce0: 6e627566 2f696e63 002f726f 6f742f57 nbuf/inc./root/W 14cf0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 14d00: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 14d10: 7069655f 315f312f 726f6d2f 6874632f pie_1_1/rom/htc/ 14d20: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 14d30: 6163652f 30343132 5f763230 322f776c ace/0412_v202/wl 14d40: 616e2f69 6e636c75 6465002f 726f6f74 an/include./root 14d50: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14d60: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 14d70: 61677069 655f315f 312f726f 6d2f6275 agpie_1_1/rom/bu 14d80: 665f706f 6f6c2f69 6e63002f 726f6f74 f_pool/inc./root 14d90: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 14da0: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 14db0: 776d692f 696e6300 2f726f6f 742f576f wmi/inc./root/Wo 14dc0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 14dd0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 14de0: 69655f31 5f312f72 6f6d2f64 6d615f65 ie_1_1/rom/dma_e 14df0: 6e67696e 652f696e 63002f72 6f6f742f ngine/inc./root/ 14e00: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 14e10: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 14e20: 67706965 5f315f31 2f726f6d 2f766275 gpie_1_1/rom/vbu 14e30: 662f7372 63000076 6275662e 63000100 f/src..vbuf.c... 14e40: 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS 14e50: 5f736f63 2e680003 00007662 75665f61 _soc.h....vbuf_a 14e60: 70692e68 00040000 76646573 635f6170 pi.h....vdesc_ap 14e70: 692e6800 0500004d 61677069 655f6170 i.h....Magpie_ap 14e80: 692e6800 06000063 6d6e6f73 5f617069 i.h....cmnos_api 14e90: 2e680007 00007379 735f6366 672e6800 .h....sys_cfg.h. 14ea0: 06000072 6f6d5f63 66672e68 00080000 ...rom_cfg.h.... 14eb0: 6d616770 69655f6d 656d2e68 00060000 magpie_mem.h.... 14ec0: 636f7265 2e680009 00006861 6c2e6800 core.h....hal.h. 14ed0: 0a000063 6f72652d 6973612e 68000b00 ...core-isa.h... 14ee0: 00636f72 652d6d61 746d6170 2e68000b .core-matmap.h.. 14ef0: 00007469 652e6800 0b000078 7472756e ..tie.h....xtrun 14f00: 74696d65 2e68000a 00007370 65637265 time.h....specre 14f10: 672e6800 0b000063 6f726562 6974732e g.h....corebits. 14f20: 68000a00 00707269 6e74665f 6170692e h....printf_api. 14f30: 68000c00 00756172 745f6170 692e6800 h....uart_api.h. 14f40: 0d000072 65675f64 6566732e 68000800 ...reg_defs.h... 14f50: 0064745f 64656673 2e680002 00006462 .dt_defs.h....db 14f60: 675f6170 692e6800 0e00006d 656d5f61 g_api.h....mem_a 14f70: 70692e68 000f0000 6d697363 5f617069 pi.h....misc_api 14f80: 2e680010 00007374 72696e67 5f617069 .h....string_api 14f90: 2e680011 00007469 6d65725f 6170692e .h....timer_api. 14fa0: 68001200 00726f6d 705f6170 692e6800 h....romp_api.h. 14fb0: 13000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 14fc0: 68001400 00746173 6b6c6574 5f617069 h....tasklet_api 14fd0: 2e680015 0000636c 6f636b5f 6170692e .h....clock_api. 14fe0: 68001600 00696e74 725f6170 692e6800 h....intr_api.h. 14ff0: 17000077 64745f61 70692e68 00180000 ...wdt_api.h.... 15000: 65657072 6f6d5f61 70692e68 00190000 eeprom_api.h.... 15010: 7573625f 6170692e 68001a00 00686966 usb_api.h....hif 15020: 5f706369 2e68001a 00006869 665f6170 _pci.h....hif_ap 15030: 692e6800 1a000061 64665f6e 6275662e i.h....adf_nbuf. 15040: 68001b00 00616466 5f6f735f 7574696c h....adf_os_util 15050: 2e68001c 00006164 665f6f73 5f757469 .h....adf_os_uti 15060: 6c5f7076 742e6800 1d000061 64665f6f l_pvt.h....adf_o 15070: 735f7479 7065732e 68001c00 00616466 s_types.h....adf 15080: 5f6f735f 73746474 79706573 2e68001c _os_stdtypes.h.. 15090: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 150a0: 76742e68 001d0000 73746464 65662e68 vt.h....stddef.h 150b0: 001e0000 73746461 72672e68 00020000 ....stdarg.h.... 150c0: 76612d78 74656e73 612e6800 1e000061 va-xtensa.h....a 150d0: 64665f6f 735f646d 612e6800 1c000061 df_os_dma.h....a 150e0: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 150f0: 1d000061 64665f6e 65745f74 79706573 ...adf_net_types 15100: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 15110: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 15120: 68001a00 00686966 5f676d61 632e6800 h....hif_gmac.h. 15130: 1a000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 15140: 001a0000 6874635f 6170692e 68002100 ....htc_api.h.!. 15150: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 15160: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 15170: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 15180: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 15190: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 151a0: 692e6800 25000076 6275662e 68002600 i.h.%..vbuf.h.&. 151b0: 00000005 02008e4e f0031c01 03000900 .......N........ 151c0: 03010305 09000301 03020900 0f01037f ................ 151d0: 09000201 03030900 02010302 09000201 ................ 151e0: 03030900 05010305 09000a01 037e0900 .............~.. 151f0: 0201037f 09000401 03020900 02010379 ...............y 15200: 09000201 030a0900 03010302 09000201 ................ 15210: 03040900 03010300 09000301 03030900 ................ 15220: 03010306 09000201 037d0900 02010302 .........}...... 15230: 09000401 037e0900 02010302 09000201 .....~.......... 15240: 037e0900 02010303 09000201 03030900 .~.............. 15250: 0201037d 09000201 03030900 02010304 ...}............ 15260: 09000401 03000900 03010306 09000301 ................ 15270: 037d0900 02010301 09000401 03020900 .}.............. 15280: 02010301 09000401 03050900 02010300 ................ 15290: 09000301 03040900 0901037f 09000201 ................ 152a0: 037f0900 02010306 09000201 09000200 ................ 152b0: 01010000 0e620002 00000d07 0101fb0e .....b.......... 152c0: 0a000101 01010000 00012f72 6f6f742f ........../root/ 152d0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 152e0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 152f0: 67706965 5f315f31 2f696d61 67652f6d gpie_1_1/image/m 15300: 61677069 652f2e2e 2f2e2e2f 2e2e2f2e agpie/../../../. 15310: 2e2f2f62 75696c64 2f6d6167 7069655f .//build/magpie_ 15320: 315f312f 726f6d2f 76646573 632f7372 1_1/rom/vdesc/sr 15330: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 15340: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 15350: 74617267 65742f69 6e63002f 726f6f74 target/inc./root 15360: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 15370: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 15380: 696e632f 4f545553 002f726f 6f742f57 inc/OTUS./root/W 15390: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 153a0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 153b0: 7069655f 315f312f 726f6d2f 76646573 pie_1_1/rom/vdes 153c0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 153d0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 153e0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 153f0: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W 15400: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15410: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 15420: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 15430: 732f696e 63002f72 6f6f742f 576f726b s/inc./root/Work 15440: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 15450: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 15460: 5f315f31 2f696e63 2f6d6167 70696500 _1_1/inc/magpie. 15470: 2f6f7074 2f787465 6e73612f 58744465 /opt/xtensa/XtDe 15480: 76546f6f 6c732f69 6e737461 6c6c2f74 vTools/install/t 15490: 6f6f6c73 2f52422d 32303037 2e322d6c ools/RB-2007.2-l 154a0: 696e7578 2f587465 6e736154 6f6f6c73 inux/XtensaTools 154b0: 2f787465 6e73612d 656c662f 696e636c /xtensa-elf/incl 154c0: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi 154d0: 67002f6f 70742f78 74656e73 612f5874 g./opt/xtensa/Xt 154e0: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 154f0: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 15500: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 15510: 6c732f78 74656e73 612d656c 662f696e ls/xtensa-elf/in 15520: 636c7564 652f7874 656e7361 002f6f70 clude/xtensa./op 15530: 742f7874 656e7361 2f587444 6576546f t/xtensa/XtDevTo 15540: 6f6c732f 696e7374 616c6c2f 6275696c ols/install/buil 15550: 64732f52 422d3230 30372e32 2d6c696e ds/RB-2007.2-lin 15560: 75782f4d 61677069 655f5030 2f787465 ux/Magpie_P0/xte 15570: 6e73612d 656c662f 61726368 2f696e63 nsa-elf/arch/inc 15580: 6c756465 2f787465 6e73612f 636f6e66 lude/xtensa/conf 15590: 6967002f 726f6f74 2f576f72 6b737061 ig./root/Workspa 155a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 155b0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 155c0: 312f726f 6d2f636d 6e6f732f 7072696e 1/rom/cmnos/prin 155d0: 74662f69 6e63002f 726f6f74 2f576f72 tf/inc./root/Wor 155e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 155f0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15600: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 15610: 75617274 2f696e63 002f726f 6f742f57 uart/inc./root/W 15620: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15630: 30322f74 67742f74 61726765 742f636d 02/tgt/target/cm 15640: 6e6f732f 6462672f 696e6300 2f726f6f nos/dbg/inc./roo 15650: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 15660: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 15670: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 15680: 6d6e6f73 2f6d656d 2f696e63 002f726f mnos/mem/inc./ro 15690: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 156a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 156b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 156c0: 636d6e6f 732f6d69 73632f69 6e63002f cmnos/misc/inc./ 156d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 156e0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 156f0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 15700: 6d2f636d 6e6f732f 73747269 6e672f69 m/cmnos/string/i 15710: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 15720: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 15730: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 15740: 312f726f 6d2f636d 6e6f732f 74696d65 1/rom/cmnos/time 15750: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work 15760: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 15770: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 15780: 5f315f31 2f726f6d 2f636d6e 6f732f72 _1_1/rom/cmnos/r 15790: 6f6d7061 7463682f 696e6300 2f726f6f ompatch/inc./roo 157a0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 157b0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 157c0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 157d0: 6d6e6f73 2f616c6c 6f637261 6d2f696e mnos/allocram/in 157e0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 157f0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 15800: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 15810: 2f726f6d 2f636d6e 6f732f74 61736b6c /rom/cmnos/taskl 15820: 65742f69 6e63002f 726f6f74 2f576f72 et/inc./root/Wor 15830: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 15840: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15850: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 15860: 636c6f63 6b2f696e 63002f72 6f6f742f clock/inc./root/ 15870: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 15880: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 15890: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 158a0: 6f732f69 6e74722f 696e6300 2f726f6f os/intr/inc./roo 158b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 158c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 158d0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 158e0: 6d6e6f73 2f776474 2f696e63 002f726f mnos/wdt/inc./ro 158f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 15900: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 15910: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 15920: 636d6e6f 732f6565 70726f6d 2f696e63 cmnos/eeprom/inc 15930: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 15940: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 15950: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 15960: 726f6d2f 6869662f 696e6300 2f726f6f rom/hif/inc./roo 15970: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 15980: 5f763230 322f7467 742f6164 662f696e _v202/tgt/adf/in 15990: 636c7564 652f6e62 7566002f 726f6f74 clude/nbuf./root 159a0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 159b0: 76323032 2f746774 2f616466 2f696e63 v202/tgt/adf/inc 159c0: 6c756465 2f6f7300 2f726f6f 742f576f lude/os./root/Wo 159d0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 159e0: 322f7467 742f7461 72676574 2f616466 2/tgt/target/adf 159f0: 2f6f732f 696e6300 2f6f7074 2f787465 /os/inc./opt/xte 15a00: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 15a10: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 15a20: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 15a30: 6e736154 6f6f6c73 2f6c6962 2f786363 nsaTools/lib/xcc 15a40: 2d6c6962 2f696e63 6c756465 002f726f -lib/include./ro 15a50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 15a60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 15a70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 15a80: 76627566 2f696e63 002f726f 6f742f57 vbuf/inc./root/W 15a90: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15aa0: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 15ab0: 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor 15ac0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 15ad0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15ae0: 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb 15af0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 15b00: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 15b10: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 15b20: 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in 15b30: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 15b40: 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan 15b50: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 15b60: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15b70: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 15b80: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ 15b90: 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W 15ba0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 15bb0: 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm 15bc0: 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work 15bd0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 15be0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 15bf0: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng 15c00: 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo 15c10: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 15c20: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 15c30: 69655f31 5f312f72 6f6d2f76 64657363 ie_1_1/rom/vdesc 15c40: 2f737263 00007664 6573632e 63000100 /src..vdesc.c... 15c50: 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS 15c60: 5f736f63 2e680003 00007664 6573635f _soc.h....vdesc_ 15c70: 6170692e 68000400 004d6167 7069655f api.h....Magpie_ 15c80: 6170692e 68000500 00636d6e 6f735f61 api.h....cmnos_a 15c90: 70692e68 00060000 7379735f 6366672e pi.h....sys_cfg. 15ca0: 68000500 00726f6d 5f636667 2e680007 h....rom_cfg.h.. 15cb0: 00006d61 67706965 5f6d656d 2e680005 ..magpie_mem.h.. 15cc0: 0000636f 72652e68 00080000 68616c2e ..core.h....hal. 15cd0: 68000900 00636f72 652d6973 612e6800 h....core-isa.h. 15ce0: 0a000063 6f72652d 6d61746d 61702e68 ...core-matmap.h 15cf0: 000a0000 7469652e 68000a00 00787472 ....tie.h....xtr 15d00: 756e7469 6d652e68 00090000 73706563 untime.h....spec 15d10: 7265672e 68000a00 00636f72 65626974 reg.h....corebit 15d20: 732e6800 09000070 72696e74 665f6170 s.h....printf_ap 15d30: 692e6800 0b000075 6172745f 6170692e i.h....uart_api. 15d40: 68000c00 00726567 5f646566 732e6800 h....reg_defs.h. 15d50: 07000064 745f6465 66732e68 00020000 ...dt_defs.h.... 15d60: 6462675f 6170692e 68000d00 006d656d dbg_api.h....mem 15d70: 5f617069 2e68000e 00006d69 73635f61 _api.h....misc_a 15d80: 70692e68 000f0000 73747269 6e675f61 pi.h....string_a 15d90: 70692e68 00100000 74696d65 725f6170 pi.h....timer_ap 15da0: 692e6800 11000072 6f6d705f 6170692e i.h....romp_api. 15db0: 68001200 00616c6c 6f637261 6d5f6170 h....allocram_ap 15dc0: 692e6800 13000074 61736b6c 65745f61 i.h....tasklet_a 15dd0: 70692e68 00140000 636c6f63 6b5f6170 pi.h....clock_ap 15de0: 692e6800 15000069 6e74725f 6170692e i.h....intr_api. 15df0: 68001600 00776474 5f617069 2e680017 h....wdt_api.h.. 15e00: 00006565 70726f6d 5f617069 2e680018 ..eeprom_api.h.. 15e10: 00007573 625f6170 692e6800 19000068 ..usb_api.h....h 15e20: 69665f70 63692e68 00190000 6869665f if_pci.h....hif_ 15e30: 6170692e 68001900 00616466 5f6e6275 api.h....adf_nbu 15e40: 662e6800 1a000061 64665f6f 735f7574 f.h....adf_os_ut 15e50: 696c2e68 001b0000 6164665f 6f735f75 il.h....adf_os_u 15e60: 74696c5f 7076742e 68001c00 00616466 til_pvt.h....adf 15e70: 5f6f735f 74797065 732e6800 1b000061 _os_types.h....a 15e80: 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h 15e90: 001b0000 6164665f 6f735f74 79706573 ....adf_os_types 15ea0: 5f707674 2e68001c 00007374 64646566 _pvt.h....stddef 15eb0: 2e68001d 00007662 75665f61 70692e68 .h....vbuf_api.h 15ec0: 001e0000 73746461 72672e68 00020000 ....stdarg.h.... 15ed0: 76612d78 74656e73 612e6800 1d000061 va-xtensa.h....a 15ee0: 64665f6f 735f646d 612e6800 1b000061 df_os_dma.h....a 15ef0: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 15f00: 1c000061 64665f6e 65745f74 79706573 ...adf_net_types 15f10: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 15f20: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 15f30: 68001900 00686966 5f676d61 632e6800 h....hif_gmac.h. 15f40: 19000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 15f50: 00190000 6874635f 6170692e 68002100 ....htc_api.h.!. 15f60: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 15f70: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 15f80: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 15f90: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 15fa0: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 15fb0: 692e6800 25000076 64657363 2e680026 i.h.%..vdesc.h.& 15fc0: 00000000 0502008e 4f740321 01030009 ........Ot.!.... 15fd0: 00030103 08090003 01030209 000f0103 ................ 15fe0: 7f090003 01030409 00020103 02090002 ................ 15ff0: 01030409 00050103 0609000a 01037e09 ..............~. 16000: 00020103 7e090004 01030309 00030103 ....~........... 16010: 77090002 01030e09 00030103 c7000900 w............... 16020: 03010300 09000301 03040900 03010306 ................ 16030: 09000201 037f0900 04010301 09000401 ................ 16040: 03030900 0201037d 09000201 03030900 .......}........ 16050: 0201030e 09000201 03020900 03010300 ................ 16060: 09000301 030e0900 02010314 09000301 ................ 16070: 03740900 02010308 09000301 037c0900 .t...........|.. 16080: 03010309 09000301 036f0900 0201030d .........o...... 16090: 09000301 03780900 03010304 09000301 .....x.......... 160a0: 03780900 03010300 09000301 03010900 .x.............. 160b0: 03010303 09000301 03010900 03010303 ................ 160c0: 09000301 03010900 03010303 09000301 ................ 160d0: 03010900 03010303 09000301 03010900 ................ 160e0: 02010301 09000201 03050900 05010300 ................ 160f0: 09000301 03050900 0c01037f 09000201 ................ 16100: 037f0900 0201037f 09000201 03090900 ................ 16110: 02010900 02000101 0000180b 00020000 ................ 16120: 0d4e0101 fb0e0a00 01010101 00000001 .N.............. 16130: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 16140: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 16150: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 16160: 6d616765 2f6d6167 7069652f 2e2e2f2e mage/magpie/../. 16170: 2e2f2e2e 2f2e2e2f 2f627569 6c642f6d ./../..//build/m 16180: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 16190: 632f7372 63002f72 6f6f742f 576f726b c/src./root/Work 161a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 161b0: 7467742f 74617267 65742f69 6e63002f tgt/target/inc./ 161c0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 161d0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 161e0: 6765742f 696e632f 4f545553 002f726f get/inc/OTUS./ro 161f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16200: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 16210: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 16220: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 16230: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 16240: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 16250: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro 16260: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16270: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 16280: 2f6d6167 7069655f 315f312f 696e632f /magpie_1_1/inc/ 16290: 6d616770 6965002f 6f70742f 7874656e magpie./opt/xten 162a0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 162b0: 7374616c 6c2f746f 6f6c732f 52422d32 stall/tools/RB-2 162c0: 3030372e 322d6c69 6e75782f 5874656e 007.2-linux/Xten 162d0: 7361546f 6f6c732f 7874656e 73612d65 saTools/xtensa-e 162e0: 6c662f69 6e636c75 64652f78 74656e73 lf/include/xtens 162f0: 612f636f 6e666967 002f6f70 742f7874 a/config./opt/xt 16300: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 16310: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 16320: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 16330: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 16340: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 16350: 6e736100 2f6f7074 2f787465 6e73612f nsa./opt/xtensa/ 16360: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 16370: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 16380: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 16390: 5f50302f 7874656e 73612d65 6c662f61 _P0/xtensa-elf/a 163a0: 7263682f 696e636c 7564652f 7874656e rch/include/xten 163b0: 73612f63 6f6e6669 67002f72 6f6f742f sa/config./root/ 163c0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 163d0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 163e0: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 163f0: 6f732f70 72696e74 662f696e 63002f72 os/printf/inc./r 16400: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 16410: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 16420: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 16430: 2f636d6e 6f732f75 6172742f 696e6300 /cmnos/uart/inc. 16440: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 16450: 30343132 5f763230 322f7467 742f7461 0412_v202/tgt/ta 16460: 72676574 2f636d6e 6f732f64 62672f69 rget/cmnos/dbg/i 16470: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 16480: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 16490: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 164a0: 312f726f 6d2f636d 6e6f732f 6d656d2f 1/rom/cmnos/mem/ 164b0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 164c0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 164d0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 164e0: 5f312f72 6f6d2f63 6d6e6f73 2f6d6973 _1/rom/cmnos/mis 164f0: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 16500: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 16510: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 16520: 5f315f31 2f726f6d 2f636d6e 6f732f73 _1_1/rom/cmnos/s 16530: 7472696e 672f696e 63002f72 6f6f742f tring/inc./root/ 16540: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 16550: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 16560: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 16570: 6f732f74 696d6572 2f696e63 002f726f os/timer/inc./ro 16580: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16590: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 165a0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 165b0: 636d6e6f 732f726f 6d706174 63682f69 cmnos/rompatch/i 165c0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 165d0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 165e0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 165f0: 312f726f 6d2f636d 6e6f732f 616c6c6f 1/rom/cmnos/allo 16600: 6372616d 2f696e63 002f726f 6f742f57 cram/inc./root/W 16610: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 16620: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 16630: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 16640: 732f7461 736b6c65 742f696e 63002f72 s/tasklet/inc./r 16650: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 16660: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 16670: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 16680: 2f636d6e 6f732f63 6c6f636b 2f696e63 /cmnos/clock/inc 16690: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 166a0: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 166b0: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 166c0: 726f6d2f 636d6e6f 732f696e 74722f69 rom/cmnos/intr/i 166d0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 166e0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 166f0: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 16700: 312f726f 6d2f636d 6e6f732f 7764742f 1/rom/cmnos/wdt/ 16710: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 16720: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 16730: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 16740: 5f312f72 6f6d2f63 6d6e6f73 2f656570 _1/rom/cmnos/eep 16750: 726f6d2f 696e6300 2f726f6f 742f576f rom/inc./root/Wo 16760: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 16770: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 16780: 69655f31 5f312f72 6f6d2f68 69662f69 ie_1_1/rom/hif/i 16790: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 167a0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 167b0: 2f616466 2f696e63 6c756465 2f6e6275 /adf/include/nbu 167c0: 66002f72 6f6f742f 576f726b 73706163 f./root/Workspac 167d0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 167e0: 6164662f 696e636c 7564652f 6f73002f adf/include/os./ 167f0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 16800: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 16810: 6765742f 6164662f 6f732f69 6e63002f get/adf/os/inc./ 16820: 6f70742f 7874656e 73612f58 74446576 opt/xtensa/XtDev 16830: 546f6f6c 732f696e 7374616c 6c2f746f Tools/install/to 16840: 6f6c732f 52422d32 3030372e 322d6c69 ols/RB-2007.2-li 16850: 6e75782f 5874656e 7361546f 6f6c732f nux/XtensaTools/ 16860: 6c69622f 7863632d 6c69622f 696e636c lib/xcc-lib/incl 16870: 75646500 2f726f6f 742f576f 726b7370 ude./root/Worksp 16880: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 16890: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 168a0: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 168b0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 168c0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 168d0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 168e0: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 168f0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16900: 325f7632 30322f74 67742f61 64662f69 2_v202/tgt/adf/i 16910: 6e636c75 64652f6e 6574002f 726f6f74 nclude/net./root 16920: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 16930: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 16940: 61677069 655f315f 312f726f 6d2f6164 agpie_1_1/rom/ad 16950: 662f6e62 75662f69 6e63002f 726f6f74 f/nbuf/inc./root 16960: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 16970: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 16980: 61677069 655f315f 312f726f 6d2f6874 agpie_1_1/rom/ht 16990: 632f696e 63002f72 6f6f742f 576f726b c/inc./root/Work 169a0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 169b0: 776c616e 2f696e63 6c756465 002f726f wlan/include./ro 169c0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 169d0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 169e0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 169f0: 6275665f 706f6f6c 2f696e63 002f726f buf_pool/inc./ro 16a00: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 16a10: 325f7632 30322f74 67742f74 61726765 2_v202/tgt/targe 16a20: 742f776d 692f696e 63002f72 6f6f742f t/wmi/inc./root/ 16a30: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 16a40: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 16a50: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 16a60: 5f656e67 696e652f 696e6300 2f726f6f _engine/inc./roo 16a70: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 16a80: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 16a90: 6d616770 69655f31 5f312f72 6f6d2f68 magpie_1_1/rom/h 16aa0: 74632f73 72630000 6874632e 63000100 tc/src..htc.c... 16ab0: 006f7361 70692e68 00020000 4f545553 .osapi.h....OTUS 16ac0: 5f736f63 2e680003 00004d61 67706965 _soc.h....Magpie 16ad0: 5f617069 2e680004 0000636d 6e6f735f _api.h....cmnos_ 16ae0: 6170692e 68000500 00737973 5f636667 api.h....sys_cfg 16af0: 2e680004 0000726f 6d5f6366 672e6800 .h....rom_cfg.h. 16b00: 0600006d 61677069 655f6d65 6d2e6800 ...magpie_mem.h. 16b10: 04000063 6f72652e 68000700 0068616c ...core.h....hal 16b20: 2e680008 0000636f 72652d69 73612e68 .h....core-isa.h 16b30: 00090000 636f7265 2d6d6174 6d61702e ....core-matmap. 16b40: 68000900 00746965 2e680009 00007874 h....tie.h....xt 16b50: 72756e74 696d652e 68000800 00737065 runtime.h....spe 16b60: 63726567 2e680009 0000636f 72656269 creg.h....corebi 16b70: 74732e68 00080000 7072696e 74665f61 ts.h....printf_a 16b80: 70692e68 000a0000 75617274 5f617069 pi.h....uart_api 16b90: 2e68000b 00007265 675f6465 66732e68 .h....reg_defs.h 16ba0: 00060000 64745f64 6566732e 68000200 ....dt_defs.h... 16bb0: 00646267 5f617069 2e68000c 00006d65 .dbg_api.h....me 16bc0: 6d5f6170 692e6800 0d00006d 6973635f m_api.h....misc_ 16bd0: 6170692e 68000e00 00737472 696e675f api.h....string_ 16be0: 6170692e 68000f00 0074696d 65725f61 api.h....timer_a 16bf0: 70692e68 00100000 726f6d70 5f617069 pi.h....romp_api 16c00: 2e680011 0000616c 6c6f6372 616d5f61 .h....allocram_a 16c10: 70692e68 00120000 7461736b 6c65745f pi.h....tasklet_ 16c20: 6170692e 68001300 00636c6f 636b5f61 api.h....clock_a 16c30: 70692e68 00140000 696e7472 5f617069 pi.h....intr_api 16c40: 2e680015 00007764 745f6170 692e6800 .h....wdt_api.h. 16c50: 16000065 6570726f 6d5f6170 692e6800 ...eeprom_api.h. 16c60: 17000075 73625f61 70692e68 00180000 ...usb_api.h.... 16c70: 6869665f 7063692e 68001800 00686966 hif_pci.h....hif 16c80: 5f617069 2e680018 00006164 665f6e62 _api.h....adf_nb 16c90: 75662e68 00190000 6164665f 6f735f75 uf.h....adf_os_u 16ca0: 74696c2e 68001a00 00616466 5f6f735f til.h....adf_os_ 16cb0: 7574696c 5f707674 2e68001b 00006164 util_pvt.h....ad 16cc0: 665f6f73 5f747970 65732e68 001a0000 f_os_types.h.... 16cd0: 6164665f 6f735f73 74647479 7065732e adf_os_stdtypes. 16ce0: 68001a00 00616466 5f6f735f 74797065 h....adf_os_type 16cf0: 735f7076 742e6800 1b000073 74646465 s_pvt.h....stdde 16d00: 662e6800 1c000076 6275665f 6170692e f.h....vbuf_api. 16d10: 68001d00 00766465 73635f61 70692e68 h....vdesc_api.h 16d20: 001e0000 73746461 72672e68 00020000 ....stdarg.h.... 16d30: 76612d78 74656e73 612e6800 1c000061 va-xtensa.h....a 16d40: 64665f6f 735f646d 612e6800 1a000061 df_os_dma.h....a 16d50: 64665f6f 735f646d 615f7076 742e6800 df_os_dma_pvt.h. 16d60: 1b000061 64665f6e 65745f74 79706573 ...adf_net_types 16d70: 2e68001f 00006164 665f6e62 75665f70 .h....adf_nbuf_p 16d80: 76742e68 00200000 646d615f 6c69622e vt.h. ..dma_lib. 16d90: 68001800 00686966 5f676d61 632e6800 h....hif_gmac.h. 16da0: 18000075 73626669 666f5f61 70692e68 ...usbfifo_api.h 16db0: 00180000 6874635f 6170692e 68002100 ....htc_api.h.!. 16dc0: 00687463 2e680022 00006275 665f706f .htc.h."..buf_po 16dd0: 6f6c5f61 70692e68 00230000 776d695f ol_api.h.#..wmi_ 16de0: 7376635f 6170692e 68002400 00776d69 svc_api.h.$..wmi 16df0: 2e680022 00006174 68646566 732e6800 .h."..athdefs.h. 16e00: 22000064 6d615f65 6e67696e 655f6170 "..dma_engine_ap 16e10: 692e6800 25000061 64665f6f 735f6d65 i.h.%..adf_os_me 16e20: 6d2e6800 1a000061 64665f6f 735f6d65 m.h....adf_os_me 16e30: 6d5f7076 742e6800 1b000061 64665f6f m_pvt.h....adf_o 16e40: 735f696f 2e68001a 00006164 665f6f73 s_io.h....adf_os 16e50: 5f696f5f 7076742e 68001b00 00687463 _io_pvt.h....htc 16e60: 5f696e74 65726e61 6c2e6800 26000000 _internal.h.&... 16e70: 04240005 02008e50 2c03b902 01043203 .$.....P,.....2. 16e80: 4b090003 01030409 00020103 02090004 K............... 16e90: 01037e09 00020104 24033209 00050103 ..~.....$.2..... 16ea0: 0f090014 01030009 00030104 32037f09 ............2... 16eb0: 00020103 03090002 01037f09 00030103 ................ 16ec0: 01090003 01037f09 00020103 01090006 ................ 16ed0: 01030109 00030104 24037e09 00080103 ........$.~..... 16ee0: 0f09000c 01043203 ab7f0900 03010304 ......2......... 16ef0: 09000201 03020900 0401037e 09000201 ...........~.... 16f00: 03d90009 00050103 01090003 01030109 ................ 16f10: 00050103 7e090003 01030209 00050103 ....~........... 16f20: 7e090005 01042403 79090004 01030f09 ~.....$.y....... 16f30: 00030103 00090003 01043203 0b090002 ..........2..... 16f40: 01030309 00020103 7f090003 01030109 ................ 16f50: 00030103 7f090003 01030109 00050103 ................ 16f60: 01090003 01042403 72090009 01031d09 ......$.r....... 16f70: 000b0103 01090003 01030009 00030103 ................ 16f80: 2c090002 01043203 c07e0900 03010302 ,.....2..~...... 16f90: 09000201 03010900 0501037f 09000301 ................ 16fa0: 03010900 04010424 03bf0109 00020103 .......$........ 16fb0: 0e090005 01030109 00030103 00090002 ................ 16fc0: 01040103 8a7d0900 03010300 09000301 .....}.......... 16fd0: 03010900 07010301 09000b01 03050900 ................ 16fe0: 03010300 09000301 043e03b3 7f090005 .........>...... 16ff0: 01031e09 000d0104 01033c09 000a0103 ..........<..... 17000: 01090002 01037f09 00050103 01090002 ................ 17010: 01030109 00050103 05090005 01030309 ................ 17020: 00020103 7c090003 01037f09 00030103 ....|........... 17030: 01090005 01030409 00020103 04090005 ................ 17040: 01030e09 00020103 7d090002 01037909 ........}.....y. 17050: 00020103 18090002 01036409 00030103 ..........d..... 17060: 04090005 01030a09 00030103 7a090003 ............z... 17070: 01030309 00030103 03090003 01031009 ................ 17080: 00030103 72090002 01030609 00060103 ....r........... 17090: 0d090002 01037309 00050103 01090003 ......s......... 170a0: 01030509 00020103 01090003 01030209 ................ 170b0: 00030103 7e090003 01037c09 00030103 ....~.....|..... 170c0: 05090003 01037909 00030103 0d090003 ......y......... 170d0: 01037c09 00060103 04090003 01037f09 ..|............. 170e0: 00060103 01090002 01030509 00030103 ................ 170f0: 01090005 01030109 000c0103 0209000a ................ 17100: 01030609 00070103 04090003 01037b09 ..............{. 17110: 00060103 05090005 01030309 00030103 ................ 17120: 02090009 01030409 00020103 04090003 ................ 17130: 01030309 00050103 04090003 01030009 ................ 17140: 00030103 01090002 01030109 00030103 ................ 17150: 03090005 01030609 00030103 06090007 ................ 17160: 01030209 000a0103 09090009 01037c09 ..............|. 17170: 00060103 7c090002 01030109 00040103 ....|........... 17180: 02090003 01037e09 00060103 7f090003 ......~......... 17190: 01030109 00030103 02090003 01037d09 ..............}. 171a0: 00030103 04090003 01030409 00030103 ................ 171b0: 7b090003 01037e09 00030103 07090003 {.....~......... 171c0: 01030209 00030103 03090004 01030709 ................ 171d0: 00030103 04090007 01030309 00170103 ................ 171e0: 17090003 01036909 00030103 02090003 ......i......... 171f0: 01030109 000d0103 0609000d 01037f09 ................ 17200: 00030103 7c090003 01037f09 00020103 ....|........... 17210: 05090003 01030109 00020103 7f090006 ................ 17220: 01030409 00030103 03090011 01030a09 ................ 17230: 00090103 7e090002 01030209 00050103 ....~........... 17240: 03090005 01030009 00030103 0c09000b ................ 17250: 01037d09 00040104 24038c02 09000201 ..}.....$....... 17260: 040103f7 7d090002 01037b09 00050103 ....}.....{..... 17270: 08090003 01030109 00170103 03090003 ................ 17280: 01030009 00030103 01090006 01030109 ................ 17290: 00050103 03090002 01030609 00030103 ................ 172a0: 03090007 01030709 00090103 05090010 ................ 172b0: 01037e09 00030103 15090005 01036b09 ..~...........k. 172c0: 00080103 08090006 01037f09 00030103 ................ 172d0: 0e090003 01042403 6509000c 01040103 ......$.e....... 172e0: 1b090002 01030109 00020104 24036409 ............$.d. 172f0: 00020104 01031c09 00030103 05090005 ................ 17300: 01030109 00150103 09090006 01033109 ..............1. 17310: 00030103 54090002 01030209 00030103 ....T........... 17320: 0b090009 01037509 00080103 04090002 ......u......... 17330: 01030409 00020103 7d090003 01030609 ........}....... 17340: 00020103 7a090002 01037f09 00030103 ....z........... 17350: 23090003 01036809 000d0103 0209000b #.....h......... 17360: 01030a09 00070103 79090002 01037d09 ........y.....}. 17370: 00020103 05090002 01030109 00030103 ................ 17380: 01090006 01037c09 00030103 04090005 ......|......... 17390: 01030109 00050103 7f090003 01030109 ................ 173a0: 00030103 04090006 01037709 00030103 ..........w..... 173b0: 07090003 01030209 00030103 0209000a ................ 173c0: 01030109 00040103 7f090002 01030209 ................ 173d0: 00030103 7f090002 01037f09 00030103 ................ 173e0: 04090005 01030409 00030103 0609000f ................ 173f0: 01030f09 00030103 02090002 01037c09 ..............|. 17400: 00020103 02090003 01030209 00030103 ................ 17410: 7e090004 01030c09 00060103 7f090005 ~............... 17420: 01030109 00020103 7f090003 01030109 ................ 17430: 00060103 7f090005 01030109 00030103 ................ 17440: 01090006 01036109 00020103 22090008 ......a....."... 17450: 01030809 00030103 03090005 01031b09 ................ 17460: 00030103 03090005 01030009 00030103 ................ 17470: 03090003 01030409 000e0103 00090003 ................ 17480: 01030209 001b0103 07090002 01037f09 ................ 17490: 00020103 7f090002 01037f09 00020103 ................ 174a0: 7f090002 01037f09 00020103 7d090002 ............}... 174b0: 01030209 00020103 08090005 01037f09 ................ 174c0: 00080103 02090002 01030709 00020103 ................ 174d0: 08090005 01030009 00030103 01090005 ................ 174e0: 01030109 000b0103 05090005 01030009 ................ 174f0: 00030103 01090003 01030409 001a0103 ................ 17500: 06090003 01030509 00030103 7b090003 ............{... 17510: 01030509 00030103 05090003 01030209 ................ 17520: 00040103 01090003 01030709 00030103 ................ 17530: 79090004 01030709 00030103 0d090006 y............... 17540: 01037809 00090103 1f090009 01037209 ..x...........r. 17550: 00020103 03090005 01030209 00020103 ................ 17560: 7e090005 01030209 00040103 06090006 ~............... 17570: 01030309 000c0103 04090004 01030909 ................ 17580: 00030103 7e090002 01037a09 00060103 ....~.....z..... 17590: 06090003 01037f09 00060103 03090004 ................ 175a0: 01030409 00050103 0209000a 01030309 ................ 175b0: 00090103 7e090008 01030209 00080103 ....~........... 175c0: 02090005 01030209 00050103 03090003 ................ 175d0: 01030309 00050103 31090006 01034709 ........1.....G. 175e0: 00020103 c0000900 0801037c 09000201 ...........|.... 175f0: 03040900 03010301 09000a01 035c0900 .............\.. 17600: 02010373 09000601 03030900 0301030a ...s............ 17610: 09000201 03760900 0201037d 09000201 .....v.....}.... 17620: 030d0900 03010303 09000501 03030900 ................ 17630: 03010302 09000501 03020900 06010302 ................ 17640: 09000601 037e0900 06010304 09000601 .....~.......... 17650: 037e0900 06010302 09000201 03020900 .~.............. 17660: 12010301 09000f01 03050900 0f01037e ...............~ 17670: 09000301 03020900 0501037e 09000201 ...........~.... 17680: 03020900 06010311 09000601 03060900 ................ 17690: 03010305 09000701 03020900 0a010302 ................ 176a0: 09000901 03020900 0a010302 09000601 ................ 176b0: 03010900 0b010306 09000501 03030900 ................ 176c0: 0901037d 09000201 03070900 0501037a ...}...........z 176d0: 09000601 03060900 03010305 09000601 ................ 176e0: 03010900 0c010376 09000201 03010900 .......v........ 176f0: 03010378 09000301 03150900 08010300 ...x............ 17700: 09000301 03070900 02010304 09000301 ................ 17710: 03190900 09010373 09001701 037d0900 .......s.....}.. 17720: 08010310 09000501 03020900 0201037e ...............~ 17730: 09000301 03020900 05010302 09000301 ................ 17740: 03040900 0f010303 09000701 03050900 ................ 17750: 16010301 09000301 037f0900 03010302 ................ 17760: 09000801 03030900 03010367 09000201 ...........g.... 17770: 031d0900 07010305 09000301 03170900 ................ 17780: 05010303 09000601 03040900 0f010303 ................ 17790: 09000701 03050900 0601037e 09000401 ...........~.... 177a0: 03020900 0201037e 09000201 03020900 .......~........ 177b0: 06010302 09000501 03030900 03010305 ................ 177c0: 09000301 03010900 05010305 09000301 ................ 177d0: 03050900 07010301 09001301 033c0900 .............<.. 177e0: 03010302 09000301 03100900 03010370 ...............p 177f0: 09000301 03100900 03010370 09000301 ...........p.... 17800: 03100900 0701037d 09000601 03050900 .......}........ 17810: 06010302 09000301 03760900 02010301 .........v...... 17820: 09000301 030c0900 06010302 09000301 ................ 17830: 03040900 05010315 09000301 03040900 ................ 17840: 0e01030d 09000401 03730900 02010302 .........s...... 17850: 09000301 030b0900 03010373 09000501 ...........s.... 17860: 03080900 06010378 09000901 030d0900 .......x........ 17870: 0501037b 09000501 03050900 08010301 ...{............ 17880: 09000501 03050900 07010302 09000501 ................ 17890: 037a0900 0701037f 09000201 03070900 .z.............. 178a0: 03010303 09000301 03c40009 00080103 ................ 178b0: 40090002 01030309 000a0103 03090009 @............... 178c0: 01037f09 00030103 01090006 01037f09 ................ 178d0: 00030103 01090005 01030109 00030103 ................ 178e0: 03090009 01030809 00110103 03090006 ................ 178f0: 01030209 00060103 04090010 01037f09 ................ 17900: 00040103 0109000b 01030509 00030103 ................ 17910: 18090007 01030709 000f0103 0109000b ................ 17920: 01090002 00010100 0011cb00 0200000d ................ 17930: 5b0101fb 0e0a0001 01010100 0000012f [............../ 17940: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 17950: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 17960: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 17970: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 17980: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 17990: 67706965 5f315f31 2f726f6d 2f646d61 gpie_1_1/rom/dma 179a0: 5f656e67 696e652f 73726300 2f726f6f _engine/src./roo 179b0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 179c0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 179d0: 6d616770 69655f31 5f312f69 6e63002f magpie_1_1/inc./ 179e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 179f0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 17a00: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 17a10: 632f6d61 67706965 002f726f 6f742f57 c/magpie./root/W 17a20: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 17a30: 30322f74 67742f74 61726765 742f696e 02/tgt/target/in 17a40: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 17a50: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 17a60: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 17a70: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ 17a80: 73726300 2f726f6f 742f576f 726b7370 src./root/Worksp 17a90: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 17aa0: 742f7461 72676574 2f696e63 2f4f5455 t/target/inc/OTU 17ab0: 53002f72 6f6f742f 576f726b 73706163 S./root/Workspac 17ac0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 17ad0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 17ae0: 2f726f6d 2f646d61 5f656e67 696e652f /rom/dma_engine/ 17af0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 17b00: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 17b10: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 17b20: 5f312f72 6f6d2f76 6275662f 696e6300 _1/rom/vbuf/inc. 17b30: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 17b40: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 17b50: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 17b60: 6f6d2f76 64657363 2f696e63 002f726f om/vdesc/inc./ro 17b70: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 17b80: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 17b90: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 17ba0: 636d6e6f 732f696e 63002f6f 70742f78 cmnos/inc./opt/x 17bb0: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 17bc0: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 17bd0: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 17be0: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 17bf0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 17c00: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt 17c10: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 17c20: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 17c30: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 17c40: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 17c50: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 17c60: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten 17c70: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 17c80: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 17c90: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 17ca0: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 17cb0: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x 17cc0: 74656e73 612f636f 6e666967 002f726f tensa/config./ro 17cd0: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 17ce0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 17cf0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 17d00: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc 17d10: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 17d20: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 17d30: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 17d40: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i 17d50: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 17d60: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 17d70: 2f746172 6765742f 636d6e6f 732f6462 /target/cmnos/db 17d80: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work 17d90: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 17da0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 17db0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 17dc0: 656d2f69 6e63002f 726f6f74 2f576f72 em/inc./root/Wor 17dd0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 17de0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 17df0: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 17e00: 6d697363 2f696e63 002f726f 6f742f57 misc/inc./root/W 17e10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 17e20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 17e30: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 17e40: 732f7374 72696e67 2f696e63 002f726f s/string/inc./ro 17e50: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 17e60: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 17e70: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 17e80: 636d6e6f 732f7469 6d65722f 696e6300 cmnos/timer/inc. 17e90: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 17ea0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 17eb0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 17ec0: 6f6d2f63 6d6e6f73 2f726f6d 70617463 om/cmnos/rompatc 17ed0: 682f696e 63002f72 6f6f742f 576f726b h/inc./root/Work 17ee0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 17ef0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 17f00: 5f315f31 2f726f6d 2f636d6e 6f732f61 _1_1/rom/cmnos/a 17f10: 6c6c6f63 72616d2f 696e6300 2f726f6f llocram/inc./roo 17f20: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 17f30: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 17f40: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 17f50: 6d6e6f73 2f746173 6b6c6574 2f696e63 mnos/tasklet/inc 17f60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 17f70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 17f80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 17f90: 726f6d2f 636d6e6f 732f636c 6f636b2f rom/cmnos/clock/ 17fa0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 17fb0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 17fc0: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 17fd0: 5f312f72 6f6d2f63 6d6e6f73 2f696e74 _1/rom/cmnos/int 17fe0: 722f696e 63002f72 6f6f742f 576f726b r/inc./root/Work 17ff0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 18000: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 18010: 5f315f31 2f726f6d 2f636d6e 6f732f77 _1_1/rom/cmnos/w 18020: 64742f69 6e63002f 726f6f74 2f576f72 dt/inc./root/Wor 18030: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 18040: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 18050: 655f315f 312f726f 6d2f636d 6e6f732f e_1_1/rom/cmnos/ 18060: 65657072 6f6d2f69 6e63002f 726f6f74 eeprom/inc./root 18070: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 18080: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 18090: 61677069 655f315f 312f726f 6d2f6869 agpie_1_1/rom/hi 180a0: 662f696e 63002f72 6f6f742f 576f726b f/inc./root/Work 180b0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 180c0: 7467742f 6164662f 696e636c 7564652f tgt/adf/include/ 180d0: 6e627566 002f726f 6f742f57 6f726b73 nbuf./root/Works 180e0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 180f0: 67742f61 64662f69 6e636c75 64652f6f gt/adf/include/o 18100: 73002f72 6f6f742f 576f726b 73706163 s./root/Workspac 18110: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 18120: 74617267 65742f61 64662f6f 732f696e target/adf/os/in 18130: 63002f6f 70742f78 74656e73 612f5874 c./opt/xtensa/Xt 18140: 44657654 6f6f6c73 2f696e73 74616c6c DevTools/install 18150: 2f746f6f 6c732f52 422d3230 30372e32 /tools/RB-2007.2 18160: 2d6c696e 75782f58 74656e73 61546f6f -linux/XtensaToo 18170: 6c732f6c 69622f78 63632d6c 69622f69 ls/lib/xcc-lib/i 18180: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 18190: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 181a0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 181b0: 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works 181c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 181d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 181e0: 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf 181f0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 18200: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 18210: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 18220: 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. 18230: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 18240: 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i 18250: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 18260: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 18270: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 18280: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po 18290: 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor 182a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 182b0: 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ 182c0: 696e6300 00646d61 5f656e67 696e652e inc..dma_engine. 182d0: 63000100 00737973 5f636667 2e680002 c....sys_cfg.h.. 182e0: 0000726f 6d5f6366 672e6800 0300006d ..rom_cfg.h....m 182f0: 61677069 655f6d65 6d2e6800 02000064 agpie_mem.h....d 18300: 745f6465 66732e68 00040000 7265675f t_defs.h....reg_ 18310: 64656673 2e680003 00006465 73632e68 defs.h....desc.h 18320: 00050000 6f736170 692e6800 0400004f ....osapi.h....O 18330: 5455535f 736f632e 68000600 00646d61 TUS_soc.h....dma 18340: 5f656e67 696e655f 6170692e 68000700 _engine_api.h... 18350: 00766275 665f6170 692e6800 08000076 .vbuf_api.h....v 18360: 64657363 5f617069 2e680009 00004d61 desc_api.h....Ma 18370: 67706965 5f617069 2e680002 0000636d gpie_api.h....cm 18380: 6e6f735f 6170692e 68000a00 00636f72 nos_api.h....cor 18390: 652e6800 0b000068 616c2e68 000c0000 e.h....hal.h.... 183a0: 636f7265 2d697361 2e68000d 0000636f core-isa.h....co 183b0: 72652d6d 61746d61 702e6800 0d000074 re-matmap.h....t 183c0: 69652e68 000d0000 78747275 6e74696d ie.h....xtruntim 183d0: 652e6800 0c000073 70656372 65672e68 e.h....specreg.h 183e0: 000d0000 636f7265 62697473 2e68000c ....corebits.h.. 183f0: 00007072 696e7466 5f617069 2e68000e ..printf_api.h.. 18400: 00007561 72745f61 70692e68 000f0000 ..uart_api.h.... 18410: 6462675f 6170692e 68001000 006d656d dbg_api.h....mem 18420: 5f617069 2e680011 00006d69 73635f61 _api.h....misc_a 18430: 70692e68 00120000 73747269 6e675f61 pi.h....string_a 18440: 70692e68 00130000 74696d65 725f6170 pi.h....timer_ap 18450: 692e6800 14000072 6f6d705f 6170692e i.h....romp_api. 18460: 68001500 00616c6c 6f637261 6d5f6170 h....allocram_ap 18470: 692e6800 16000074 61736b6c 65745f61 i.h....tasklet_a 18480: 70692e68 00170000 636c6f63 6b5f6170 pi.h....clock_ap 18490: 692e6800 18000069 6e74725f 6170692e i.h....intr_api. 184a0: 68001900 00776474 5f617069 2e68001a h....wdt_api.h.. 184b0: 00006565 70726f6d 5f617069 2e68001b ..eeprom_api.h.. 184c0: 00007573 625f6170 692e6800 1c000068 ..usb_api.h....h 184d0: 69665f70 63692e68 001c0000 6869665f if_pci.h....hif_ 184e0: 6170692e 68001c00 00616466 5f6e6275 api.h....adf_nbu 184f0: 662e6800 1d000061 64665f6f 735f7574 f.h....adf_os_ut 18500: 696c2e68 001e0000 6164665f 6f735f75 il.h....adf_os_u 18510: 74696c5f 7076742e 68001f00 00616466 til_pvt.h....adf 18520: 5f6f735f 74797065 732e6800 1e000061 _os_types.h....a 18530: 64665f6f 735f7374 64747970 65732e68 df_os_stdtypes.h 18540: 001e0000 6164665f 6f735f74 79706573 ....adf_os_types 18550: 5f707674 2e68001f 00007374 64646566 _pvt.h....stddef 18560: 2e680020 00007374 64617267 2e680004 .h. ..stdarg.h.. 18570: 00007661 2d787465 6e73612e 68002000 ..va-xtensa.h. . 18580: 00616466 5f6f735f 646d612e 68001e00 .adf_os_dma.h... 18590: 00616466 5f6f735f 646d615f 7076742e .adf_os_dma_pvt. 185a0: 68001f00 00616466 5f6e6574 5f747970 h....adf_net_typ 185b0: 65732e68 00210000 6164665f 6e627566 es.h.!..adf_nbuf 185c0: 5f707674 2e680022 0000646d 615f6c69 _pvt.h."..dma_li 185d0: 622e6800 1c000068 69665f67 6d61632e b.h....hif_gmac. 185e0: 68001c00 00757362 6669666f 5f617069 h....usbfifo_api 185f0: 2e68001c 00006874 635f6170 692e6800 .h....htc_api.h. 18600: 23000068 74632e68 00240000 6275665f #..htc.h.$..buf_ 18610: 706f6f6c 5f617069 2e680025 0000776d pool_api.h.%..wm 18620: 695f7376 635f6170 692e6800 26000077 i_svc_api.h.&..w 18630: 6d692e68 00240000 61746864 6566732e mi.h.$..athdefs. 18640: 68002400 00616466 5f6f735f 6d656d2e h.$..adf_os_mem. 18650: 68001e00 00616466 5f6f735f 6d656d5f h....adf_os_mem_ 18660: 7076742e 68001f00 00616466 5f6f735f pvt.h....adf_os_ 18670: 696f2e68 001e0000 6164665f 6f735f69 io.h....adf_os_i 18680: 6f5f7076 742e6800 1f000000 00050200 o_pvt.h......... 18690: 8e591c03 31010302 09000301 03030900 .Y..1........... 186a0: 05010300 09000301 03040900 03010303 ................ 186b0: 09000801 036a0900 02010301 09000601 .....j.......... 186c0: 03010900 06010301 09000601 03010900 ................ 186d0: 06010301 09000501 03010900 05010312 ................ 186e0: 09000501 03020900 07010303 09000201 ................ 186f0: 03010900 03010301 09000501 03010900 ................ 18700: 04010301 09000201 03050900 02010301 ................ 18710: 09000301 03020900 09010303 09000501 ................ 18720: 03040900 0801037c 09000301 03010900 .......|........ 18730: 03010304 09000901 03020900 02010301 ................ 18740: 09001d01 03010900 02010305 09000301 ................ 18750: 03000900 03010304 09000201 03010900 ................ 18760: 08010301 09000801 03030900 03010300 ................ 18770: 09000301 03070900 03010301 09000701 ................ 18780: 03b57f09 00080103 01090006 01030109 ................ 18790: 00060103 01090006 01030109 00060103 ................ 187a0: 01090005 01030109 00050103 c8000900 ................ 187b0: 05010301 09000301 03010900 07010303 ................ 187c0: 09000b01 03030900 05010304 09000501 ................ 187d0: 037c0900 02010374 09000201 03140900 .|.....t........ 187e0: 0801037f 09000401 03010900 0301037f ................ 187f0: 09000301 03010900 02010302 09000301 ................ 18800: 03070900 02010300 09000301 03050900 ................ 18810: 06010302 09000c01 03020900 0a01043f ...............? 18820: 03f27e09 00030104 01039001 09000a01 ..~............. 18830: 03010900 0201030f 09000301 03720900 .............r.. 18840: 02010301 09000401 03010900 03010301 ................ 18850: 09000301 03080900 0501036d 09000401 ...........m.... 18860: 03180900 0a010301 09000501 03030900 ................ 18870: 03010309 09000301 03770900 0201030a .........w...... 18880: 09000201 03020900 0a0103ec 7e090003 ............~... 18890: 01030109 00060103 01090006 01030109 ................ 188a0: 00060103 01090006 01030109 00050103 ................ 188b0: 01090005 01039101 09000501 03010900 ................ 188c0: 0601037f 09000201 03010900 03010301 ................ 188d0: 09000a01 03010900 06010307 09000801 ................ 188e0: 03020900 02010303 09000f01 03040900 ................ 188f0: 0c010304 09000501 037c0900 02010368 .........|.....h 18900: 09000201 031f0900 03010301 09001201 ................ 18910: 03020900 0301037e 09000401 03040900 .......~........ 18920: 0601037f 09000201 03020900 02010303 ................ 18930: 09000501 03010900 02010303 09000501 ................ 18940: 03010900 06010303 09000401 03010900 ................ 18950: 03010303 09000501 03040900 03010304 ................ 18960: 09001501 037c0900 02010304 09000201 .....|.......... 18970: 03040900 04010300 09000301 03060900 ................ 18980: 05010303 09000a01 03060900 05010301 ................ 18990: 09000a01 03020900 03010301 09000501 ................ 189a0: 03040900 07010300 09000301 03040900 ................ 189b0: 03010303 09000c01 03040900 05010301 ................ 189c0: 09000201 037f0900 02010301 09000201 ................ 189d0: 03010900 03010301 09000201 03020900 ................ 189e0: 05010303 09000401 03010900 02010301 ................ 189f0: 09000501 03040900 06010304 09000301 ................ 18a00: 03030900 0b010305 09000501 03120900 ................ 18a10: 0b010301 09000901 03750900 02010303 .........u...... 18a20: 09001901 03020900 0b010303 09000901 ................ 18a30: 03080900 0a010300 09000301 03060900 ................ 18a40: 1b010304 09000201 037f0900 0201037f ................ 18a50: 09000201 037d0900 02010302 09000201 .....}.......... 18a60: 037d0900 0201037f 09000201 037f0900 .}.............. 18a70: 0201030d 09000501 037f0900 11010302 ................ 18a80: 09000201 037d0900 0201037f 09000201 .....}.......... 18a90: 037f0900 02010309 09000201 03030900 ................ 18aa0: 02010306 09000301 03010900 05010302 ................ 18ab0: 09000b01 03010900 02010303 09000301 ................ 18ac0: 037d0900 03010306 09000b01 03040900 .}.............. 18ad0: 04010305 09000901 03700900 05010314 .........p...... 18ae0: 09000301 03780900 02010308 09000801 .....x.......... 18af0: 09000200 01010000 0fad0002 00000e70 ...............p 18b00: 0101fb0e 0a000101 01010000 00012f72 ............../r 18b10: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 18b20: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 18b30: 642f6d61 67706965 5f315f31 2f696d61 d/magpie_1_1/ima 18b40: 67652f6d 61677069 652f2e2e 2f2e2e2f ge/magpie/../../ 18b50: 2e2e2f2e 2e2f2f62 75696c64 2f6d6167 ../..//build/mag 18b60: 7069655f 315f312f 726f6d2f 646d615f pie_1_1/rom/dma_ 18b70: 656e6769 6e652f73 7263002f 726f6f74 engine/src./root 18b80: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 18b90: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 18ba0: 696e6300 2f6f7074 2f787465 6e73612f inc./opt/xtensa/ 18bb0: 58744465 76546f6f 6c732f69 6e737461 XtDevTools/insta 18bc0: 6c6c2f62 75696c64 732f5242 2d323030 ll/builds/RB-200 18bd0: 372e322d 6c696e75 782f4d61 67706965 7.2-linux/Magpie 18be0: 5f50302f 7874656e 73612d65 6c662f69 _P0/xtensa-elf/i 18bf0: 6e636c75 6465002f 6f70742f 7874656e nclude./opt/xten 18c00: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 18c10: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 18c20: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 18c30: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 18c40: 662f696e 636c7564 652f7379 73002f6f f/include/sys./o 18c50: 70742f78 74656e73 612f5874 44657654 pt/xtensa/XtDevT 18c60: 6f6f6c73 2f696e73 74616c6c 2f627569 ools/install/bui 18c70: 6c64732f 52422d32 3030372e 322d6c69 lds/RB-2007.2-li 18c80: 6e75782f 4d616770 69655f50 302f7874 nux/Magpie_P0/xt 18c90: 656e7361 2d656c66 2f696e63 6c756465 ensa-elf/include 18ca0: 2f6d6163 68696e65 002f6f70 742f7874 /machine./opt/xt 18cb0: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 18cc0: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 18cd0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 18ce0: 656e7361 546f6f6c 732f6c69 622f7863 ensaTools/lib/xc 18cf0: 632d6c69 622f696e 636c7564 65002f72 c-lib/include./r 18d00: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 18d10: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 18d20: 642f6d61 67706965 5f315f31 2f696e63 d/magpie_1_1/inc 18d30: 2f6d6167 70696500 2f726f6f 742f576f /magpie./root/Wo 18d40: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 18d50: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 18d60: 2f4f5455 53002f72 6f6f742f 576f726b /OTUS./root/Work 18d70: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 18d80: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 18d90: 5f315f31 2f696e63 002f726f 6f742f57 _1_1/inc./root/W 18da0: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 18db0: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 18dc0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 18dd0: 732f696e 63002f6f 70742f78 74656e73 s/inc./opt/xtens 18de0: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 18df0: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 18e00: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 18e10: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 18e20: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 18e30: 2f636f6e 66696700 2f6f7074 2f787465 /config./opt/xte 18e40: 6e73612f 58744465 76546f6f 6c732f69 nsa/XtDevTools/i 18e50: 6e737461 6c6c2f74 6f6f6c73 2f52422d nstall/tools/RB- 18e60: 32303037 2e322d6c 696e7578 2f587465 2007.2-linux/Xte 18e70: 6e736154 6f6f6c73 2f787465 6e73612d nsaTools/xtensa- 18e80: 656c662f 696e636c 7564652f 7874656e elf/include/xten 18e90: 7361002f 6f70742f 7874656e 73612f58 sa./opt/xtensa/X 18ea0: 74446576 546f6f6c 732f696e 7374616c tDevTools/instal 18eb0: 6c2f6275 696c6473 2f52422d 32303037 l/builds/RB-2007 18ec0: 2e322d6c 696e7578 2f4d6167 7069655f .2-linux/Magpie_ 18ed0: 50302f78 74656e73 612d656c 662f6172 P0/xtensa-elf/ar 18ee0: 63682f69 6e636c75 64652f78 74656e73 ch/include/xtens 18ef0: 612f636f 6e666967 002f726f 6f742f57 a/config./root/W 18f00: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 18f10: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 18f20: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 18f30: 732f7072 696e7466 2f696e63 002f726f s/printf/inc./ro 18f40: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 18f50: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 18f60: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 18f70: 636d6e6f 732f7561 72742f69 6e63002f cmnos/uart/inc./ 18f80: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 18f90: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 18fa0: 6765742f 636d6e6f 732f6462 672f696e get/cmnos/dbg/in 18fb0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 18fc0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 18fd0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 18fe0: 2f726f6d 2f636d6e 6f732f6d 656d2f69 /rom/cmnos/mem/i 18ff0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 19000: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 19010: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 19020: 312f726f 6d2f636d 6e6f732f 6d697363 1/rom/cmnos/misc 19030: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 19040: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19050: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19060: 315f312f 726f6d2f 636d6e6f 732f7374 1_1/rom/cmnos/st 19070: 72696e67 2f696e63 002f726f 6f742f57 ring/inc./root/W 19080: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 19090: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 190a0: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 190b0: 732f7469 6d65722f 696e6300 2f726f6f s/timer/inc./roo 190c0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 190d0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 190e0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 190f0: 6d6e6f73 2f726f6d 70617463 682f696e mnos/rompatch/in 19100: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 19110: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19120: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 19130: 2f726f6d 2f636d6e 6f732f61 6c6c6f63 /rom/cmnos/alloc 19140: 72616d2f 696e6300 2f726f6f 742f576f ram/inc./root/Wo 19150: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 19160: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 19170: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 19180: 2f746173 6b6c6574 2f696e63 002f726f /tasklet/inc./ro 19190: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 191a0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 191b0: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 191c0: 636d6e6f 732f636c 6f636b2f 696e6300 cmnos/clock/inc. 191d0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 191e0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 191f0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 19200: 6f6d2f63 6d6e6f73 2f696e74 722f696e om/cmnos/intr/in 19210: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 19220: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19230: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 19240: 2f726f6d 2f636d6e 6f732f77 64742f69 /rom/cmnos/wdt/i 19250: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 19260: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 19270: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 19280: 312f726f 6d2f636d 6e6f732f 65657072 1/rom/cmnos/eepr 19290: 6f6d2f69 6e63002f 726f6f74 2f576f72 om/inc./root/Wor 192a0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 192b0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 192c0: 655f315f 312f726f 6d2f6869 662f696e e_1_1/rom/hif/in 192d0: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 192e0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 192f0: 6164662f 696e636c 7564652f 6e627566 adf/include/nbuf 19300: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 19310: 2f303431 325f7632 30322f74 67742f61 /0412_v202/tgt/a 19320: 64662f69 6e636c75 64652f6f 73002f72 df/include/os./r 19330: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 19340: 31325f76 3230322f 7467742f 74617267 12_v202/tgt/targ 19350: 65742f61 64662f6f 732f696e 63002f72 et/adf/os/inc./r 19360: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 19370: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 19380: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 19390: 2f766275 662f696e 63002f72 6f6f742f /vbuf/inc./root/ 193a0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 193b0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 193c0: 67706965 5f315f31 2f726f6d 2f766465 gpie_1_1/rom/vde 193d0: 73632f69 6e63002f 726f6f74 2f576f72 sc/inc./root/Wor 193e0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 193f0: 2f746774 2f616466 2f696e63 6c756465 /tgt/adf/include 19400: 2f6e6574 002f726f 6f742f57 6f726b73 /net./root/Works 19410: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19420: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19430: 315f312f 726f6d2f 6164662f 6e627566 1_1/rom/adf/nbuf 19440: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 19450: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19460: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19470: 315f312f 726f6d2f 6874632f 696e6300 1_1/rom/htc/inc. 19480: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19490: 30343132 5f763230 322f776c 616e2f69 0412_v202/wlan/i 194a0: 6e636c75 6465002f 726f6f74 2f576f72 nclude./root/Wor 194b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 194c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 194d0: 655f315f 312f726f 6d2f6275 665f706f e_1_1/rom/buf_po 194e0: 6f6c2f69 6e63002f 726f6f74 2f576f72 ol/inc./root/Wor 194f0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 19500: 2f746774 2f746172 6765742f 776d692f /tgt/target/wmi/ 19510: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 19520: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 19530: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 19540: 5f312f72 6f6d2f64 6d615f65 6e67696e _1/rom/dma_engin 19550: 652f696e 63002f72 6f6f742f 576f726b e/inc./root/Work 19560: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 19570: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 19580: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng 19590: 696e652f 73726300 00646573 632e6300 ine/src..desc.c. 195a0: 01000064 745f6465 66732e68 00020000 ...dt_defs.h.... 195b0: 73747269 6e672e68 00030000 5f616e73 string.h...._ans 195c0: 692e6800 0300006e 65776c69 622e6800 i.h....newlib.h. 195d0: 03000063 6f6e6669 672e6800 04000069 ...config.h....i 195e0: 65656566 702e6800 05000072 65656e74 eeefp.h....reent 195f0: 2e680004 00005f74 79706573 2e680004 .h...._types.h.. 19600: 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd 19610: 65662e68 00060000 7265675f 64656673 ef.h....reg_defs 19620: 2e680007 00006f73 6170692e 68000200 .h....osapi.h... 19630: 004f5455 535f736f 632e6800 0800004d .OTUS_soc.h....M 19640: 61677069 655f6170 692e6800 09000063 agpie_api.h....c 19650: 6d6e6f73 5f617069 2e68000a 00007379 mnos_api.h....sy 19660: 735f6366 672e6800 09000072 6f6d5f63 s_cfg.h....rom_c 19670: 66672e68 00070000 6d616770 69655f6d fg.h....magpie_m 19680: 656d2e68 00090000 636f7265 2e68000b em.h....core.h.. 19690: 00006861 6c2e6800 0c000063 6f72652d ..hal.h....core- 196a0: 6973612e 68000d00 00636f72 652d6d61 isa.h....core-ma 196b0: 746d6170 2e68000d 00007469 652e6800 tmap.h....tie.h. 196c0: 0d000078 7472756e 74696d65 2e68000c ...xtruntime.h.. 196d0: 00007370 65637265 672e6800 0d000063 ..specreg.h....c 196e0: 6f726562 6974732e 68000c00 00707269 orebits.h....pri 196f0: 6e74665f 6170692e 68000e00 00756172 ntf_api.h....uar 19700: 745f6170 692e6800 0f000064 62675f61 t_api.h....dbg_a 19710: 70692e68 00100000 6d656d5f 6170692e pi.h....mem_api. 19720: 68001100 006d6973 635f6170 692e6800 h....misc_api.h. 19730: 12000073 7472696e 675f6170 692e6800 ...string_api.h. 19740: 13000074 696d6572 5f617069 2e680014 ...timer_api.h.. 19750: 0000726f 6d705f61 70692e68 00150000 ..romp_api.h.... 19760: 616c6c6f 6372616d 5f617069 2e680016 allocram_api.h.. 19770: 00007461 736b6c65 745f6170 692e6800 ..tasklet_api.h. 19780: 17000063 6c6f636b 5f617069 2e680018 ...clock_api.h.. 19790: 0000696e 74725f61 70692e68 00190000 ..intr_api.h.... 197a0: 7764745f 6170692e 68001a00 00656570 wdt_api.h....eep 197b0: 726f6d5f 6170692e 68001b00 00757362 rom_api.h....usb 197c0: 5f617069 2e68001c 00006869 665f7063 _api.h....hif_pc 197d0: 692e6800 1c000068 69665f61 70692e68 i.h....hif_api.h 197e0: 001c0000 6164665f 6e627566 2e68001d ....adf_nbuf.h.. 197f0: 00006164 665f6f73 5f757469 6c2e6800 ..adf_os_util.h. 19800: 1e000061 64665f6f 735f7574 696c5f70 ...adf_os_util_p 19810: 76742e68 001f0000 6164665f 6f735f74 vt.h....adf_os_t 19820: 79706573 2e68001e 00006164 665f6f73 ypes.h....adf_os 19830: 5f737464 74797065 732e6800 1e000061 _stdtypes.h....a 19840: 64665f6f 735f7479 7065735f 7076742e df_os_types_pvt. 19850: 68001f00 00766275 665f6170 692e6800 h....vbuf_api.h. 19860: 20000076 64657363 5f617069 2e680021 ..vdesc_api.h.! 19870: 00007374 64617267 2e680002 00007661 ..stdarg.h....va 19880: 2d787465 6e73612e 68000600 00616466 -xtensa.h....adf 19890: 5f6f735f 646d612e 68001e00 00616466 _os_dma.h....adf 198a0: 5f6f735f 646d615f 7076742e 68001f00 _os_dma_pvt.h... 198b0: 00616466 5f6e6574 5f747970 65732e68 .adf_net_types.h 198c0: 00220000 6164665f 6e627566 5f707674 ."..adf_nbuf_pvt 198d0: 2e680023 0000646d 615f6c69 622e6800 .h.#..dma_lib.h. 198e0: 1c000068 69665f67 6d61632e 68001c00 ...hif_gmac.h... 198f0: 00757362 6669666f 5f617069 2e68001c .usbfifo_api.h.. 19900: 00006874 635f6170 692e6800 24000068 ..htc_api.h.$..h 19910: 74632e68 00250000 6275665f 706f6f6c tc.h.%..buf_pool 19920: 5f617069 2e680026 0000776d 695f7376 _api.h.&..wmi_sv 19930: 635f6170 692e6800 27000077 6d692e68 c_api.h.'..wmi.h 19940: 00250000 61746864 6566732e 68002500 .%..athdefs.h.%. 19950: 00646d61 5f656e67 696e655f 6170692e .dma_engine_api. 19960: 68002800 00646573 632e6800 29000000 h.(..desc.h.)... 19970: 00050200 8e5cf003 3a010300 09000301 .....\..:....... 19980: 03030900 02010301 09000701 030d0900 ................ 19990: 02010376 09000201 03080900 17010302 ...v............ 199a0: 09000201 037e0900 02010302 09000c01 .....~.......... 199b0: 03190900 05010309 09000301 03030900 ................ 199c0: 06010301 09000601 03010900 0601030a ................ 199d0: 09000601 03080900 0b010304 09000801 ................ 199e0: 037c0900 08010304 09000201 03030900 .|.............. 199f0: 06010303 09000f01 03050900 0c01037b ...............{ 19a00: 09000201 03050900 03010303 09000c01 ................ 19a10: 03040900 0b010303 09001101 03010900 ................ 19a20: 02010362 09000201 036e0900 050103c8 ...b.....n...... 19a30: 00090005 01030909 00030103 04090007 ................ 19a40: 01030309 00120103 08090008 01030309 ................ 19a50: 000a0103 02090008 01030409 000a0103 ................ 19a60: 0509000b 01030309 000f0103 0509000c ................ 19a70: 01037b09 00020103 05090003 01030209 ..{............. 19a80: 000c0103 0109000b 01030209 00110103 ................ 19a90: 01090002 01036009 00020103 76090005 ......`.....v... 19aa0: 01090003 00010100 00114a00 0200000c ..........J..... 19ab0: f80101fb 0e0a0001 01010100 0000012f .............../ 19ac0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 19ad0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 19ae0: 6c642f6d 61677069 655f315f 312f696d ld/magpie_1_1/im 19af0: 6167652f 6d616770 69652f2e 2e2f2e2e age/magpie/../.. 19b00: 2f2e2e2f 2e2e2f2f 6275696c 642f6d61 /../..//build/ma 19b10: 67706965 5f315f31 2f726f6d 2f667764 gpie_1_1/rom/fwd 19b20: 2f002f72 6f6f742f 576f726b 73706163 /./root/Workspac 19b30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19b40: 74617267 65742f69 6e632f4f 54555300 target/inc/OTUS. 19b50: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19b60: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 19b70: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 19b80: 6f6d2f63 6d6e6f73 2f696e63 002f726f om/cmnos/inc./ro 19b90: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 19ba0: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 19bb0: 2f6d6167 7069655f 315f312f 696e6300 /magpie_1_1/inc. 19bc0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19bd0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 19be0: 696c642f 6d616770 69655f31 5f312f69 ild/magpie_1_1/i 19bf0: 6e632f6d 61677069 65002f6f 70742f78 nc/magpie./opt/x 19c00: 74656e73 612f5874 44657654 6f6f6c73 tensa/XtDevTools 19c10: 2f696e73 74616c6c 2f746f6f 6c732f52 /install/tools/R 19c20: 422d3230 30372e32 2d6c696e 75782f58 B-2007.2-linux/X 19c30: 74656e73 61546f6f 6c732f78 74656e73 tensaTools/xtens 19c40: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 19c50: 656e7361 2f636f6e 66696700 2f6f7074 ensa/config./opt 19c60: 2f787465 6e73612f 58744465 76546f6f /xtensa/XtDevToo 19c70: 6c732f69 6e737461 6c6c2f74 6f6f6c73 ls/install/tools 19c80: 2f52422d 32303037 2e322d6c 696e7578 /RB-2007.2-linux 19c90: 2f587465 6e736154 6f6f6c73 2f787465 /XtensaTools/xte 19ca0: 6e73612d 656c662f 696e636c 7564652f nsa-elf/include/ 19cb0: 7874656e 7361002f 6f70742f 7874656e xtensa./opt/xten 19cc0: 73612f58 74446576 546f6f6c 732f696e sa/XtDevTools/in 19cd0: 7374616c 6c2f6275 696c6473 2f52422d stall/builds/RB- 19ce0: 32303037 2e322d6c 696e7578 2f4d6167 2007.2-linux/Mag 19cf0: 7069655f 50302f78 74656e73 612d656c pie_P0/xtensa-el 19d00: 662f6172 63682f69 6e636c75 64652f78 f/arch/include/x 19d10: 74656e73 612f636f 6e666967 002f726f tensa/config./ro 19d20: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 19d30: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 19d40: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 19d50: 636d6e6f 732f7072 696e7466 2f696e63 cmnos/printf/inc 19d60: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 19d70: 2f303431 325f7632 30322f74 67742f62 /0412_v202/tgt/b 19d80: 75696c64 2f6d6167 7069655f 315f312f uild/magpie_1_1/ 19d90: 726f6d2f 636d6e6f 732f7561 72742f69 rom/cmnos/uart/i 19da0: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 19db0: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 19dc0: 2f746172 6765742f 696e6300 2f726f6f /target/inc./roo 19dd0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 19de0: 5f763230 322f7467 742f7461 72676574 _v202/tgt/target 19df0: 2f636d6e 6f732f64 62672f69 6e63002f /cmnos/dbg/inc./ 19e00: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 19e10: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 19e20: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 19e30: 6d2f636d 6e6f732f 6d656d2f 696e6300 m/cmnos/mem/inc. 19e40: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 19e50: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 19e60: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 19e70: 6f6d2f63 6d6e6f73 2f6d6973 632f696e om/cmnos/misc/in 19e80: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 19e90: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 19ea0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 19eb0: 2f726f6d 2f636d6e 6f732f73 7472696e /rom/cmnos/strin 19ec0: 672f696e 63002f72 6f6f742f 576f726b g/inc./root/Work 19ed0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 19ee0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 19ef0: 5f315f31 2f726f6d 2f636d6e 6f732f74 _1_1/rom/cmnos/t 19f00: 696d6572 2f696e63 002f726f 6f742f57 imer/inc./root/W 19f10: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 19f20: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 19f30: 7069655f 315f312f 726f6d2f 636d6e6f pie_1_1/rom/cmno 19f40: 732f726f 6d706174 63682f69 6e63002f s/rompatch/inc./ 19f50: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 19f60: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 19f70: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 19f80: 6d2f636d 6e6f732f 616c6c6f 6372616d m/cmnos/allocram 19f90: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 19fa0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 19fb0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 19fc0: 315f312f 726f6d2f 636d6e6f 732f7461 1_1/rom/cmnos/ta 19fd0: 736b6c65 742f696e 63002f72 6f6f742f sklet/inc./root/ 19fe0: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 19ff0: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1a000: 67706965 5f315f31 2f726f6d 2f636d6e gpie_1_1/rom/cmn 1a010: 6f732f63 6c6f636b 2f696e63 002f726f os/clock/inc./ro 1a020: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1a030: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1a040: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 1a050: 636d6e6f 732f696e 74722f69 6e63002f cmnos/intr/inc./ 1a060: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1a070: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1a080: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1a090: 6d2f636d 6e6f732f 7764742f 696e6300 m/cmnos/wdt/inc. 1a0a0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1a0b0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1a0c0: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1a0d0: 6f6d2f63 6d6e6f73 2f656570 726f6d2f om/cmnos/eeprom/ 1a0e0: 696e6300 2f726f6f 742f576f 726b7370 inc./root/Worksp 1a0f0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1a100: 742f6275 696c642f 6d616770 69655f31 t/build/magpie_1 1a110: 5f312f72 6f6d2f68 69662f69 6e63002f _1/rom/hif/inc./ 1a120: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1a130: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 1a140: 2f696e63 6c756465 2f6e6275 66002f72 /include/nbuf./r 1a150: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 1a160: 31325f76 3230322f 7467742f 6164662f 12_v202/tgt/adf/ 1a170: 696e636c 7564652f 6f73002f 726f6f74 include/os./root 1a180: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 1a190: 76323032 2f746774 2f746172 6765742f v202/tgt/target/ 1a1a0: 6164662f 6f732f69 6e63002f 6f70742f adf/os/inc./opt/ 1a1b0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 1a1c0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 1a1d0: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 1a1e0: 5874656e 7361546f 6f6c732f 6c69622f XtensaTools/lib/ 1a1f0: 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. 1a200: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1a210: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1a220: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1a230: 6f6d2f76 6275662f 696e6300 2f726f6f om/vbuf/inc./roo 1a240: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1a250: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1a260: 6d616770 69655f31 5f312f72 6f6d2f76 magpie_1_1/rom/v 1a270: 64657363 2f696e63 002f726f 6f742f57 desc/inc./root/W 1a280: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1a290: 30322f74 67742f61 64662f69 6e636c75 02/tgt/adf/inclu 1a2a0: 64652f6e 6574002f 726f6f74 2f576f72 de/net./root/Wor 1a2b0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 1a2c0: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 1a2d0: 655f315f 312f726f 6d2f6164 662f6e62 e_1_1/rom/adf/nb 1a2e0: 75662f69 6e63002f 726f6f74 2f576f72 uf/inc./root/Wor 1a2f0: 6b737061 63652f30 3431325f 76323032 kspace/0412_v202 1a300: 2f746774 2f627569 6c642f6d 61677069 /tgt/build/magpi 1a310: 655f315f 312f726f 6d2f6874 632f696e e_1_1/rom/htc/in 1a320: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1a330: 652f3034 31325f76 3230322f 776c616e e/0412_v202/wlan 1a340: 2f696e63 6c756465 002f726f 6f742f57 /include./root/W 1a350: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1a360: 30322f74 67742f62 75696c64 2f6d6167 02/tgt/build/mag 1a370: 7069655f 315f312f 726f6d2f 6275665f pie_1_1/rom/buf_ 1a380: 706f6f6c 2f696e63 002f726f 6f742f57 pool/inc./root/W 1a390: 6f726b73 70616365 2f303431 325f7632 orkspace/0412_v2 1a3a0: 30322f74 67742f74 61726765 742f776d 02/tgt/target/wm 1a3b0: 692f696e 63002f72 6f6f742f 576f726b i/inc./root/Work 1a3c0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1a3d0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1a3e0: 5f315f31 2f726f6d 2f646d61 5f656e67 _1_1/rom/dma_eng 1a3f0: 696e652f 696e6300 2f726f6f 742f576f ine/inc./root/Wo 1a400: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1a410: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 1a420: 69655f31 5f312f72 6f6d2f66 77640000 ie_1_1/rom/fwd.. 1a430: 6677642e 63000100 004f5455 535f736f fwd.c....OTUS_so 1a440: 632e6800 02000063 6d6e6f73 5f617069 c.h....cmnos_api 1a450: 2e680003 00007379 735f6366 672e6800 .h....sys_cfg.h. 1a460: 04000072 6f6d5f63 66672e68 00050000 ...rom_cfg.h.... 1a470: 6d616770 69655f6d 656d2e68 00040000 magpie_mem.h.... 1a480: 636f7265 2e680006 00006861 6c2e6800 core.h....hal.h. 1a490: 07000063 6f72652d 6973612e 68000800 ...core-isa.h... 1a4a0: 00636f72 652d6d61 746d6170 2e680008 .core-matmap.h.. 1a4b0: 00007469 652e6800 08000078 7472756e ..tie.h....xtrun 1a4c0: 74696d65 2e680007 00007370 65637265 time.h....specre 1a4d0: 672e6800 08000063 6f726562 6974732e g.h....corebits. 1a4e0: 68000700 00707269 6e74665f 6170692e h....printf_api. 1a4f0: 68000900 00756172 745f6170 692e6800 h....uart_api.h. 1a500: 0a000072 65675f64 6566732e 68000500 ...reg_defs.h... 1a510: 0064745f 64656673 2e68000b 00006462 .dt_defs.h....db 1a520: 675f6170 692e6800 0c00006d 656d5f61 g_api.h....mem_a 1a530: 70692e68 000d0000 6d697363 5f617069 pi.h....misc_api 1a540: 2e68000e 00007374 72696e67 5f617069 .h....string_api 1a550: 2e68000f 00007469 6d65725f 6170692e .h....timer_api. 1a560: 68001000 00726f6d 705f6170 692e6800 h....romp_api.h. 1a570: 11000061 6c6c6f63 72616d5f 6170692e ...allocram_api. 1a580: 68001200 00746173 6b6c6574 5f617069 h....tasklet_api 1a590: 2e680013 0000636c 6f636b5f 6170692e .h....clock_api. 1a5a0: 68001400 00696e74 725f6170 692e6800 h....intr_api.h. 1a5b0: 15000077 64745f61 70692e68 00160000 ...wdt_api.h.... 1a5c0: 65657072 6f6d5f61 70692e68 00170000 eeprom_api.h.... 1a5d0: 7573625f 6170692e 68001800 00686966 usb_api.h....hif 1a5e0: 5f706369 2e680018 00006869 665f6170 _pci.h....hif_ap 1a5f0: 692e6800 18000061 64665f6e 6275662e i.h....adf_nbuf. 1a600: 68001900 00616466 5f6f735f 7574696c h....adf_os_util 1a610: 2e68001a 00006164 665f6f73 5f757469 .h....adf_os_uti 1a620: 6c5f7076 742e6800 1b000061 64665f6f l_pvt.h....adf_o 1a630: 735f7479 7065732e 68001a00 00616466 s_types.h....adf 1a640: 5f6f735f 73746474 79706573 2e68001a _os_stdtypes.h.. 1a650: 00006164 665f6f73 5f747970 65735f70 ..adf_os_types_p 1a660: 76742e68 001b0000 73746464 65662e68 vt.h....stddef.h 1a670: 001c0000 6f736170 692e6800 0b000076 ....osapi.h....v 1a680: 6275665f 6170692e 68001d00 00766465 buf_api.h....vde 1a690: 73635f61 70692e68 001e0000 73746461 sc_api.h....stda 1a6a0: 72672e68 000b0000 76612d78 74656e73 rg.h....va-xtens 1a6b0: 612e6800 1c000061 64665f6f 735f646d a.h....adf_os_dm 1a6c0: 612e6800 1a000061 64665f6f 735f646d a.h....adf_os_dm 1a6d0: 615f7076 742e6800 1b000061 64665f6e a_pvt.h....adf_n 1a6e0: 65745f74 79706573 2e68001f 00006164 et_types.h....ad 1a6f0: 665f6e62 75665f70 76742e68 00200000 f_nbuf_pvt.h. .. 1a700: 646d615f 6c69622e 68001800 00686966 dma_lib.h....hif 1a710: 5f676d61 632e6800 1800004d 61677069 _gmac.h....Magpi 1a720: 655f6170 692e6800 04000075 73626669 e_api.h....usbfi 1a730: 666f5f61 70692e68 00180000 6874635f fo_api.h....htc_ 1a740: 6170692e 68002100 00687463 2e680022 api.h.!..htc.h." 1a750: 00006275 665f706f 6f6c5f61 70692e68 ..buf_pool_api.h 1a760: 00230000 776d695f 7376635f 6170692e .#..wmi_svc_api. 1a770: 68002400 00776d69 2e680022 00006174 h.$..wmi.h."..at 1a780: 68646566 732e6800 22000064 6d615f65 hdefs.h."..dma_e 1a790: 6e67696e 655f6170 692e6800 25000066 ngine_api.h.%..f 1a7a0: 77642e68 00260000 00043d00 0502008e wd.h.&....=..... 1a7b0: 5e600335 01030109 00030103 01090006 ^`.5............ 1a7c0: 01037f09 00050103 01090002 01030109 ................ 1a7d0: 00030104 01036109 00050103 00090003 ......a......... 1a7e0: 01030409 000c0103 01090002 01030309 ................ 1a7f0: 00020103 7e090003 01030209 00020103 ....~........... 1a800: 04090005 01030209 000d0103 0209000a ................ 1a810: 01030509 00040103 00090003 01030109 ................ 1a820: 00080103 0109000b 01030409 00020103 ................ 1a830: 00090003 01030a09 00030103 7a090002 ............z... 1a840: 01037e09 00020103 02090005 01030109 ..~............. 1a850: 00090103 7f09000a 01030309 00020103 ................ 1a860: 01090003 01037f09 00020103 03090003 ................ 1a870: 01030709 00040103 0a090003 01030109 ................ 1a880: 000a0103 7f090003 01030409 00020103 ................ 1a890: 01090006 01030109 00050103 01090006 ................ 1a8a0: 01030309 00040103 01090007 01030609 ................ 1a8b0: 00080103 7d090003 01030209 00020103 ....}........... 1a8c0: 04090005 01030109 00080103 0109000a ................ 1a8d0: 01030109 00020103 7d090002 01030709 ........}....... 1a8e0: 00020103 01090004 01037f09 00020103 ................ 1a8f0: 02090005 01030109 000c0103 02090003 ................ 1a900: 01030109 00020103 7d090002 01030409 ........}....... 1a910: 00030103 7c090002 01030309 00030103 ....|........... 1a920: 7f090003 01030209 00030103 7e090003 ............~... 1a930: 01030409 00040103 0309000c 01030109 ................ 1a940: 00070103 0c090003 01030409 000a0103 ................ 1a950: 04090011 01030209 00030103 6d090002 ............m... 1a960: 01030409 000c0103 02090005 01030109 ................ 1a970: 00030103 1009000b 01030009 00030103 ................ 1a980: 02090005 01030109 00020103 01090002 ................ 1a990: 01030109 00020103 01090002 01030109 ................ 1a9a0: 00020103 01090002 01030109 00020103 ................ 1a9b0: 01090002 01030109 00020103 01090002 ................ 1a9c0: 01030109 00020103 01090002 01030409 ................ 1a9d0: 00040103 00090003 01030309 00030103 ................ 1a9e0: 0209000c 01030209 00090103 0209000c ................ 1a9f0: 01030309 00090103 02090009 01030309 ................ 1aa00: 000c0103 0209000c 01030109 00090103 ................ 1aa10: 03090009 01030209 000c0103 01090009 ................ 1aa20: 01030309 00090103 02090009 01030209 ................ 1aa30: 000e0103 01090005 01030209 000c0103 ................ 1aa40: 01090005 01030109 00090103 01090009 ................ 1aa50: 01030409 00040103 00090003 01030309 ................ 1aa60: 00030103 0109000b 01030109 00090103 ................ 1aa70: 7f09000b 01030409 00090103 0609000e ................ 1aa80: 01030009 00030103 01090003 01030109 ................ 1aa90: 000e0103 04090004 01030409 00030103 ................ 1aaa0: 0109001a 01030609 00050103 04090002 ................ 1aab0: 01037609 00020103 02090003 01030509 ..v............. 1aac0: 00050103 7f090003 01037e09 00090103 ..........~..... 1aad0: 05090005 01030309 00020103 05090007 ................ 1aae0: 01030009 00030103 04090006 01030109 ................ 1aaf0: 000a0103 01090005 01030209 00050103 ................ 1ab00: 01090007 01030109 00020103 7b090005 ............{... 1ab10: 01030709 00030103 0109000d 01030409 ................ 1ab20: 00050103 00090003 01030409 00020103 ................ 1ab30: 0109000b 01030209 00090103 7d090002 ............}... 1ab40: 01030309 00020103 09090003 01030309 ................ 1ab50: 00030103 01090008 01030209 000b0103 ................ 1ab60: 01090007 01030209 000b0103 7f090002 ................ 1ab70: 01030109 00040103 03090003 01030109 ................ 1ab80: 00050103 01090005 01030109 000b0103 ................ 1ab90: 02090005 01030209 00070103 02090007 ................ 1aba0: 01030609 00030103 0109000f 01030109 ................ 1abb0: 00090103 01090002 01037309 00050103 ..........s..... 1abc0: 10090008 01030209 00090103 7f090002 ................ 1abd0: 01030109 00040103 03090003 01030109 ................ 1abe0: 00030103 01090003 01030609 00090109 ................ 1abf0: 00040001 0100000e bd000200 000d4501 ..............E. 1ac00: 01fb0e0a 00010101 01000000 012f726f ............./ro 1ac10: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1ac20: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1ac30: 2f6d6167 7069655f 315f312f 696d6167 /magpie_1_1/imag 1ac40: 652f6d61 67706965 2f2e2e2f 2e2e2f2e e/magpie/../../. 1ac50: 2e2f2e2e 2f2f6275 696c642f 6d616770 ./..//build/magp 1ac60: 69655f31 5f312f72 6f6d2f62 75665f70 ie_1_1/rom/buf_p 1ac70: 6f6f6c2f 73726300 2f726f6f 742f576f ool/src./root/Wo 1ac80: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1ac90: 322f7467 742f7461 72676574 2f696e63 2/tgt/target/inc 1aca0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 1acb0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 1acc0: 61726765 742f696e 632f4f54 5553002f arget/inc/OTUS./ 1acd0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1ace0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1acf0: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 1ad00: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1ad10: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1ad20: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 1ad30: 2f726f6d 2f636d6e 6f732f69 6e63002f /rom/cmnos/inc./ 1ad40: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1ad50: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1ad60: 6c642f6d 61677069 655f315f 312f696e ld/magpie_1_1/in 1ad70: 632f6d61 67706965 002f6f70 742f7874 c/magpie./opt/xt 1ad80: 656e7361 2f587444 6576546f 6f6c732f ensa/XtDevTools/ 1ad90: 696e7374 616c6c2f 746f6f6c 732f5242 install/tools/RB 1ada0: 2d323030 372e322d 6c696e75 782f5874 -2007.2-linux/Xt 1adb0: 656e7361 546f6f6c 732f7874 656e7361 ensaTools/xtensa 1adc0: 2d656c66 2f696e63 6c756465 2f787465 -elf/include/xte 1add0: 6e73612f 636f6e66 6967002f 6f70742f nsa/config./opt/ 1ade0: 7874656e 73612f58 74446576 546f6f6c xtensa/XtDevTool 1adf0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 1ae00: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 1ae10: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 1ae20: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 1ae30: 74656e73 61002f6f 70742f78 74656e73 tensa./opt/xtens 1ae40: 612f5874 44657654 6f6f6c73 2f696e73 a/XtDevTools/ins 1ae50: 74616c6c 2f627569 6c64732f 52422d32 tall/builds/RB-2 1ae60: 3030372e 322d6c69 6e75782f 4d616770 007.2-linux/Magp 1ae70: 69655f50 302f7874 656e7361 2d656c66 ie_P0/xtensa-elf 1ae80: 2f617263 682f696e 636c7564 652f7874 /arch/include/xt 1ae90: 656e7361 2f636f6e 66696700 2f726f6f ensa/config./roo 1aea0: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1aeb0: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1aec0: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 1aed0: 6d6e6f73 2f707269 6e74662f 696e6300 mnos/printf/inc. 1aee0: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1aef0: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1af00: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1af10: 6f6d2f63 6d6e6f73 2f756172 742f696e om/cmnos/uart/in 1af20: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1af30: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1af40: 74617267 65742f63 6d6e6f73 2f646267 target/cmnos/dbg 1af50: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1af60: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1af70: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1af80: 315f312f 726f6d2f 636d6e6f 732f6d65 1_1/rom/cmnos/me 1af90: 6d2f696e 63002f72 6f6f742f 576f726b m/inc./root/Work 1afa0: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1afb0: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1afc0: 5f315f31 2f726f6d 2f636d6e 6f732f6d _1_1/rom/cmnos/m 1afd0: 6973632f 696e6300 2f726f6f 742f576f isc/inc./root/Wo 1afe0: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1aff0: 322f7467 742f6275 696c642f 6d616770 2/tgt/build/magp 1b000: 69655f31 5f312f72 6f6d2f63 6d6e6f73 ie_1_1/rom/cmnos 1b010: 2f737472 696e672f 696e6300 2f726f6f /string/inc./roo 1b020: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1b030: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1b040: 6d616770 69655f31 5f312f72 6f6d2f63 magpie_1_1/rom/c 1b050: 6d6e6f73 2f74696d 65722f69 6e63002f mnos/timer/inc./ 1b060: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b070: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1b080: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1b090: 6d2f636d 6e6f732f 726f6d70 61746368 m/cmnos/rompatch 1b0a0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1b0b0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1b0c0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1b0d0: 315f312f 726f6d2f 636d6e6f 732f616c 1_1/rom/cmnos/al 1b0e0: 6c6f6372 616d2f69 6e63002f 726f6f74 locram/inc./root 1b0f0: 2f576f72 6b737061 63652f30 3431325f /Workspace/0412_ 1b100: 76323032 2f746774 2f627569 6c642f6d v202/tgt/build/m 1b110: 61677069 655f315f 312f726f 6d2f636d agpie_1_1/rom/cm 1b120: 6e6f732f 7461736b 6c65742f 696e6300 nos/tasklet/inc. 1b130: 2f726f6f 742f576f 726b7370 6163652f /root/Workspace/ 1b140: 30343132 5f763230 322f7467 742f6275 0412_v202/tgt/bu 1b150: 696c642f 6d616770 69655f31 5f312f72 ild/magpie_1_1/r 1b160: 6f6d2f63 6d6e6f73 2f636c6f 636b2f69 om/cmnos/clock/i 1b170: 6e63002f 726f6f74 2f576f72 6b737061 nc./root/Workspa 1b180: 63652f30 3431325f 76323032 2f746774 ce/0412_v202/tgt 1b190: 2f627569 6c642f6d 61677069 655f315f /build/magpie_1_ 1b1a0: 312f726f 6d2f636d 6e6f732f 696e7472 1/rom/cmnos/intr 1b1b0: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1b1c0: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1b1d0: 67742f62 75696c64 2f6d6167 7069655f gt/build/magpie_ 1b1e0: 315f312f 726f6d2f 636d6e6f 732f7764 1_1/rom/cmnos/wd 1b1f0: 742f696e 63002f72 6f6f742f 576f726b t/inc./root/Work 1b200: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1b210: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1b220: 5f315f31 2f726f6d 2f636d6e 6f732f65 _1_1/rom/cmnos/e 1b230: 6570726f 6d2f696e 63002f72 6f6f742f eprom/inc./root/ 1b240: 576f726b 73706163 652f3034 31325f76 Workspace/0412_v 1b250: 3230322f 7467742f 6275696c 642f6d61 202/tgt/build/ma 1b260: 67706965 5f315f31 2f726f6d 2f686966 gpie_1_1/rom/hif 1b270: 2f696e63 002f726f 6f742f57 6f726b73 /inc./root/Works 1b280: 70616365 2f303431 325f7632 30322f74 pace/0412_v202/t 1b290: 67742f61 64662f69 6e636c75 64652f6e gt/adf/include/n 1b2a0: 62756600 2f726f6f 742f576f 726b7370 buf./root/Worksp 1b2b0: 6163652f 30343132 5f763230 322f7467 ace/0412_v202/tg 1b2c0: 742f6164 662f696e 636c7564 652f6f73 t/adf/include/os 1b2d0: 002f726f 6f742f57 6f726b73 70616365 ./root/Workspace 1b2e0: 2f303431 325f7632 30322f74 67742f74 /0412_v202/tgt/t 1b2f0: 61726765 742f6164 662f6f73 2f696e63 arget/adf/os/inc 1b300: 002f6f70 742f7874 656e7361 2f587444 ./opt/xtensa/XtD 1b310: 6576546f 6f6c732f 696e7374 616c6c2f evTools/install/ 1b320: 746f6f6c 732f5242 2d323030 372e322d tools/RB-2007.2- 1b330: 6c696e75 782f5874 656e7361 546f6f6c linux/XtensaTool 1b340: 732f6c69 622f7863 632d6c69 622f696e s/lib/xcc-lib/in 1b350: 636c7564 65002f72 6f6f742f 576f726b clude./root/Work 1b360: 73706163 652f3034 31325f76 3230322f space/0412_v202/ 1b370: 7467742f 6275696c 642f6d61 67706965 tgt/build/magpie 1b380: 5f315f31 2f726f6d 2f766275 662f696e _1_1/rom/vbuf/in 1b390: 63002f72 6f6f742f 576f726b 73706163 c./root/Workspac 1b3a0: 652f3034 31325f76 3230322f 7467742f e/0412_v202/tgt/ 1b3b0: 6275696c 642f6d61 67706965 5f315f31 build/magpie_1_1 1b3c0: 2f726f6d 2f766465 73632f69 6e63002f /rom/vdesc/inc./ 1b3d0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b3e0: 3431325f 76323032 2f746774 2f616466 412_v202/tgt/adf 1b3f0: 2f696e63 6c756465 2f6e6574 002f726f /include/net./ro 1b400: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1b410: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1b420: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 1b430: 6164662f 6e627566 2f696e63 002f726f adf/nbuf/inc./ro 1b440: 6f742f57 6f726b73 70616365 2f303431 ot/Workspace/041 1b450: 325f7632 30322f74 67742f62 75696c64 2_v202/tgt/build 1b460: 2f6d6167 7069655f 315f312f 726f6d2f /magpie_1_1/rom/ 1b470: 6874632f 696e6300 2f726f6f 742f576f htc/inc./root/Wo 1b480: 726b7370 6163652f 30343132 5f763230 rkspace/0412_v20 1b490: 322f776c 616e2f69 6e636c75 6465002f 2/wlan/include./ 1b4a0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b4b0: 3431325f 76323032 2f746774 2f627569 412_v202/tgt/bui 1b4c0: 6c642f6d 61677069 655f315f 312f726f ld/magpie_1_1/ro 1b4d0: 6d2f6275 665f706f 6f6c2f69 6e63002f m/buf_pool/inc./ 1b4e0: 726f6f74 2f576f72 6b737061 63652f30 root/Workspace/0 1b4f0: 3431325f 76323032 2f746774 2f746172 412_v202/tgt/tar 1b500: 6765742f 776d692f 696e6300 2f726f6f get/wmi/inc./roo 1b510: 742f576f 726b7370 6163652f 30343132 t/Workspace/0412 1b520: 5f763230 322f7467 742f6275 696c642f _v202/tgt/build/ 1b530: 6d616770 69655f31 5f312f72 6f6d2f64 magpie_1_1/rom/d 1b540: 6d615f65 6e67696e 652f696e 63002f72 ma_engine/inc./r 1b550: 6f6f742f 576f726b 73706163 652f3034 oot/Workspace/04 1b560: 31325f76 3230322f 7467742f 6275696c 12_v202/tgt/buil 1b570: 642f6d61 67706965 5f315f31 2f726f6d d/magpie_1_1/rom 1b580: 2f627566 5f706f6f 6c2f7372 63000062 /buf_pool/src..b 1b590: 75665f70 6f6f6c5f 73746174 69632e63 uf_pool_static.c 1b5a0: 00010000 6f736170 692e6800 0200004f ....osapi.h....O 1b5b0: 5455535f 736f632e 68000300 004d6167 TUS_soc.h....Mag 1b5c0: 7069655f 6170692e 68000400 00636d6e pie_api.h....cmn 1b5d0: 6f735f61 70692e68 00050000 7379735f os_api.h....sys_ 1b5e0: 6366672e 68000400 00726f6d 5f636667 cfg.h....rom_cfg 1b5f0: 2e680006 00006d61 67706965 5f6d656d .h....magpie_mem 1b600: 2e680004 0000636f 72652e68 00070000 .h....core.h.... 1b610: 68616c2e 68000800 00636f72 652d6973 hal.h....core-is 1b620: 612e6800 09000063 6f72652d 6d61746d a.h....core-matm 1b630: 61702e68 00090000 7469652e 68000900 ap.h....tie.h... 1b640: 00787472 756e7469 6d652e68 00080000 .xtruntime.h.... 1b650: 73706563 7265672e 68000900 00636f72 specreg.h....cor 1b660: 65626974 732e6800 08000070 72696e74 ebits.h....print 1b670: 665f6170 692e6800 0a000075 6172745f f_api.h....uart_ 1b680: 6170692e 68000b00 00726567 5f646566 api.h....reg_def 1b690: 732e6800 06000064 745f6465 66732e68 s.h....dt_defs.h 1b6a0: 00020000 6462675f 6170692e 68000c00 ....dbg_api.h... 1b6b0: 006d656d 5f617069 2e68000d 00006d69 .mem_api.h....mi 1b6c0: 73635f61 70692e68 000e0000 73747269 sc_api.h....stri 1b6d0: 6e675f61 70692e68 000f0000 74696d65 ng_api.h....time 1b6e0: 725f6170 692e6800 10000072 6f6d705f r_api.h....romp_ 1b6f0: 6170692e 68001100 00616c6c 6f637261 api.h....allocra 1b700: 6d5f6170 692e6800 12000074 61736b6c m_api.h....taskl 1b710: 65745f61 70692e68 00130000 636c6f63 et_api.h....cloc 1b720: 6b5f6170 692e6800 14000069 6e74725f k_api.h....intr_ 1b730: 6170692e 68001500 00776474 5f617069 api.h....wdt_api 1b740: 2e680016 00006565 70726f6d 5f617069 .h....eeprom_api 1b750: 2e680017 00007573 625f6170 692e6800 .h....usb_api.h. 1b760: 18000068 69665f70 63692e68 00180000 ...hif_pci.h.... 1b770: 6869665f 6170692e 68001800 00616466 hif_api.h....adf 1b780: 5f6e6275 662e6800 19000061 64665f6f _nbuf.h....adf_o 1b790: 735f7574 696c2e68 001a0000 6164665f s_util.h....adf_ 1b7a0: 6f735f75 74696c5f 7076742e 68001b00 os_util_pvt.h... 1b7b0: 00616466 5f6f735f 74797065 732e6800 .adf_os_types.h. 1b7c0: 1a000061 64665f6f 735f7374 64747970 ...adf_os_stdtyp 1b7d0: 65732e68 001a0000 6164665f 6f735f74 es.h....adf_os_t 1b7e0: 79706573 5f707674 2e68001b 00007374 ypes_pvt.h....st 1b7f0: 64646566 2e68001c 00007662 75665f61 ddef.h....vbuf_a 1b800: 70692e68 001d0000 76646573 635f6170 pi.h....vdesc_ap 1b810: 692e6800 1e000073 74646172 672e6800 i.h....stdarg.h. 1b820: 02000076 612d7874 656e7361 2e68001c ...va-xtensa.h.. 1b830: 00006164 665f6f73 5f646d61 2e68001a ..adf_os_dma.h.. 1b840: 00006164 665f6f73 5f646d61 5f707674 ..adf_os_dma_pvt 1b850: 2e68001b 00006164 665f6e65 745f7479 .h....adf_net_ty 1b860: 7065732e 68001f00 00616466 5f6e6275 pes.h....adf_nbu 1b870: 665f7076 742e6800 20000064 6d615f6c f_pvt.h. ..dma_l 1b880: 69622e68 00180000 6869665f 676d6163 ib.h....hif_gmac 1b890: 2e680018 00007573 62666966 6f5f6170 .h....usbfifo_ap 1b8a0: 692e6800 18000068 74635f61 70692e68 i.h....htc_api.h 1b8b0: 00210000 6874632e 68002200 00627566 .!..htc.h."..buf 1b8c0: 5f706f6f 6c5f6170 692e6800 23000077 _pool_api.h.#..w 1b8d0: 6d695f73 76635f61 70692e68 00240000 mi_svc_api.h.$.. 1b8e0: 776d692e 68002200 00617468 64656673 wmi.h."..athdefs 1b8f0: 2e680022 0000646d 615f656e 67696e65 .h."..dma_engine 1b900: 5f617069 2e680025 00006164 665f6f73 _api.h.%..adf_os 1b910: 5f6d656d 2e68001a 00006164 665f6f73 _mem.h....adf_os 1b920: 5f6d656d 5f707674 2e68001b 00006275 _mem_pvt.h....bu 1b930: 665f706f 6f6c5f73 74617469 632e6800 f_pool_static.h. 1b940: 26000000 00050200 8e626403 21010300 &........bd.!... 1b950: 09000301 03060900 1201037f 09000201 ................ 1b960: 037f0900 0201037f 09000201 037f0900 ................ 1b970: 0201037f 09000201 03060900 02010303 ................ 1b980: 09000301 03000900 03010304 09000301 ................ 1b990: 03010900 0a010301 09000201 03020900 ................ 1b9a0: 0201030d 09000401 03020900 03010303 ................ 1b9b0: 09000501 03000900 03010307 09000201 ................ 1b9c0: 03010900 0e010301 09000601 037f0900 ................ 1b9d0: 03010301 09000201 043e03bb 7f090005 .........>...... 1b9e0: 01040103 c3000900 0a010312 09000201 ................ 1b9f0: 03730900 0201037f 09000301 03030900 .s.............. 1ba00: 0201037f 09000501 03060900 0301037e ...............~ 1ba10: 09000201 037f0900 02010304 09000501 ................ 1ba20: 03730900 02010313 09000301 037c0900 .s...........|.. 1ba30: 02010304 09000501 03030900 05010300 ................ 1ba40: 09000301 03030900 03010302 09000501 ................ 1ba50: 03030900 0401037d 09000201 03020900 .......}........ 1ba60: 04010301 09000201 03010900 03010301 ................ 1ba70: 09000301 03030900 03010304 09000401 ................ 1ba80: 03000900 03010301 09000601 03040900 ................ 1ba90: 07010300 09000301 03010900 03010303 ................ 1baa0: 09000701 037e0900 02010305 09000201 .....~.......... 1bab0: 09000200 01010000 02b60002 00000288 ................ 1bac0: 0101fb0e 0a000101 01010000 00012f66 ............../f 1bad0: 6f6c6b73 2f726873 752f7072 6f6a6563 olks/rhsu/projec 1bae0: 742f7034 762f7065 72666f72 63652f70 t/p4v/perforce/p 1baf0: 305f636f 72652f70 726f6a65 63742f73 0_core/project/s 1bb00: 72632f72 6f6d2f6f 732f7372 632f7874 rc/rom/os/src/xt 1bb10: 6f73002f 6361642f 74656e73 696c6963 os./cad/tensilic 1bb20: 612f746f 6f6c732f 52422d32 3030372e a/tools/RB-2007. 1bb30: 322f5874 44657654 6f6f6c73 2f696e73 2/XtDevTools/ins 1bb40: 74616c6c 2f746f6f 6c732f52 422d3230 tall/tools/RB-20 1bb50: 30372e32 2d6c696e 75782f58 74656e73 07.2-linux/Xtens 1bb60: 61546f6f 6c732f78 74656e73 612d656c aTools/xtensa-el 1bb70: 662f696e 636c7564 652f7874 656e7361 f/include/xtensa 1bb80: 2f636f6e 66696700 2f636164 2f74656e /config./cad/ten 1bb90: 73696c69 63612f74 6f6f6c73 2f52422d silica/tools/RB- 1bba0: 32303037 2e322f58 74446576 546f6f6c 2007.2/XtDevTool 1bbb0: 732f696e 7374616c 6c2f746f 6f6c732f s/install/tools/ 1bbc0: 52422d32 3030372e 322d6c69 6e75782f RB-2007.2-linux/ 1bbd0: 5874656e 7361546f 6f6c732f 7874656e XtensaTools/xten 1bbe0: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 1bbf0: 74656e73 61002f74 72656573 2f627961 tensa./trees/bya 1bc00: 6e672f4d 61677069 655f5030 2f636164 ng/Magpie_P0/cad 1bc10: 2f617468 722f6970 2f74656e 73696c69 /athr/ip/tensili 1bc20: 63612f6d 61677069 655f7631 5f302f69 ca/magpie_v1_0/i 1bc30: 6e737461 6c6c2f62 75696c64 732f5242 nstall/builds/RB 1bc40: 2d323030 372e322d 6c696e75 782f4d61 -2007.2-linux/Ma 1bc50: 67706965 5f50302f 7874656e 73612d65 gpie_P0/xtensa-e 1bc60: 6c662f61 7263682f 696e636c 7564652f lf/arch/include/ 1bc70: 7874656e 73612f63 6f6e6669 67000065 xtensa/config..e 1bc80: 78632d73 65746861 6e646c65 722e6300 xc-sethandler.c. 1bc90: 01000063 6f72652e 68000200 0068616c ...core.h....hal 1bca0: 2e680003 0000636f 72652d69 73612e68 .h....core-isa.h 1bcb0: 00040000 636f7265 2d6d6174 6d61702e ....core-matmap. 1bcc0: 68000400 00746965 2e680004 00007370 h....tie.h....sp 1bcd0: 65637265 672e6800 04000063 6f726562 ecreg.h....coreb 1bce0: 6974732e 68000300 0078746f 732d696e its.h....xtos-in 1bcf0: 7465726e 616c2e68 00010000 78747275 ternal.h....xtru 1bd00: 6e74696d 652e6800 03000078 7472756e ntime.h....xtrun 1bd10: 74696d65 2d667261 6d65732e 68000300 time-frames.h... 1bd20: 00787465 6e73612d 76657273 696f6e73 .xtensa-versions 1bd30: 2e680003 00007874 6f732d70 6172616d .h....xtos-param 1bd40: 732e6800 01000000 00050200 8e634803 s.h..........cH. 1bd50: 20010303 09000301 030c0900 08010300 ............... 1bd60: 09002901 03000900 04010900 29000101 ..).........)... 1bd70: 0000003b 00020000 001f0101 fb0e0a00 ...;............ 1bd80: 01010101 00000001 00657863 2d746162 .........exc-tab 1bd90: 6c652e53 00000000 00000502 008e6950 le.S..........iP 1bda0: 03290103 02090003 01090002 00010100 .).............. 1bdb0: 00010f00 02000000 2b0101fb 0e0a0001 ........+....... 1bdc0: 01010100 00000100 6578632d 632d7772 ........exc-c-wr 1bdd0: 61707065 722d6861 6e646c65 722e5300 apper-handler.S. 1bde0: 00000000 00050200 8e63ac03 1d010301 .........c...... 1bdf0: 09000201 030d0900 06010307 09000201 ................ 1be00: 032b0900 02010302 09000301 03010900 .+.............. 1be10: 03010307 09000301 03010900 02010315 ................ 1be20: 09000201 03020900 03010302 09000301 ................ 1be30: 03d30009 00030103 01090003 01030809 ................ 1be40: 00030103 c1000900 03010301 09000301 ................ 1be50: 03060900 03010301 09000301 03020900 ................ 1be60: 02010301 09000201 03020900 0f010301 ................ 1be70: 09000301 03070900 02010302 09000201 ................ 1be80: 03010900 03010308 09000301 03010900 ................ 1be90: 0f01031c 09000201 03030900 03010302 ................ 1bea0: 09000301 03070900 0301030b 09000301 ................ 1beb0: 03010900 03010301 09000301 09000200 ................ 1bec0: 01010000 00c80002 00000064 0101fb0e ...........d.... 1bed0: 0a000101 01010000 00012f68 6f6d652f ........../home/ 1bee0: 63757374 6f6d6572 2f747265 652f5242 customer/tree/RB 1bef0: 2d323030 372e322f 746f6f6c 732f7377 -2007.2/tools/sw 1bf00: 746f6f6c 732d7838 362d6c69 6e75782f tools-x86-linux/ 1bf10: 7874656e 73612d65 6c662f73 72632f68 xtensa-elf/src/h 1bf20: 616c0000 636c6f63 6b2e5300 01000000 al..clock.S..... 1bf30: 00050200 8e695803 2a010302 09000301 .....iX.*....... 1bf40: 030e0900 0301030d 09000201 03020900 ................ 1bf50: 03010301 09000301 030a0900 03010304 ................ 1bf60: 09000301 03090900 04010302 09000301 ................ 1bf70: 03010900 02010301 09000301 030c0900 ................ 1bf80: 02010302 09000201 09000200 01010000 ................ 1bf90: 01c70002 000001c1 0101fb0e 0a000101 ................ 1bfa0: 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1bfb0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1bfc0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1bfd0: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 1bfe0: 73612d65 6c662f73 72632f68 616c002f sa-elf/src/hal./ 1bff0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr 1c000: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too 1c010: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l 1c020: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ 1c030: 696e636c 7564652f 7874656e 73612f63 include/xtensa/c 1c040: 6f6e6669 67002f68 6f6d652f 63757374 onfig./home/cust 1c050: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1c060: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1c070: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 1c080: 73612d65 6c662f69 6e636c75 64652f78 sa-elf/include/x 1c090: 74656e73 61002f70 726f6a65 63742f63 tensa./project/c 1c0a0: 7573742f 67656e61 70702f52 422d3230 ust/genapp/RB-20 1c0b0: 30372e32 2f627569 6c642f61 74686572 07.2/build/ather 1c0c0: 6f732f70 726f642f 4d616770 69655f50 os/prod/Magpie_P 1c0d0: 302f3833 3734332f 52422d32 3030372e 0/83743/RB-2007. 1c0e0: 322f4d61 67706965 5f50302f 7874656e 2/Magpie_P0/xten 1c0f0: 73612d65 6c662f61 7263682f 696e636c sa-elf/arch/incl 1c100: 7564652f 7874656e 73612f63 6f6e6669 ude/xtensa/confi 1c110: 6700006d 6973632e 63000100 00636f72 g..misc.c....cor 1c120: 652e6800 02000068 616c2e68 00030000 e.h....hal.h.... 1c130: 636f7265 2d697361 2e680004 0000636f core-isa.h....co 1c140: 72652d6d 61746d61 702e6800 04000074 re-matmap.h....t 1c150: 69652e68 00040000 00000003 31000200 ie.h........1... 1c160: 00006e01 01fb0e0a 00010101 01000000 ..n............. 1c170: 012f686f 6d652f63 7573746f 6d65722f ./home/customer/ 1c180: 74726565 2f52422d 32303037 2e322f74 tree/RB-2007.2/t 1c190: 6f6f6c73 2f737774 6f6f6c73 2d783836 ools/swtools-x86 1c1a0: 2d6c696e 75782f78 74656e73 612d656c -linux/xtensa-el 1c1b0: 662f7372 632f6861 6c000077 696e646f f/src/hal..windo 1c1c0: 77737069 6c6c5f61 736d2e53 00010000 wspill_asm.S.... 1c1d0: 00000502 008e6428 03f10001 03010900 ......d(........ 1c1e0: 03010301 09000201 03010900 03010301 ................ 1c1f0: 09000301 03010900 03010301 09000301 ................ 1c200: 03020900 03010301 09000301 030f0900 ................ 1c210: 03010301 09000301 03010900 03010301 ................ 1c220: 09000301 030c0900 03010301 09000301 ................ 1c230: 03090900 03010302 09000301 03010900 ................ 1c240: 03010304 09000301 03010900 03010303 ................ 1c250: 09000301 03180900 03010301 09000301 ................ 1c260: 03010900 03010301 09000301 03010900 ................ 1c270: 03010306 09000301 03010900 03010301 ................ 1c280: 09000201 03010900 02010301 09000201 ................ 1c290: 03020900 02010301 09000301 03020900 ................ 1c2a0: 03010304 09000301 03010900 03010301 ................ 1c2b0: 09000201 03010900 02010301 09000201 ................ 1c2c0: 03020900 02010301 09000301 03020900 ................ 1c2d0: 02010302 09000301 03010900 02010301 ................ 1c2e0: 09000201 03010900 02010302 09000201 ................ 1c2f0: 03010900 03010302 09000301 03040900 ................ 1c300: 03010302 09000301 03010900 03010301 ................ 1c310: 09000201 03010900 02010301 09000201 ................ 1c320: 03020900 02010301 09000301 03010900 ................ 1c330: 02010301 09000301 03020900 03010301 ................ 1c340: 09000201 03010900 02010301 09000201 ................ 1c350: 03010900 02010301 09000201 03010900 ................ 1c360: 02010301 09000201 03020900 02010301 ................ 1c370: 09000301 03010900 03010302 09000301 ................ 1c380: 03050900 05010301 09000301 03010900 ................ 1c390: 03010301 09000301 03010900 02010301 ................ 1c3a0: 09000301 03010900 03010301 09000301 ................ 1c3b0: 03010900 02010307 09000201 03010900 ................ 1c3c0: 0201030f 09000401 03010900 03010301 ................ 1c3d0: 09000201 03010900 03010301 09000301 ................ 1c3e0: 03010900 02010301 09000301 03010900 ................ 1c3f0: 03010304 09000301 03010900 03010301 ................ 1c400: 09000301 03010900 03010301 09000301 ................ 1c410: 03010900 03010301 09000301 03010900 ................ 1c420: 02010301 09000301 03010900 03010302 ................ 1c430: 09000301 03010900 0201031a 09000501 ................ 1c440: 03020900 03010301 09000301 03010900 ................ 1c450: 03010301 09000201 03010900 03010301 ................ 1c460: 09000201 03010900 03010301 09000301 ................ 1c470: 03010900 03010301 09000201 03010900 ................ 1c480: 03010302 09000301 09000200 01010000 ................ 1c490: 00880002 00000066 0101fb0e 0a000101 .......f........ 1c4a0: 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1c4b0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1c4c0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1c4d0: 732d7838 362d6c69 6e75782f 7874656e s-x86-linux/xten 1c4e0: 73612d65 6c662f73 72632f68 616c0000 sa-elf/src/hal.. 1c4f0: 696e745f 61736d2e 53000100 00000005 int_asm.S....... 1c500: 02008e69 80033e01 03020900 03010304 ...i..>......... 1c510: 09000301 09000200 01010000 00890002 ................ 1c520: 00000066 0101fb0e 0a000101 01010000 ...f............ 1c530: 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer 1c540: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 1c550: 746f6f6c 732f7377 746f6f6c 732d7838 tools/swtools-x8 1c560: 362d6c69 6e75782f 7874656e 73612d65 6-linux/xtensa-e 1c570: 6c662f73 72632f68 616c0000 696e745f lf/src/hal..int_ 1c580: 61736d2e 53000100 00000005 02008e69 asm.S..........i 1c590: 8803e500 01030209 00030103 04090003 ................ 1c5a0: 01090002 00010100 00008900 02000000 ................ 1c5b0: 660101fb 0e0a0001 01010100 0000012f f............../ 1c5c0: 686f6d65 2f637573 746f6d65 722f7472 home/customer/tr 1c5d0: 65652f52 422d3230 30372e32 2f746f6f ee/RB-2007.2/too 1c5e0: 6c732f73 77746f6f 6c732d78 38362d6c ls/swtools-x86-l 1c5f0: 696e7578 2f787465 6e73612d 656c662f inux/xtensa-elf/ 1c600: 7372632f 68616c00 00696e74 5f61736d src/hal..int_asm 1c610: 2e530001 00000000 0502008e 699003cf .S..........i... 1c620: 00010302 09000301 03020900 03010900 ................ 1c630: 02000101 000001e8 00020000 01e20101 ................ 1c640: fb0e0a00 01010101 00000001 2f686f6d ............/hom 1c650: 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ 1c660: 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ 1c670: 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu 1c680: 782f7874 656e7361 2d656c66 2f737263 x/xtensa-elf/src 1c690: 2f68616c 002f686f 6d652f63 7573746f /hal./home/custo 1c6a0: 6d65722f 74726565 2f52422d 32303037 mer/tree/RB-2007 1c6b0: 2e322f74 6f6f6c73 2f737774 6f6f6c73 .2/tools/swtools 1c6c0: 2d783836 2d6c696e 75782f78 74656e73 -x86-linux/xtens 1c6d0: 612d656c 662f696e 636c7564 652f7874 a-elf/include/xt 1c6e0: 656e7361 2f636f6e 66696700 2f686f6d ensa/config./hom 1c6f0: 652f6375 73746f6d 65722f74 7265652f e/customer/tree/ 1c700: 52422d32 3030372e 322f746f 6f6c732f RB-2007.2/tools/ 1c710: 7377746f 6f6c732d 7838362d 6c696e75 swtools-x86-linu 1c720: 782f7874 656e7361 2d656c66 2f696e63 x/xtensa-elf/inc 1c730: 6c756465 2f787465 6e736100 2f70726f lude/xtensa./pro 1c740: 6a656374 2f637573 742f6765 6e617070 ject/cust/genapp 1c750: 2f52422d 32303037 2e322f62 75696c64 /RB-2007.2/build 1c760: 2f617468 65726f73 2f70726f 642f4d61 /atheros/prod/Ma 1c770: 67706965 5f50302f 38333734 332f5242 gpie_P0/83743/RB 1c780: 2d323030 372e322f 4d616770 69655f50 -2007.2/Magpie_P 1c790: 302f7874 656e7361 2d656c66 2f617263 0/xtensa-elf/arc 1c7a0: 682f696e 636c7564 652f7874 656e7361 h/include/xtensa 1c7b0: 2f636f6e 66696700 00696e74 65727275 /config..interru 1c7c0: 7074732e 63000100 00636f72 652e6800 pts.c....core.h. 1c7d0: 02000068 616c2e68 00030000 636f7265 ...hal.h....core 1c7e0: 2d697361 2e680004 0000636f 72652d6d -isa.h....core-m 1c7f0: 61746d61 702e6800 04000074 69652e68 atmap.h....tie.h 1c800: 00040000 73706563 7265672e 68000400 ....specreg.h... 1c810: 00636f72 65626974 732e6800 03000000 .corebits.h..... 1c820: 00000168 00020000 007f0101 fb0e0a00 ...h............ 1c830: 01010101 00000001 2f686f6d 652f6375 ......../home/cu 1c840: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1c850: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto 1c860: 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt 1c870: 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib 1c880: 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x 1c890: 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs 1c8a0: 2e61736d 00010000 00000502 008e6998 .asm..........i. 1c8b0: 03ca0401 03040900 03010301 09000301 ................ 1c8c0: 03010900 03010301 09000301 030a0900 ................ 1c8d0: 03010301 09000301 03010900 03010301 ................ 1c8e0: 09000301 03030900 03010301 09000301 ................ 1c8f0: 03010900 03010301 09000301 03040900 ................ 1c900: 02010303 09000301 03010900 03010301 ................ 1c910: 09000301 03020900 02010301 09000301 ................ 1c920: 03070900 03010301 09000301 03020900 ................ 1c930: 02010301 09000301 03010900 03010303 ................ 1c940: 09000201 03010900 02010301 09000301 ................ 1c950: 03010900 03010303 09000401 03010900 ................ 1c960: 03010301 09000201 03010900 02010301 ................ 1c970: 09000301 03060900 03010304 09000701 ................ 1c980: 03020900 02010900 02000101 00000120 ............... 1c990: 00020000 007f0101 fb0e0a00 01010101 ................ 1c9a0: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1c9b0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1c9c0: 322f746f 6f6c732f 7377746f 6f6c732d 2/tools/swtools- 1c9d0: 7838362d 6c696e75 782f7874 656e7361 x86-linux/xtensa 1c9e0: 2d656c66 2f737263 2f6c6962 6763632d -elf/src/libgcc- 1c9f0: 7863632f 636f6e66 69672f78 74656e73 xcc/config/xtens 1ca00: 6100006c 69623166 756e6373 2e61736d a..lib1funcs.asm 1ca10: 00010000 00000502 008e6a00 03da0501 ..........j..... 1ca20: 03040900 03010301 09000301 03010900 ................ 1ca30: 03010301 09000301 03010900 03010301 ................ 1ca40: 09000301 03010900 03010302 09000301 ................ 1ca50: 03010900 03010301 09000301 03040900 ................ 1ca60: 03010303 09000301 03010900 03010302 ................ 1ca70: 09000301 03080900 03010301 09000301 ................ 1ca80: 03020900 03010301 09000301 03020900 ................ 1ca90: 03010303 09000301 03050900 02010304 ................ 1caa0: 09000701 03020900 02010900 02000101 ................ 1cab0: 00000132 00020000 007f0101 fb0e0a00 ...2............ 1cac0: 01010101 00000001 2f686f6d 652f6375 ......../home/cu 1cad0: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1cae0: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto 1caf0: 6f6c732d 7838362d 6c696e75 782f7874 ols-x86-linux/xt 1cb00: 656e7361 2d656c66 2f737263 2f6c6962 ensa-elf/src/lib 1cb10: 6763632d 7863632f 636f6e66 69672f78 gcc-xcc/config/x 1cb20: 74656e73 6100006c 69623166 756e6373 tensa..lib1funcs 1cb30: 2e61736d 00010000 00000502 008e6a4c .asm..........jL 1cb40: 03850401 03040900 03010302 09000301 ................ 1cb50: 03010900 02010301 09000301 03010900 ................ 1cb60: 03010302 09000301 03010900 03010301 ................ 1cb70: 09000301 03010900 03010304 09000301 ................ 1cb80: 03030900 03010301 09000301 03010900 ................ 1cb90: 03010302 09000201 03010900 03010307 ................ 1cba0: 09000301 03010900 03010302 09000301 ................ 1cbb0: 03030900 02010301 09000201 03040900 ................ 1cbc0: 04010301 09000301 03010900 02010306 ................ 1cbd0: 09000401 03040900 07010302 09000201 ................ 1cbe0: 09000200 01010000 01020002 0000007f ................ 1cbf0: 0101fb0e 0a000101 01010000 00012f68 ............../h 1cc00: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 1cc10: 652f5242 2d323030 372e322f 746f6f6c e/RB-2007.2/tool 1cc20: 732f7377 746f6f6c 732d7838 362d6c69 s/swtools-x86-li 1cc30: 6e75782f 7874656e 73612d65 6c662f73 nux/xtensa-elf/s 1cc40: 72632f6c 69626763 632d7863 632f636f rc/libgcc-xcc/co 1cc50: 6e666967 2f787465 6e736100 006c6962 nfig/xtensa..lib 1cc60: 3166756e 63732e61 736d0001 00000000 1funcs.asm...... 1cc70: 0502008e 6a9c03a0 05010304 09000301 ....j........... 1cc80: 03020900 03010301 09000301 03010900 ................ 1cc90: 03010302 09000301 03010900 03010301 ................ 1cca0: 09000301 03040900 05010303 09000301 ................ 1ccb0: 03010900 03010302 09000301 03080900 ................ 1ccc0: 03010301 09000301 03020900 03010303 ................ 1ccd0: 09000401 03050900 02010304 09000701 ................ 1cce0: 03020900 02010900 02000101 000002ec ................ 1ccf0: 00020000 02a00101 fb0e0a00 01010101 ................ 1cd00: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1cd10: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1cd20: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1cd30: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1cd40: 69622f6e 65776c69 622f6c69 62632f73 ib/newlib/libc/s 1cd50: 7472696e 67002f68 6f6d652f 63757374 tring./home/cust 1cd60: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1cd70: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1cd80: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1cd90: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1cda0: 2f696e63 6c756465 002f7072 6f6a6563 /include./projec 1cdb0: 742f6375 73742f67 656e6170 702f5242 t/cust/genapp/RB 1cdc0: 2d323030 372e322f 6275696c 642f6174 -2007.2/build/at 1cdd0: 6865726f 732f7072 6f642f4d 61677069 heros/prod/Magpi 1cde0: 655f5030 2f383337 34332f78 6275696c e_P0/83743/xbuil 1cdf0: 642f5461 72676574 2d6c6962 732f6e65 d/Target-libs/ne 1ce00: 776c6962 2f787465 6e73612d 656c662f wlib/xtensa-elf/ 1ce10: 6e65776c 69622f74 6172672d 696e636c newlib/targ-incl 1ce20: 75646500 2f686f6d 652f6375 73746f6d ude./home/custom 1ce30: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1ce40: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1ce50: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1ce60: 69622f6e 65776c69 622f6c69 62632f69 ib/newlib/libc/i 1ce70: 6e636c75 64652f73 7973002f 686f6d65 nclude/sys./home 1ce80: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 1ce90: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ 1cea0: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li 1ceb0: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib 1cec0: 2f6c6962 632f696e 636c7564 652f6d61 /libc/include/ma 1ced0: 6368696e 65002f68 6f6d652f 63757374 chine./home/cust 1cee0: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1cef0: 372e322f 746f6f6c 732f7377 746f6f6c 7.2/tools/swtool 1cf00: 732d7838 362d6c69 6e75782f 6c69622f s-x86-linux/lib/ 1cf10: 7863632d 6c69622f 696e636c 75646500 xcc-lib/include. 1cf20: 006d656d 636d702e 63000100 00737472 .memcmp.c....str 1cf30: 696e672e 68000200 005f616e 73692e68 ing.h...._ansi.h 1cf40: 00020000 6e65776c 69622e68 00030000 ....newlib.h.... 1cf50: 636f6e66 69672e68 00040000 69656565 config.h....ieee 1cf60: 66702e68 00050000 7265656e 742e6800 fp.h....reent.h. 1cf70: 0400005f 74797065 732e6800 0400006c ..._types.h....l 1cf80: 6f636b2e 68000400 00737464 6465662e ock.h....stddef. 1cf90: 68000600 00000005 02008e6a dc033801 h..........j..8. 1cfa0: 03180900 03010305 09000b01 03030900 ................ 1cfb0: 06010304 09000701 03090900 07010302 ................ 1cfc0: 09000a01 037e0900 0d010308 09000201 .....~.......... 1cfd0: 03000900 05010900 05000101 00000351 ...............Q 1cfe0: 00020000 00760101 fb0e0a00 01010101 .....v.......... 1cff0: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1d000: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1d010: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1d020: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1d030: 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m 1d040: 61636869 6e652f78 74656e73 6100006d achine/xtensa..m 1d050: 656d6370 792e5300 01000000 00050200 emcpy.S......... 1d060: 8e6b2503 c6000103 05090003 01030109 .k%............. 1d070: 00030103 01090002 01030109 00030103 ................ 1d080: 04090002 01030909 00020103 03090003 ................ 1d090: 01030109 00030103 01090002 01030109 ................ 1d0a0: 00030103 01090003 01030309 00030103 ................ 1d0b0: 05090003 01030309 00030103 01090003 ................ 1d0c0: 01030109 00030103 01090002 01030109 ................ 1d0d0: 00030103 01090003 01030109 00030103 ................ 1d0e0: 03090002 01030709 00060103 03090003 ................ 1d0f0: 01030109 00030103 01090003 01030409 ................ 1d100: 00030103 03090003 01030709 00030103 ................ 1d110: 05090003 01030609 00030103 01090002 ................ 1d120: 01030109 00020103 01090002 01030109 ................ 1d130: 00020103 01090002 01030109 00020103 ................ 1d140: 01090002 01030109 00030103 01090002 ................ 1d150: 01030609 00030103 03090003 01030109 ................ 1d160: 00020103 01090002 01030109 00020103 ................ 1d170: 01090002 01030109 00020103 02090002 ................ 1d180: 01030109 00030103 01090003 01030109 ................ 1d190: 00030103 0b090002 01030109 00020103 ................ 1d1a0: 01090002 01030109 00020103 01090002 ................ 1d1b0: 01030109 00030103 01090003 01030309 ................ 1d1c0: 00020103 01090003 01030109 00020103 ................ 1d1d0: 01090003 01030109 00020103 01090003 ................ 1d1e0: 01030309 00030103 01090003 01030309 ................ 1d1f0: 00030103 08090002 01030409 00030103 ................ 1d200: 02090003 01030109 00030103 02090003 ................ 1d210: 01030209 00020103 06090003 01030109 ................ 1d220: 00020103 01090002 01030109 00030103 ................ 1d230: 01090002 01030109 00020103 01090003 ................ 1d240: 01030109 00020103 01090002 01030109 ................ 1d250: 00030103 01090002 01030109 00030103 ................ 1d260: 01090003 01030109 00020103 05090003 ................ 1d270: 01030309 00030103 01090002 01030109 ................ 1d280: 00020103 01090003 01030109 00020103 ................ 1d290: 01090002 01030109 00030103 01090002 ................ 1d2a0: 01030109 00030103 02090003 01030309 ................ 1d2b0: 00030103 01090002 01030109 00020103 ................ 1d2c0: 01090003 01030109 00020103 01090002 ................ 1d2d0: 01030309 00020103 02090002 01030109 ................ 1d2e0: 00030103 01090003 01030309 00040103 ................ 1d2f0: 01090003 01030109 00030103 01090002 ................ 1d300: 01030109 00030103 01090003 01030109 ................ 1d310: 00020103 01090003 01030309 00020103 ................ 1d320: 01090003 01030109 00030109 00020001 ................ 1d330: 01000003 29000200 0002ad01 01fb0e0a ....)........... 1d340: 00010101 01000000 012f686f 6d652f63 ........./home/c 1d350: 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- 1d360: 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt 1d370: 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs 1d380: 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l 1d390: 6962632f 73747269 6e67002f 686f6d65 ibc/string./home 1d3a0: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 1d3b0: 422d3230 30372e32 2f703472 6f6f742f B-2007.2/p4root/ 1d3c0: 5874656e 73612f54 61726765 742d6c69 Xtensa/Target-li 1d3d0: 62732f6e 65776c69 622f6e65 776c6962 bs/newlib/newlib 1d3e0: 2f6c6962 632f696e 636c7564 65002f70 /libc/include./p 1d3f0: 726f6a65 63742f63 7573742f 67656e61 roject/cust/gena 1d400: 70702f52 422d3230 30372e32 2f627569 pp/RB-2007.2/bui 1d410: 6c642f61 74686572 6f732f70 726f642f ld/atheros/prod/ 1d420: 4d616770 69655f50 302f3833 3734332f Magpie_P0/83743/ 1d430: 78627569 6c642f54 61726765 742d6c69 xbuild/Target-li 1d440: 62732f6e 65776c69 622f7874 656e7361 bs/newlib/xtensa 1d450: 2d656c66 2f6e6577 6c69622f 74617267 -elf/newlib/targ 1d460: 2d696e63 6c756465 002f686f 6d652f63 -include./home/c 1d470: 7573746f 6d65722f 74726565 2f52422d ustomer/tree/RB- 1d480: 32303037 2e322f70 34726f6f 742f5874 2007.2/p4root/Xt 1d490: 656e7361 2f546172 6765742d 6c696273 ensa/Target-libs 1d4a0: 2f6e6577 6c69622f 6e65776c 69622f6c /newlib/newlib/l 1d4b0: 6962632f 696e636c 7564652f 73797300 ibc/include/sys. 1d4c0: 2f686f6d 652f6375 73746f6d 65722f74 /home/customer/t 1d4d0: 7265652f 52422d32 3030372e 322f7034 ree/RB-2007.2/p4 1d4e0: 726f6f74 2f587465 6e73612f 54617267 root/Xtensa/Targ 1d4f0: 65742d6c 6962732f 6e65776c 69622f6e et-libs/newlib/n 1d500: 65776c69 622f6c69 62632f69 6e636c75 ewlib/libc/inclu 1d510: 64652f6d 61636869 6e65002f 686f6d65 de/machine./home 1d520: 2f637573 746f6d65 722f7472 65652f52 /customer/tree/R 1d530: 422d3230 30372e32 2f746f6f 6c732f73 B-2007.2/tools/s 1d540: 77746f6f 6c732d78 38362d6c 696e7578 wtools-x86-linux 1d550: 2f6c6962 2f786363 2d6c6962 2f696e63 /lib/xcc-lib/inc 1d560: 6c756465 00006d65 6d6d6f76 652e6300 lude..memmove.c. 1d570: 01000073 7472696e 672e6800 0200005f ...string.h...._ 1d580: 616e7369 2e680002 00006e65 776c6962 ansi.h....newlib 1d590: 2e680003 0000636f 6e666967 2e680004 .h....config.h.. 1d5a0: 00006965 65656670 2e680005 00007265 ..ieeefp.h....re 1d5b0: 656e742e 68000400 005f7479 7065732e ent.h...._types. 1d5c0: 68000400 006c6f63 6b2e6800 04000073 h....lock.h....s 1d5d0: 74646465 662e6800 0600006c 696d6974 tddef.h....limit 1d5e0: 732e6800 02000000 00050200 8e6c5c03 s.h..........l\. 1d5f0: 3d01031f 09000301 03040900 12010303 =............... 1d600: 09000801 03290900 0a01035f 09000501 .....)....._.... 1d610: 031b0900 0c010302 09001101 03040900 ................ 1d620: 75010365 09000401 03050900 2201037b u..e........"..{ 1d630: 09001601 03050900 0b010305 09004801 ..............H. 1d640: 03020900 1301037e 09000801 03020900 .......~........ 1d650: 0c01037e 09004601 09000800 01010000 ...~..F......... 1d660: 01880002 00000076 0101fb0e 0a000101 .......v........ 1d670: 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1d680: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1d690: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1d6a0: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1d6b0: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1d6c0: 2f6d6163 68696e65 2f787465 6e736100 /machine/xtensa. 1d6d0: 006d656d 7365742e 53000100 00000005 .memset.S....... 1d6e0: 02008e6e 21033a01 03050900 03010301 ...n!.:......... 1d6f0: 09000301 03040900 0201030a 09000301 ................ 1d700: 03030900 03010301 09000301 03010900 ................ 1d710: 02010303 09000201 03050900 03010303 ................ 1d720: 09000301 03010900 03010301 09000201 ................ 1d730: 03030900 03010307 09000401 03040900 ................ 1d740: 03010301 09000301 03010900 03010301 ................ 1d750: 09000301 03010900 03010302 09000301 ................ 1d760: 03030900 02010301 09000301 03040900 ................ 1d770: 03010304 09000301 03070900 03010301 ................ 1d780: 09000201 03010900 02010301 09000201 ................ 1d790: 03010900 02010306 09000301 03030900 ................ 1d7a0: 03010301 09000201 03010900 02010302 ................ 1d7b0: 09000301 03030900 03010301 09000201 ................ 1d7c0: 03020900 02010303 09000301 03010900 ................ 1d7d0: 03010302 09000201 03030900 03010301 ................ 1d7e0: 09000301 09000200 01010000 02de0002 ................ 1d7f0: 00000076 0101fb0e 0a000101 01010000 ...v............ 1d800: 00012f68 6f6d652f 63757374 6f6d6572 ../home/customer 1d810: 2f747265 652f5242 2d323030 372e322f /tree/RB-2007.2/ 1d820: 7034726f 6f742f58 74656e73 612f5461 p4root/Xtensa/Ta 1d830: 72676574 2d6c6962 732f6e65 776c6962 rget-libs/newlib 1d840: 2f6e6577 6c69622f 6c696263 2f6d6163 /newlib/libc/mac 1d850: 68696e65 2f787465 6e736100 00737472 hine/xtensa..str 1d860: 636d702e 53000100 00000005 02008e65 cmp.S..........e 1d870: 60032c01 03030900 03010301 09000301 `.,............. 1d880: 03010900 03010301 09000201 03020900 ................ 1d890: 03010301 09000301 03020900 03010301 ................ 1d8a0: 09000301 03030900 03010301 09000201 ................ 1d8b0: 03010900 03010301 09000201 03010900 ................ 1d8c0: 03010301 09000301 03010900 03010301 ................ 1d8d0: 09000201 03010900 03010301 09000301 ................ 1d8e0: 03010900 02010301 09000301 03010900 ................ 1d8f0: 03010301 09000301 03010900 02010301 ................ 1d900: 09000301 03010900 03010301 09000201 ................ 1d910: 031b0900 05010304 09000201 03030900 ................ 1d920: 03010301 09000301 03010900 03010301 ................ 1d930: 09000201 03010900 03010302 09000201 ................ 1d940: 03050900 03010301 09000301 031d0900 ................ 1d950: 04010301 09000301 03090900 03010303 ................ 1d960: 09000301 03010900 03010301 09000301 ................ 1d970: 03010900 03010301 09000301 03010900 ................ 1d980: 03010303 09000301 03010900 03010301 ................ 1d990: 09000301 03010900 03010301 09000301 ................ 1d9a0: 03010900 03010302 09000301 03010900 ................ 1d9b0: 03010302 09000301 03040900 03010301 ................ 1d9c0: 09000301 03190900 03010302 09000301 ................ 1d9d0: 03010900 03010301 09000301 03010900 ................ 1d9e0: 03010301 09000301 03010900 0301030f ................ 1d9f0: 09000301 03010900 0201030d 09000201 ................ 1da00: 03030900 03010301 09000201 03010900 ................ 1da10: 02010301 09000201 03010900 03010301 ................ 1da20: 09000301 03010900 03010301 09000301 ................ 1da30: 03010900 03010313 09000201 03010900 ................ 1da40: 02010306 09000301 03010900 03010301 ................ 1da50: 09000301 03010900 03010301 09000201 ................ 1da60: 03020900 02010301 09000201 03040900 ................ 1da70: 02010301 09000301 03010900 03010301 ................ 1da80: 09000301 03010900 03010301 09000301 ................ 1da90: 03010900 03010301 09000301 03010900 ................ 1daa0: 03010308 09000301 03010900 03010304 ................ 1dab0: 09000201 03010900 03010301 09000301 ................ 1dac0: 03010900 03010900 02000101 000001d6 ................ 1dad0: 00020000 00760101 fb0e0a00 01010101 .....v.......... 1dae0: 00000001 2f686f6d 652f6375 73746f6d ..../home/custom 1daf0: 65722f74 7265652f 52422d32 3030372e er/tree/RB-2007. 1db00: 322f7034 726f6f74 2f587465 6e73612f 2/p4root/Xtensa/ 1db10: 54617267 65742d6c 6962732f 6e65776c Target-libs/newl 1db20: 69622f6e 65776c69 622f6c69 62632f6d ib/newlib/libc/m 1db30: 61636869 6e652f78 74656e73 61000073 achine/xtensa..s 1db40: 74726370 792e5300 01000000 00050200 trcpy.S......... 1db50: 8e667803 22010303 09000301 03010900 .fx."........... 1db60: 03010301 09000301 03010900 03010301 ................ 1db70: 09000301 03010900 03010301 09000301 ................ 1db80: 03040900 03010301 09000201 03020900 ................ 1db90: 03010303 09000401 03010900 03010301 ................ 1dba0: 09000201 03010900 03010301 09000201 ................ 1dbb0: 03010900 02010303 09000301 03020900 ................ 1dbc0: 03010301 09000301 03010900 02010301 ................ 1dbd0: 09000301 03010900 02010301 09000301 ................ 1dbe0: 03010900 02010301 09000301 03100900 ................ 1dbf0: 03010304 09000201 03010900 03010301 ................ 1dc00: 09000201 03010900 02010301 09000301 ................ 1dc10: 03010900 03010301 09000301 03010900 ................ 1dc20: 02010301 09000301 03100900 02010304 ................ 1dc30: 09000301 03020900 02010301 09000301 ................ 1dc40: 03040900 03010302 09000301 03010900 ................ 1dc50: 03010304 09000201 03020900 03010301 ................ 1dc60: 09000301 03010900 02010301 09000301 ................ 1dc70: 03130900 05010304 09000201 03020900 ................ 1dc80: 03010301 09000301 03010900 02010301 ................ 1dc90: 09000301 03020900 02010304 09000301 ................ 1dca0: 09000200 01010000 01640002 00000076 .........d.....v 1dcb0: 0101fb0e 0a000101 01010000 00012f68 ............../h 1dcc0: 6f6d652f 63757374 6f6d6572 2f747265 ome/customer/tre 1dcd0: 652f5242 2d323030 372e322f 7034726f e/RB-2007.2/p4ro 1dce0: 6f742f58 74656e73 612f5461 72676574 ot/Xtensa/Target 1dcf0: 2d6c6962 732f6e65 776c6962 2f6e6577 -libs/newlib/new 1dd00: 6c69622f 6c696263 2f6d6163 68696e65 lib/libc/machine 1dd10: 2f787465 6e736100 00737472 6c656e2e /xtensa..strlen. 1dd20: 53000100 00000005 02008e67 10032201 S..........g..". 1dd30: 03030900 03010301 09000301 03010900 ................ 1dd40: 03010301 09000301 03010900 03010301 ................ 1dd50: 09000301 03010900 03010301 09000301 ................ 1dd60: 03030900 04010301 09000301 03010900 ................ 1dd70: 02010301 09000201 03030900 03010301 ................ 1dd80: 09000201 03010900 02010301 09000301 ................ 1dd90: 03030900 03010301 09000201 03010900 ................ 1dda0: 03010312 09000301 03040900 02010302 ................ 1ddb0: 09000301 03010900 02010301 09000201 ................ 1ddc0: 03010900 03010301 09000301 03020900 ................ 1ddd0: 03010306 09000301 03040900 02010301 ................ 1dde0: 09000301 03030900 02010301 09000201 ................ 1ddf0: 03010900 03010303 09000301 03010900 ................ 1de00: 02010301 09000301 09000200 01010000 ................ 1de10: 031e0002 000002ad 0101fb0e 0a000101 ................ 1de20: 01010000 00012f68 6f6d652f 63757374 ....../home/cust 1de30: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1de40: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1de50: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1de60: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1de70: 2f737472 696e6700 2f686f6d 652f6375 /string./home/cu 1de80: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1de90: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte 1dea0: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ 1deb0: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li 1dec0: 62632f69 6e636c75 6465002f 70726f6a bc/include./proj 1ded0: 6563742f 63757374 2f67656e 6170702f ect/cust/genapp/ 1dee0: 52422d32 3030372e 322f6275 696c642f RB-2007.2/build/ 1def0: 61746865 726f732f 70726f64 2f4d6167 atheros/prod/Mag 1df00: 7069655f 50302f38 33373433 2f786275 pie_P0/83743/xbu 1df10: 696c642f 54617267 65742d6c 6962732f ild/Target-libs/ 1df20: 6e65776c 69622f78 74656e73 612d656c newlib/xtensa-el 1df30: 662f6e65 776c6962 2f746172 672d696e f/newlib/targ-in 1df40: 636c7564 65002f68 6f6d652f 63757374 clude./home/cust 1df50: 6f6d6572 2f747265 652f5242 2d323030 omer/tree/RB-200 1df60: 372e322f 7034726f 6f742f58 74656e73 7.2/p4root/Xtens 1df70: 612f5461 72676574 2d6c6962 732f6e65 a/Target-libs/ne 1df80: 776c6962 2f6e6577 6c69622f 6c696263 wlib/newlib/libc 1df90: 2f696e63 6c756465 2f737973 002f686f /include/sys./ho 1dfa0: 6d652f63 7573746f 6d65722f 74726565 me/customer/tree 1dfb0: 2f52422d 32303037 2e322f70 34726f6f /RB-2007.2/p4roo 1dfc0: 742f5874 656e7361 2f546172 6765742d t/Xtensa/Target- 1dfd0: 6c696273 2f6e6577 6c69622f 6e65776c libs/newlib/newl 1dfe0: 69622f6c 6962632f 696e636c 7564652f ib/libc/include/ 1dff0: 6d616368 696e6500 2f686f6d 652f6375 machine./home/cu 1e000: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1e010: 3030372e 322f746f 6f6c732f 7377746f 007.2/tools/swto 1e020: 6f6c732d 7838362d 6c696e75 782f6c69 ols-x86-linux/li 1e030: 622f7863 632d6c69 622f696e 636c7564 b/xcc-lib/includ 1e040: 65000073 74726e63 6d702e63 00010000 e..strncmp.c.... 1e050: 73747269 6e672e68 00020000 5f616e73 string.h...._ans 1e060: 692e6800 0200006e 65776c69 622e6800 i.h....newlib.h. 1e070: 03000063 6f6e6669 672e6800 04000069 ...config.h....i 1e080: 65656566 702e6800 05000072 65656e74 eeefp.h....reent 1e090: 2e680004 00005f74 79706573 2e680004 .h...._types.h.. 1e0a0: 00006c6f 636b2e68 00040000 73746464 ..lock.h....stdd 1e0b0: 65662e68 00060000 6c696d69 74732e68 ef.h....limits.h 1e0c0: 00020000 00000502 008e6774 03c10001 ..........gt.... 1e0d0: 03120900 03010304 09000301 03170900 ................ 1e0e0: 09010304 09001101 03020900 0601037a ...............z 1e0f0: 09000801 03090900 0c010300 09000b01 ................ 1e100: 03650900 0b01030a 09001501 03780900 .e...........x.. 1e110: 07010304 09000501 03040900 0b010311 ................ 1e120: 09000a01 03000900 04010900 04000101 ................ 1e130: 000002fd 00020000 00770101 fb0e0a00 .........w...... 1e140: 01010101 00000001 2f686f6d 652f6375 ......../home/cu 1e150: 73746f6d 65722f74 7265652f 52422d32 stomer/tree/RB-2 1e160: 3030372e 322f7034 726f6f74 2f587465 007.2/p4root/Xte 1e170: 6e73612f 54617267 65742d6c 6962732f nsa/Target-libs/ 1e180: 6e65776c 69622f6e 65776c69 622f6c69 newlib/newlib/li 1e190: 62632f6d 61636869 6e652f78 74656e73 bc/machine/xtens 1e1a0: 61000073 74726e63 70792e53 00010000 a..strncpy.S.... 1e1b0: 00000502 008e6804 03220103 01090003 ......h.."...... 1e1c0: 01030109 00020103 01090003 01030109 ................ 1e1d0: 00020103 01090002 01030109 00020103 ................ 1e1e0: 01090003 01030309 00030103 01090003 ................ 1e1f0: 01030109 00020103 01090003 01030109 ................ 1e200: 00020103 01090002 01030109 00020103 ................ 1e210: 01090003 01030109 00020103 01090003 ................ 1e220: 01030109 00020103 01090002 01030109 ................ 1e230: 00020103 01090002 01030309 00030103 ................ 1e240: 07090003 01030309 00030103 01090002 ................ 1e250: 01030209 00030103 01090003 01030109 ................ 1e260: 00030103 01090003 01030109 00030103 ................ 1e270: 01090003 01030409 00030103 01090002 ................ 1e280: 01030209 00030103 06090003 01030109 ................ 1e290: 00020103 01090003 01030209 00030103 ................ 1e2a0: 05090003 01030109 00050103 01090003 ................ 1e2b0: 01030109 00020103 02090002 01030109 ................ 1e2c0: 00030103 0b090003 01030409 00020103 ................ 1e2d0: 01090003 01030109 00020103 01090003 ................ 1e2e0: 01030209 00030103 03090004 01030109 ................ 1e2f0: 00030103 01090002 01030109 00030103 ................ 1e300: 01090002 01030309 00030103 01090003 ................ 1e310: 01030109 00020103 01090003 01030109 ................ 1e320: 00030103 01090002 01030109 00030103 ................ 1e330: 01090002 01031209 00050103 04090002 ................ 1e340: 01030109 00030103 01090003 01030109 ................ 1e350: 00020103 01090002 01030109 00030103 ................ 1e360: 01090003 01030109 00030103 01090002 ................ 1e370: 01030109 00030103 01090002 01031109 ................ 1e380: 00030103 04090003 01030209 00020103 ................ 1e390: 01090003 01030109 00020103 01090002 ................ 1e3a0: 01030409 00050103 02090003 01030109 ................ 1e3b0: 00030103 01090003 01030109 00020103 ................ 1e3c0: 04090005 01030209 00030103 01090003 ................ 1e3d0: 01030109 00020103 01090003 01030109 ................ 1e3e0: 00030103 01090002 01031009 00040103 ................ 1e3f0: 04090002 01030209 00030103 01090003 ................ 1e400: 01030109 00020103 01090003 01030109 ................ 1e410: 00020103 01090002 01030209 00020103 ................ 1e420: 04090003 01030209 00030109 00020001 ................ 1e430: 01000000 . Disassembly of section .xt.prop: 00000000 <.xt.prop>: 0: 008e1000 0000001a 00020082 008e101a ................ 10: 00000007 000200a2 008e1021 00000009 ...........!.... 20: 000200a2 008e102a 00000000 00000008 .......*........ 30: 004e8000 00000010 00002804 008e0c20 .N........(.... 40: 00000006 00020082 008e0c26 00000000 ...........&.... 50: 00000008 008e0f20 00000006 00020082 ....... ........ 60: 008e0f26 00000000 00000008 008e0d40 ...&...........@ 70: 00000006 00020082 008e0d46 00000000 ...........F.... 80: 00000008 008e0000 00000003 00020082 ................ 90: 008e0003 00000000 00002808 008e0004 ..........(..... a0: 00000018 00002801 008e0020 00000021 ......(.... ...! b0: 00000082 008e0041 00000000 00004808 .......A......H. c0: 008e0050 00000008 000001a2 008e0058 ...P...........X d0: 00000005 00000082 008e005d 00000015 ...........].... e0: 000000a2 008e0072 0000000a 000000a2 .......r........ f0: 008e007c 00000001 00000008 008e007d ...|...........} 100: 00000017 000000a2 008e0094 0000000c ................ 110: 000000a2 008e00a0 0000000e 000000a2 ................ 120: 008e00ae 00000002 00000008 008e00b0 ................ 130: 00000006 000000a2 008e00b6 00000009 ................ 140: 000000a2 008e00bf 0000001f 00020082 ................ 150: 004e8010 00000008 00002804 008e0e20 .N........(.... 160: 00000017 00020082 008e0e37 00000000 ...........7.... 170: 00000008 008e0ce4 00000003 00020082 ................ 180: 008e0ce7 00000000 00000008 008e0800 ................ 190: 0000000f 00020182 008e080f 00000000 ................ 1a0: 00000108 008e0840 0000000f 00020182 .......@........ 1b0: 008e084f 00000000 00000108 008e0880 ...O............ 1c0: 0000001e 00020182 008e089e 00000000 ................ 1d0: 00000108 008e08c0 0000001e 00020182 ................ 1e0: 008e08de 00000000 00000108 008e0900 ................ 1f0: 0000002a 00020182 008e092a 00000000 ...*.......*.... 200: 00000108 008e0940 0000002a 00020082 .......@...*.... 210: 008e096a 00000000 00000008 008e0a20 ...j........... 220: 00000006 00020082 008e0a26 00000000 ...........&.... 230: 00000008 008e0b20 00000006 00020082 ....... ........ 240: 008e0b26 00000000 00000008 008e102c ...&..........., 250: 0000001c 00020082 008e1048 0000002a ...........H...* 260: 000200a2 008e1072 00000000 00002808 .......r......(. 270: 008e1074 00000006 00022982 008e107c ...t......)....| 280: 00000034 00022982 008e10b0 00000002 ...4..)......... 290: 00020182 008e10b2 0000000b 000200a2 ................ 2a0: 008e10bd 00000023 000200a2 008e10e0 .......#........ 2b0: 00000023 000200a2 008e1103 00000000 ...#............ 2c0: 00000008 008e1104 00000018 00020082 ................ 2d0: 008e111c 00000027 000200a2 008e1143 .......'.......C 2e0: 00000006 00020182 008e1149 00000007 ...........I.... 2f0: 00020082 008e1150 00000000 00000008 .......P........ 300: 008e1150 00000014 00020082 008e1164 ...P...........d 310: 00000000 00002808 008e1164 00000007 ......(....d.... 320: 00020082 008e116b 00000000 00000008 .......k........ 330: 008e116b 00000008 000200a2 008e1173 ...k...........s 340: 00000000 00000008 004e8020 00000004 .........N. .... 350: 00002804 008e1174 0000001e 00020082 ..(....t........ 360: 008e1192 00000003 00020182 008e1195 ................ 370: 0000004d 00020082 008e11e2 00000000 ...M............ 380: 00000008 004e8028 0000000c 00002804 .....N.(......(. 390: 008e11e4 00000034 00020082 008e1218 .......4........ 3a0: 00000000 00000008 008e1218 00000010 ................ 3b0: 000200a2 008e1228 00000000 00000008 .......(........ 3c0: 008e1228 00000004 000200a2 008e122c ...(..........., 3d0: 00000000 00000008 008e122c 00000004 ...........,.... 3e0: 000200a2 008e1230 00000000 00002808 .......0......(. 3f0: 008e1230 00000010 00020082 008e1240 ...0...........@ 400: 00000000 00000008 004e8034 0000000c .........N.4.... 410: 00002804 008e1240 0000001a 00020082 ..(....@........ 420: 008e125a 00000003 00020182 008e125d ...Z...........] 430: 00000015 00020082 008e1272 00000003 ...........r.... 440: 00020182 008e1275 00000013 00020082 .......u........ 450: 008e1288 00000037 000200a2 008e12bf .......7........ 460: 00000003 00020182 008e12c2 00000012 ................ 470: 00020082 008e12d4 00000014 000200a2 ................ 480: 008e12e8 00000000 00000008 008e12e8 ................ 490: 00000009 00020082 008e12f1 00000000 ................ 4a0: 00000008 004e8040 00000008 00002804 .....N.@......(. 4b0: 008e12f4 0000001a 00020082 008e130e ................ 4c0: 00000003 00020182 008e1311 00000015 ................ 4d0: 00020082 008e1326 00000003 00020182 .......&........ 4e0: 008e1329 00000013 00020082 008e133c ...)...........< 4f0: 00000037 000200a2 008e1373 00000003 ...7.......s.... 500: 00020182 008e1376 00000012 00020082 .......v........ 510: 008e1388 00000011 000200a2 008e1399 ................ 520: 00000000 00000008 008e1399 00000009 ................ 530: 00020082 008e13a2 00000000 00000008 ................ 540: 004e8048 00000008 00002804 008e6920 .N.H......(...i 550: 00000008 00020082 008e6928 00000000 ..........i(.... 560: 00000008 00500504 00000004 00003804 .....P........8. 570: 008e6928 0000000d 00020082 008e6935 ..i(..........i5 580: 00000000 00000008 008e6938 00000018 ..........i8.... 590: 00020082 008e6950 00000000 00000008 ......iP........ 5a0: 008e13a4 0000001d 00020082 008e13c1 ................ 5b0: 0000001a 000200a2 008e13db 0000001c ................ 5c0: 000200a2 008e13f7 00000000 00002808 ..............(. 5d0: 008e13f8 00000030 00020082 008e1428 .......0.......( 5e0: 00000000 00002808 008e1428 00000015 ......(....(.... 5f0: 00020082 008e143d 00000010 000200a2 .......=........ 600: 008e144d 00000000 00000008 008e144d ...M...........M 610: 00000004 000200a2 008e1451 00000000 ...........Q.... 620: 00002808 008e1454 0000000b 00020082 ..(....T........ 630: 008e145f 00000000 00002808 008e1460 ..._......(....` 640: 00000008 00020082 008e1468 00000000 ...........h.... 650: 00002808 008e1468 00000008 00020082 ..(....h........ 660: 008e1470 00000002 000200a2 008e1472 ...p...........r 670: 00000000 00002808 008e1474 00000055 ......(....t...U 680: 00020082 008e14c9 00000009 000200b2 ................ 690: 008e14d2 00000000 00002808 008e14d4 ..........(..... 6a0: 00000071 00020082 008e1545 00000000 ...q.......E.... 6b0: 00002808 008e1548 00000016 00020082 ..(....H........ 6c0: 008e155e 00000007 000200a2 008e1565 ...^...........e 6d0: 00000000 00000008 008e1565 00000005 ...........e.... 6e0: 000200a2 008e156a 00000002 000200a2 .......j........ 6f0: 008e156c 00000000 00000008 008e156c ...l...........l 700: 00000007 000200a2 008e1573 00000000 ...........s.... 710: 00002808 008e1574 00000019 00020082 ..(....t........ 720: 008e158d 00000003 00020092 008e1590 ................ 730: 00000006 000200a2 008e1596 0000006d ...............m 740: 000200a2 008e1603 00000000 00002808 ..............(. 750: 008e1604 00000010 00020082 008e1614 ................ 760: 00000000 00002808 008e1614 00000024 ......(........$ 770: 00020082 008e1638 00000042 000200a2 .......8...B.... 780: 008e167a 00000000 00002808 008e167c ...z......(....| 790: 00000032 00020082 008e16ae 00000000 ...2............ 7a0: 00002808 008e16b0 00000036 00020082 ..(........6.... 7b0: 008e16e6 00000000 00002808 008e16e8 ..........(..... 7c0: 000000a1 00020082 008e1789 00000002 ................ 7d0: 000200a2 008e178b 00000000 00002808 ..............(. 7e0: 008e178c 000000c2 00020082 008e184e ...............N 7f0: 00000000 00000008 008e184e 00000010 ...........N.... 800: 000200a2 008e185e 00000000 00002808 .......^......(. 810: 008e1860 0000003b 00020082 008e189b ...`...;........ 820: 00000000 00002808 008e189c 0000002c ......(........, 830: 00020082 008e18c8 00000000 00002808 ..............(. 840: 008e18c8 00000033 00020082 008e18fb .......3........ 850: 00000000 00002808 008e18fc 00000097 ......(......... 860: 00020082 008e1993 00000000 00000008 ................ 870: 008e1993 00000017 000200a2 008e19aa ................ 880: 00000000 00000008 008e19aa 00000048 ...............H 890: 000200a2 008e19f2 00000032 000200a2 ...........2.... 8a0: 008e1a24 00000000 00000008 008e1a24 ...$...........$ 8b0: 00000026 000200a2 008e1a4a 00000000 ...&.......J.... 8c0: 00000008 008e1a4a 00000015 000200a2 .......J........ 8d0: 008e1a5f 00000000 00000008 008e1a5f ..._..........._ 8e0: 0000001a 00020082 008e1a79 00000029 ...........y...) 8f0: 000200a2 008e1aa2 00000000 00000008 ................ 900: 008e1aa2 0000000c 000200a2 008e1aae ................ 910: 00000000 00000008 008e1aae 00000050 ...............P 920: 000200a2 008e1afe 0000001f 000200a2 ................ 930: 008e1b1d 00000000 00000008 008e1b1d ................ 940: 00000059 000200a2 008e1b76 00000000 ...Y.......v.... 950: 00000008 008e1b76 00000034 000200a2 .......v...4.... 960: 008e1baa 00000000 00000008 008e1baa ................ 970: 00000036 000200a2 008e1be0 00000002 ...6............ 980: 000200a2 008e1be2 00000000 00000008 ................ 990: 008e1be2 00000023 00020082 008e1c05 .......#........ 9a0: 00000000 00000008 008e1c05 0000000f ................ 9b0: 00020082 008e1c14 00000000 00002808 ..............(. 9c0: 008e1c14 0000001e 00020082 008e1c32 ...............2 9d0: 00000000 00000008 004e8740 00000000 .........N.@.... 9e0: 00004804 004e8050 00000110 00002804 ..H..N.P......(. 9f0: 008e1c34 00000010 00020082 008e1c44 ...4...........D a00: 00000000 00002808 008e1c44 00000021 ......(....D...! a10: 00020082 008e1c65 00000000 00000008 .......e........ a20: 008e1c65 0000000f 000200a2 008e1c74 ...e...........t a30: 00000000 00002808 008e1c74 00000021 ......(....t...! a40: 00020082 008e1c95 00000000 00002808 ..............(. a50: 008e1c98 00000014 00020082 008e1cac ................ a60: 00000000 00000008 004e8160 00000018 .........N.`.... a70: 00002804 008e1cac 00000015 00020082 ..(............. a80: 008e1cc1 0000000b 000200a2 008e1ccc ................ a90: 00000000 00002808 008e1ccc 0000000d ......(......... aa0: 00020082 008e1cd9 00000000 00002808 ..............(. ab0: 008e1cdc 0000000c 00020082 008e1ce8 ................ ac0: 00000000 00002808 008e1ce8 00000005 ......(......... ad0: 00020082 008e1ced 00000000 00002808 ..............(. ae0: 008e1cf0 00000005 00020082 008e1cf5 ................ af0: 00000000 00002808 008e1cf8 00000005 ......(......... b00: 00020082 008e1cfd 00000000 00002808 ..............(. b10: 008e1d00 00000005 00020082 008e1d05 ................ b20: 00000000 00002808 008e1d08 00000005 ......(......... b30: 00020082 008e1d0d 00000000 00002808 ..............(. b40: 008e1d10 00000023 00020082 008e1d33 .......#.......3 b50: 00000003 000200a2 008e1d36 0000000d ...........6.... b60: 000200b2 008e1d43 00000000 00002808 .......C......(. b70: 008e1d44 00000026 00020082 008e1d6a ...D...&.......j b80: 00000000 00002808 008e1d6c 00000032 ......(....l...2 b90: 00020082 008e1d9e 00000000 00000008 ................ ba0: 004e817c 00000034 00002804 008e1da0 .N.|...4..(..... bb0: 00000047 00020082 008e1de7 00000010 ...G............ bc0: 000200a2 008e1df7 00000000 00002808 ..............(. bd0: 008e1df8 00000018 00020082 008e1e10 ................ be0: 00000013 000200a2 008e1e23 00000000 ...........#.... bf0: 00002808 008e1e24 00000023 00020082 ..(....$...#.... c00: 008e1e47 00000000 00000008 008e1e47 ...G...........G c10: 00000002 000200a2 008e1e49 00000007 ...........I.... c20: 000200a2 008e1e50 00000000 00000008 .......P........ c30: 008e1e50 00000004 000200a2 008e1e54 ...P...........T c40: 00000000 00000008 008e1e54 00000007 ...........T.... c50: 000200a2 008e1e5b 00000000 00002808 .......[......(. c60: 008e1e5c 0000001e 00020082 008e1e7a ...\...........z c70: 00000014 000200a2 008e1e8e 00000010 ................ c80: 000200a2 008e1e9e 00000004 000200a2 ................ c90: 008e1ea2 00000000 00000008 008e1ea2 ................ ca0: 0000000d 000200a2 008e1eaf 00000000 ................ cb0: 00000008 008e1eaf 0000000d 000200a2 ................ cc0: 008e1ebc 00000000 00002808 008e1ebc ..........(..... cd0: 00000019 00020082 008e1ed5 00000014 ................ ce0: 000200a2 008e1ee9 00000004 000200a2 ................ cf0: 008e1eed 00000000 00000008 008e1eed ................ d00: 00000004 000200a2 008e1ef1 00000000 ................ d10: 00000008 008e1ef1 00000010 000200a2 ................ d20: 008e1f01 00000000 00002808 008e1f04 ..........(..... d30: 000001af 00020082 008e20b3 00000000 .......... ..... d40: 00000008 008e20b3 00000059 000200a2 ...... ....Y.... d50: 008e210c 00000020 000200a2 008e212c ..!.... ......!, d60: 00000000 00000008 008e212c 00000011 ..........!,.... d70: 000200a2 008e213d 00000000 00000008 ......!=........ d80: 008e213d 0000000e 000200a2 008e214b ..!=..........!K d90: 00000000 00000008 008e214b 0000001f ..........!K.... da0: 000200a2 008e216a 00000000 00000008 ......!j........ db0: 008e216a 00000002 000200a2 008e216c ..!j..........!l dc0: 00000000 00002808 008e216c 00000019 ......(...!l.... dd0: 00020082 008e2185 00000000 00000008 ......!......... de0: 004e81b4 00000050 00002804 008e2188 .N.....P..(...!. df0: 00000005 00020082 008e218d 00000000 ..........!..... e00: 00002808 008e2190 00000019 00020082 ..(...!......... e10: 008e21a9 0000000d 00020092 008e21b6 ..!...........!. e20: 00000000 00002808 008e21b8 0000003e ......(...!....> e30: 00020082 008e21f6 00000000 00002808 ......!.......(. e40: 008e21f8 00000039 00020082 008e2231 ..!....9......"1 e50: 00000000 00002808 008e2234 0000000e ......(..."4.... e60: 00020082 008e2242 0000001d 000200a2 ......"B........ e70: 008e225f 00000039 000200a2 008e2298 .."_...9......". e80: 00000000 00002808 008e2298 0000000e ......(..."..... e90: 00020082 008e22a6 0000002d 000200a2 ......"....-.... ea0: 008e22d3 00000024 000200a2 008e22f7 .."....$......". eb0: 00000000 00002808 008e22f8 0000001e ......(..."..... ec0: 00020082 008e2316 00000000 00000008 ......#......... ed0: 004e8208 00000028 00002804 008e2318 .N.....(..(...#. ee0: 00000005 00020082 008e231d 00000000 ..........#..... ef0: 00002808 008e2320 0000001e 00020082 ..(...# ........ f00: 008e233e 00000000 00000008 004e8234 ..#>.........N.4 f10: 00000010 00002804 008e2340 00000011 ......(...#@.... f20: 00020082 008e2351 00000000 00002808 ......#Q......(. f30: 008e2354 00000005 00020082 008e2359 ..#T..........#Y f40: 00000000 00002808 008e235c 00000023 ......(...#\...# f50: 00020082 008e237f 00000000 00000008 ......#......... f60: 008e237f 0000002e 000200a2 008e23ad ..#...........#. f70: 00000000 00002808 008e23b0 00000041 ......(...#....A f80: 00020082 008e23f1 0000000b 000200a2 ......#......... f90: 008e23fc 0000001b 000200a2 008e2417 ..#...........$. fa0: 00000005 000200a2 008e241c 00000000 ..........$..... fb0: 00002808 008e241c 00000005 00020082 ..(...$......... fc0: 008e2421 00000000 00002808 008e2424 ..$!......(...$$ fd0: 00000005 00020082 008e2429 00000000 ..........$).... fe0: 00002808 008e242c 00000014 00020082 ..(...$,........ ff0: 008e2440 00000000 00000008 008e2440 ..$@..........$@ 1000: 00000014 000200a2 008e2454 00000005 ..........$T.... 1010: 000200a2 008e2459 00000000 00002808 ......$Y......(. 1020: 008e245c 0000001b 00020082 008e2477 ..$\..........$w 1030: 00000000 00000008 008e2477 00000004 ..........$w.... 1040: 000200a2 008e247b 00000000 00002808 ......${......(. 1050: 008e247c 0000000b 00020082 008e2487 ..$|..........$. 1060: 00000000 00002808 008e2488 0000002d ......(...$....- 1070: 00020082 008e24b5 00000000 00000008 ......$......... 1080: 005006fc 00000000 00002804 004e8248 .P........(..N.H 1090: 0000004c 00002804 008e24b8 0000001b ...L..(...$..... 10a0: 00020082 008e24d3 00000000 00000008 ......$......... 10b0: 008e24d3 0000000a 000200a2 008e24dd ..$...........$. 10c0: 00000005 000200a2 008e24e2 00000000 ..........$..... 10d0: 00002808 008e24e4 00000011 00020082 ..(...$......... 10e0: 008e24f5 00000000 00000008 008e24f5 ..$...........$. 10f0: 00000002 000200a2 008e24f7 00000037 ..........$....7 1100: 000200a2 008e252e 00000003 00020092 ......%......... 1110: 008e2531 00000000 00000008 008e2531 ..%1..........%1 1120: 00000007 000200a2 008e2538 00000000 ..........%8.... 1130: 00002808 008e2538 0000001b 00020082 ..(...%8........ 1140: 008e2553 00000029 000200a2 008e257c ..%S...)......%| 1150: 00000000 00000008 008e257c 00000008 ..........%|.... 1160: 000200a2 008e2584 00000000 00000008 ......%......... 1170: 008e2584 00000007 000200a2 008e258b ..%...........%. 1180: 00000018 000200a2 008e25a3 00000000 ..........%..... 1190: 00000008 008e25a3 00000015 000200a2 ......%......... 11a0: 008e25b8 00000000 00000008 008e25b8 ..%...........%. 11b0: 00000011 000200a2 008e25c9 00000020 ..........%.... 11c0: 000200a2 008e25e9 00000000 00000008 ......%......... 11d0: 008e25e9 00000020 000200a2 008e2609 ..%.... ......&. 11e0: 0000000e 000200a2 008e2617 0000001c ..........&..... 11f0: 000200a2 008e2633 00000000 00000008 ......&3........ 1200: 008e2633 0000004f 000200a2 008e2682 ..&3...O......&. 1210: 00000008 000200a2 008e268a 00000000 ..........&..... 1220: 00000008 008e268a 00000007 000200a2 ......&......... 1230: 008e2691 0000001b 000200a2 008e26ac ..&...........&. 1240: 00000041 000200a2 008e26ed 0000002d ...A......&....- 1250: 000200a2 008e271a 00000011 000200a2 ......'......... 1260: 008e272b 00000000 00000008 008e272b ..'+..........'+ 1270: 00000002 000200a2 008e272d 0000001a ..........'-.... 1280: 000200a2 008e2747 00000000 00000008 ......'G........ 1290: 008e2747 00000020 000200a2 008e2767 ..'G... ......'g 12a0: 00000000 00000008 008e2767 00000010 ..........'g.... 12b0: 000200a2 008e2777 0000002e 000200a2 ......'w........ 12c0: 008e27a5 00000025 000200b2 008e27ca ..'....%......'. 12d0: 00000008 000200a2 008e27d2 00000000 ..........'..... 12e0: 00000008 008e27d2 0000000d 000200a2 ......'......... 12f0: 008e27df 00000000 00000008 008e27df ..'...........'. 1300: 00000016 000200a2 008e27f5 0000000e ..........'..... 1310: 000200a2 008e2803 00000005 000200a2 ......(......... 1320: 008e2808 00000000 00000008 008e2808 ..(...........(. 1330: 00000027 000200a2 008e282f 00000000 ...'......(/.... 1340: 00000008 008e282f 00000005 000200a2 ......(/........ 1350: 008e2834 00000000 00000008 008e2834 ..(4..........(4 1360: 00000019 000200a2 008e284d 00000000 ..........(M.... 1370: 00000008 008e284d 0000002b 000200a2 ......(M...+.... 1380: 008e2878 00000000 00000008 008e2878 ..(x..........(x 1390: 00000005 000200a2 008e287d 00000014 ..........(}.... 13a0: 000200a2 008e2891 00000000 00000008 ......(......... 13b0: 008e2891 00000006 000200a2 008e2897 ..(...........(. 13c0: 0000000f 000200a2 008e28a6 00000000 ..........(..... 13d0: 00000008 008e28a6 0000000d 000200a2 ......(......... 13e0: 008e28b3 00000000 00000008 008e28b3 ..(...........(. 13f0: 00000013 000200a2 008e28c6 00000000 ..........(..... 1400: 00000008 008e28c6 00000005 000200a2 ......(......... 1410: 008e28cb 00000008 000200a2 008e28d3 ..(...........(. 1420: 00000000 00000008 008e28d3 00000007 ..........(..... 1430: 000200a2 008e28da 00000019 000200a2 ......(......... 1440: 008e28f3 0000000c 000200a2 008e28ff ..(...........(. 1450: 0000000d 000200a2 008e290c 00000000 ..........)..... 1460: 00000008 008e290c 00000005 000200a2 ......)......... 1470: 008e2911 00000017 000200a2 008e2928 ..)...........)( 1480: 00000000 00000008 008e2928 00000002 ..........)(.... 1490: 000200a2 008e292a 00000000 00000008 ......)*........ 14a0: 008e292a 00000011 000200a2 008e293b ..)*..........); 14b0: 00000000 00000008 008e293b 00000009 ..........);.... 14c0: 000200a2 008e2944 00000000 00000008 ......)D........ 14d0: 008e2944 00000014 000200a2 008e2958 ..)D..........)X 14e0: 00000000 00000008 008e2958 00000007 ..........)X.... 14f0: 000200a2 008e295f 00000008 000200a2 ......)_........ 1500: 008e2967 00000000 00000008 008e2967 ..)g..........)g 1510: 00000011 000200a2 008e2978 00000000 ..........)x.... 1520: 00000008 008e2978 00000005 000200a2 ......)x........ 1530: 008e297d 00000000 00002808 008e2980 ..)}......(...). 1540: 00000033 00020082 008e29b3 00000000 ...3......)..... 1550: 00002808 008e29b4 00000005 00020082 ..(...)......... 1560: 008e29b9 00000000 00002808 008e29bc ..).......(...). 1570: 0000000f 00020082 008e29cb 00000000 ..........)..... 1580: 00000008 004e8298 00000018 00002804 .....N........(. 1590: 008e29cc 00000018 00020082 008e29e4 ..)...........). 15a0: 00000000 00002808 008e29e4 00000022 ......(...)...." 15b0: 00020082 008e2a06 00000000 00000008 ......*......... 15c0: 008e2a06 0000001c 000200a2 008e2a22 ..*...........*" 15d0: 00000027 000200a2 008e2a49 00000004 ...'......*I.... 15e0: 000200a2 008e2a4d 00000000 00002808 ......*M......(. 15f0: 008e2a50 0000001f 00020082 008e2a6f ..*P..........*o 1600: 00000003 00020092 008e2a72 00000000 ..........*r.... 1610: 00000008 008e2a72 00000016 000200a2 ......*r........ 1620: 008e2a88 00000000 00000008 008e2a88 ..*...........*. 1630: 00000004 000200a2 008e2a8c 00000000 ..........*..... 1640: 00002808 008e2a8c 0000000d 00020082 ..(...*......... 1650: 008e2a99 00000000 00002808 008e2a9c ..*.......(...*. 1660: 00000053 00020082 008e2aef 00000004 ...S......*..... 1670: 000200a2 008e2af3 00000000 00000008 ......*......... 1680: 008e2af3 0000000a 000200a2 008e2afd ..*...........*. 1690: 00000000 00002808 008e2b00 00000005 ......(...+..... 16a0: 00020082 008e2b05 00000000 00002808 ......+.......(. 16b0: 008e2b08 00000019 00020082 008e2b21 ..+...........+! 16c0: 00000000 00000008 004e82b4 00000030 .........N.....0 16d0: 00002804 008e2b24 00000005 00020082 ..(...+$........ 16e0: 008e2b29 00000000 00002808 008e2b2c ..+)......(...+, 16f0: 00000023 00020082 008e2b4f 00000000 ...#......+O.... 1700: 00000008 004e82e8 00000014 00002804 .....N........(. 1710: 008e2b50 0000000c 00020082 008e2b5c ..+P..........+\ 1720: 00000000 00002808 008e2b5c 0000000f ......(...+\.... 1730: 00020082 008e2b6b 00000000 00002808 ......+k......(. 1740: 008e2b6c 00000017 00020082 008e2b83 ..+l..........+. 1750: 00000002 000200a2 008e2b85 00000000 ..........+..... 1760: 00002808 008e2b88 00000013 00020082 ..(...+......... 1770: 008e2b9b 00000002 000200a2 008e2b9d ..+...........+. 1780: 00000000 00000008 008e2b9d 0000000a ..........+..... 1790: 000200a2 008e2ba7 00000000 00000008 ......+......... 17a0: 008e2ba7 0000000a 000200a2 008e2bb1 ..+...........+. 17b0: 00000000 00000008 008e2bb1 00000008 ..........+..... 17c0: 000200a2 008e2bb9 00000000 00002808 ......+.......(. 17d0: 008e2bbc 0000000e 00020082 008e2bca ..+...........+. 17e0: 00000016 000200a2 008e2be0 00000004 ..........+..... 17f0: 000200a2 008e2be4 00000000 00002808 ......+.......(. 1800: 008e2be4 0000001e 00020082 008e2c02 ..+...........,. 1810: 00000000 00000008 004e8300 00000014 .........N...... 1820: 00002804 008e2c04 0000000f 00020082 ..(...,......... 1830: 008e2c13 00000000 00002808 008e2c14 ..,.......(...,. 1840: 00000017 00020082 008e2c2b 00000012 ..........,+.... 1850: 000200a2 008e2c3d 00000000 00000008 ......,=........ 1860: 008e2c3d 0000000a 000200a2 008e2c47 ..,=..........,G 1870: 0000000a 000200a2 008e2c51 00000000 ..........,Q.... 1880: 00000008 008e2c51 00000005 000200a2 ......,Q........ 1890: 008e2c56 00000000 00002808 008e2c58 ..,V......(...,X 18a0: 0000000e 00020082 008e2c66 0000000d ..........,f.... 18b0: 000200a2 008e2c73 00000000 00000008 ......,s........ 18c0: 008e2c73 00000002 000200a2 008e2c75 ..,s..........,u 18d0: 00000008 000200a2 008e2c7d 00000006 ..........,}.... 18e0: 000200a2 008e2c83 00000000 00000008 ......,......... 18f0: 008e2c83 00000005 000200a2 008e2c88 ..,...........,. 1900: 00000000 00002808 008e2c88 0000000c ......(...,..... 1910: 00020082 008e2c94 00000000 00002808 ......,.......(. 1920: 008e2c94 0000002b 00020082 008e2cbf ..,....+......,. 1930: 00000000 00000008 008e2cbf 00000002 ..........,..... 1940: 000200a2 008e2cc1 00000000 00002808 ......,.......(. 1950: 008e2cc4 0000001e 00020082 008e2ce2 ..,...........,. 1960: 00000000 00000008 004e8318 00000018 .........N...... 1970: 00002804 008e2ce4 00000027 00020082 ..(...,....'.... 1980: 008e2d0b 00000000 00000008 008e2d0b ..-...........-. 1990: 00000004 000200a2 008e2d0f 00000000 ..........-..... 19a0: 00002808 008e2d10 0000001a 00020082 ..(...-......... 19b0: 008e2d2a 00000000 00002808 008e2d2c ..-*......(...-, 19c0: 0000001c 00020082 008e2d48 00000000 ..........-H.... 19d0: 00000008 008e2d48 00000004 000200a2 ......-H........ 19e0: 008e2d4c 00000008 000200a2 008e2d54 ..-L..........-T 19f0: 00000000 00002808 008e2d54 0000001e ......(...-T.... 1a00: 00020082 008e2d72 00000000 00002808 ......-r......(. 1a10: 008e2d74 0000001c 00020082 008e2d90 ..-t..........-. 1a20: 00000000 00002808 008e2d90 00000028 ......(...-....( 1a30: 00020082 008e2db8 00000000 00002808 ......-.......(. 1a40: 008e2db8 00000010 00020082 008e2dc8 ..-...........-. 1a50: 00000008 000200a2 008e2dd0 00000000 ..........-..... 1a60: 00002808 008e2dd0 00000028 00020082 ..(...-....(.... 1a70: 008e2df8 00000000 00000008 004e8334 ..-..........N.4 1a80: 00000028 00002804 008e2df8 0000003e ...(..(...-....> 1a90: 00020082 008e2e36 00000000 00002808 .......6......(. 1aa0: 008e2e38 00000005 00020082 008e2e3d ...8...........= 1ab0: 00000000 00002808 008e2e40 00000007 ......(....@.... 1ac0: 00020082 008e2e47 00000000 00002808 .......G......(. 1ad0: 008e2e48 00000015 00020082 008e2e5d ...H...........] 1ae0: 00000015 000200b2 008e2e72 00000002 ...........r.... 1af0: 000200b2 008e2e74 00000000 00002808 .......t......(. 1b00: 008e2e74 00000015 00020082 008e2e89 ...t............ 1b10: 00000015 000200b2 008e2e9e 00000002 ................ 1b20: 000200b2 008e2ea0 00000000 00002808 ..............(. 1b30: 008e2ea0 00000013 00020082 008e2eb3 ................ 1b40: 00000000 00000008 008e2eb3 0000000d ................ 1b50: 000200a2 008e2ec0 00000000 00002808 ..............(. 1b60: 008e2ec0 00000005 00020082 008e2ec5 ................ 1b70: 00000000 00002808 008e2ec8 0000000a ......(......... 1b80: 00020082 008e2ed2 00000000 00002808 ..............(. 1b90: 008e2ed4 00000010 00020082 008e2ee4 ................ 1ba0: 0000000b 000200a2 008e2eef 00000002 ................ 1bb0: 000200a2 008e2ef1 00000000 00002808 ..............(. 1bc0: 008e2ef4 00000025 00020082 008e2f19 .......%....../. 1bd0: 00000000 00000008 008e2f19 00000002 ........../..... 1be0: 000200a2 008e2f1b 00000000 00002808 ....../.......(. 1bf0: 008e2f1c 00000040 00020082 008e2f5c ../....@....../\ 1c00: 00000000 00002808 008e2f5c 00000037 ......(.../\...7 1c10: 00020082 008e2f93 00000000 00000008 ....../......... 1c20: 004e8360 00000030 00002804 008e2f94 .N.`...0..(.../. 1c30: 0000000a 00020082 008e2f9e 00000000 ........../..... 1c40: 00002808 008e2fa0 0000000a 00020082 ..(.../......... 1c50: 008e2faa 00000000 00002808 008e2fac ../.......(.../. 1c60: 00000017 00020082 008e2fc3 00000000 ........../..... 1c70: 00000008 008e2fc3 00000004 000200a2 ....../......... 1c80: 008e2fc7 00000000 00000008 008e2fc7 ../.........../. 1c90: 00000004 000200a2 008e2fcb 00000000 ........../..... 1ca0: 00000008 008e2fcb 00000004 000200a2 ....../......... 1cb0: 008e2fcf 00000000 00000008 008e2fcf ../.........../. 1cc0: 00000004 000200a2 008e2fd3 00000000 ........../..... 1cd0: 00002808 008e2fd4 00000010 00020082 ..(.../......... 1ce0: 008e2fe4 00000000 00000008 008e2fe4 ../.........../. 1cf0: 00000004 000200a2 008e2fe8 00000000 ........../..... 1d00: 00002808 008e2fe8 00000017 00020082 ..(.../......... 1d10: 008e2fff 00000000 00000008 008e2fff ../.........../. 1d20: 00000004 000200a2 008e3003 00000000 ..........0..... 1d30: 00000008 008e3003 00000004 000200a2 ......0......... 1d40: 008e3007 00000000 00000008 008e3007 ..0...........0. 1d50: 00000004 000200a2 008e300b 00000000 ..........0..... 1d60: 00002808 008e300c 00000016 00020082 ..(...0......... 1d70: 008e3022 00000000 00002808 008e3024 ..0"......(...0$ 1d80: 0000000c 00020082 008e3030 000000a8 ..........00.... 1d90: 000200a2 008e30d8 00000000 00002808 ......0.......(. 1da0: 008e30d8 00000035 00020082 008e310d ..0....5......1. 1db0: 00000000 00002808 008e3110 00000053 ......(...1....S 1dc0: 00020082 008e3163 00000000 00002808 ......1c......(. 1dd0: 008e3164 0000001e 00020082 008e3182 ..1d..........1. 1de0: 00000000 00000008 008e3182 0000001c ..........1..... 1df0: 000200a2 008e319e 00000000 00002808 ......1.......(. 1e00: 008e31a0 00000005 00020082 008e31a5 ..1...........1. 1e10: 00000000 00002808 008e31a8 00000014 ......(...1..... 1e20: 00020082 008e31bc 00000000 00002808 ......1.......(. 1e30: 008e31bc 00000021 00020082 008e31dd ..1....!......1. 1e40: 00000000 00000008 008e31dd 0000000b ..........1..... 1e50: 000200a2 008e31e8 00000000 00002808 ......1.......(. 1e60: 008e31e8 0000001d 00020082 008e3205 ..1...........2. 1e70: 00000000 00000008 008e3205 0000000b ..........2..... 1e80: 000200a2 008e3210 00000000 00002808 ......2.......(. 1e90: 008e3210 0000000c 00020082 008e321c ..2...........2. 1ea0: 00000000 00000008 008e321c 00000018 ..........2..... 1eb0: 000200a2 008e3234 00000000 00000008 ......24........ 1ec0: 008e3234 00000018 000200a2 008e324c ..24..........2L 1ed0: 00000000 00000008 008e324c 00000018 ..........2L.... 1ee0: 000200a2 008e3264 00000000 00000008 ......2d........ 1ef0: 008e3264 00000015 000200a2 008e3279 ..2d..........2y 1f00: 00000019 000200a2 008e3292 00000000 ..........2..... 1f10: 00000008 008e3292 00000018 000200a2 ......2......... 1f20: 008e32aa 00000005 000200a2 008e32af ..2...........2. 1f30: 00000000 00002808 008e32b0 0000001e ......(...2..... 1f40: 00020082 008e32ce 00000000 00000008 ......2......... 1f50: 008e32ce 0000000c 000200a2 008e32da ..2...........2. 1f60: 00000000 00002808 008e32dc 00000016 ......(...2..... 1f70: 00020082 008e32f2 00000005 000200a2 ......2......... 1f80: 008e32f7 00000000 00002808 008e32f8 ..2.......(...2. 1f90: 0000000a 00020082 008e3302 00000000 ..........3..... 1fa0: 00000008 008e3302 00000004 000200a2 ......3......... 1fb0: 008e3306 00000000 00002808 008e3308 ..3.......(...3. 1fc0: 0000000e 00020082 008e3316 00000000 ..........3..... 1fd0: 00002808 008e3318 00000007 00020082 ..(...3......... 1fe0: 008e331f 00000000 00002808 008e3320 ..3.......(...3 1ff0: 00000005 00020082 008e3325 00000000 ..........3%.... 2000: 00002808 008e3328 0000000d 00020082 ..(...3(........ 2010: 008e3335 00000000 00002808 008e3338 ..35......(...38 2020: 00000041 00020082 008e3379 00000000 ...A......3y.... 2030: 00002808 008e337c 00000032 00020082 ..(...3|...2.... 2040: 008e33ae 00000000 00000008 004e8394 ..3..........N.. 2050: 00000078 00002804 008e33b0 00000012 ...x..(...3..... 2060: 00020082 008e33c2 00000000 00002808 ......3.......(. 2070: 008e33c4 00000036 00020082 008e33fa ..3....6......3. 2080: 00000000 00002808 008e33fc 00000016 ......(...3..... 2090: 00020082 008e3412 00000000 00000008 ......4......... 20a0: 008e3412 00000008 000200a2 008e341a ..4...........4. 20b0: 00000000 00002808 008e341c 00000011 ......(...4..... 20c0: 00020082 008e342d 00000000 00002808 ......4-......(. 20d0: 008e3430 00000053 00020082 008e3483 ..40...S......4. 20e0: 00000000 00002808 008e3484 00000005 ......(...4..... 20f0: 00020082 008e3489 00000000 00002808 ......4.......(. 2100: 008e348c 00000014 00020082 008e34a0 ..4...........4. 2110: 00000000 00002808 008e34a0 0000007a ......(...4....z 2120: 00020082 008e351a 00000000 00002808 ......5.......(. 2130: 008e351c 00000007 00020082 008e3523 ..5...........5# 2140: 00000000 00002808 008e3524 00000013 ......(...5$.... 2150: 00020082 008e3537 00000000 00000008 ......57........ 2160: 008e3537 0000000b 000200a2 008e3542 ..57..........5B 2170: 00000000 00000008 008e3542 0000000b ..........5B.... 2180: 000200a2 008e354d 00000002 000200a2 ......5M........ 2190: 008e354f 00000000 00002808 008e3550 ..5O......(...5P 21a0: 0000000b 00020082 008e355b 00000000 ..........5[.... 21b0: 00000008 008e355b 00000008 000200a2 ......5[........ 21c0: 008e3563 00000000 00000008 008e3563 ..5c..........5c 21d0: 00000006 000200a2 008e3569 00000000 ..........5i.... 21e0: 00000008 008e3569 00000005 000200a2 ......5i........ 21f0: 008e356e 00000000 00002808 008e3570 ..5n......(...5p 2200: 0000002f 00020082 008e359f 00000000 .../......5..... 2210: 00000008 008e359f 00000009 000200a2 ......5......... 2220: 008e35a8 0000000d 000200a2 008e35b5 ..5...........5. 2230: 00000000 00000008 008e35b5 00000038 ..........5....8 2240: 000200a2 008e35ed 00000008 000200a2 ......5......... 2250: 008e35f5 00000000 00000008 008e35f5 ..5...........5. 2260: 00000007 000200a2 008e35fc 00000016 ..........5..... 2270: 000200a2 008e3612 00000007 000200a2 ......6......... 2280: 008e3619 00000000 00000008 008e3619 ..6...........6. 2290: 00000002 000200a2 008e361b 00000000 ..........6..... 22a0: 00002808 008e361c 0000003f 00020082 ..(...6....?.... 22b0: 008e365b 00000000 00000008 008e365b ..6[..........6[ 22c0: 0000001a 000200a2 008e3675 00000000 ..........6u.... 22d0: 00000008 008e3675 00000005 000200a2 ......6u........ 22e0: 008e367a 00000000 00002808 008e367c ..6z......(...6| 22f0: 0000000f 00020082 008e368b 00000004 ..........6..... 2300: 000200a2 008e368f 00000000 00000008 ......6......... 2310: 008e368f 00000017 000200a2 008e36a6 ..6...........6. 2320: 00000000 00002808 008e36a8 00000005 ......(...6..... 2330: 00020082 008e36ad 00000000 00002808 ......6.......(. 2340: 008e36b0 00000005 00020082 008e36b5 ..6...........6. 2350: 00000000 00002808 008e36b8 00000005 ......(...6..... 2360: 00020082 008e36bd 00000000 00002808 ......6.......(. 2370: 008e36c0 0000000c 00020082 008e36cc ..6...........6. 2380: 00000000 00000008 008e36cc 00000004 ..........6..... 2390: 000200a2 008e36d0 00000000 00002808 ......6.......(. 23a0: 008e36d0 0000000d 00020082 008e36dd ..6...........6. 23b0: 00000000 00000008 008e36dd 00000005 ..........6..... 23c0: 000200a2 008e36e2 00000000 00002808 ......6.......(. 23d0: 008e36e4 00000021 00020082 008e3705 ..6....!......7. 23e0: 00000000 00000008 008e3705 00000024 ..........7....$ 23f0: 000200a2 008e3729 00000000 00000008 ......7)........ 2400: 008e3729 00000021 000200a2 008e374a ..7)...!......7J 2410: 00000021 000200a2 008e376b 00000000 ...!......7k.... 2420: 00000008 008e376b 00000021 000200a2 ......7k...!.... 2430: 008e378c 00000003 000200a2 008e378f ..7...........7. 2440: 00000002 000200a2 008e3791 00000000 ..........7..... 2450: 00002808 008e3794 0000000f 00020082 ..(...7......... 2460: 008e37a3 00000000 00002808 008e37a4 ..7.......(...7. 2470: 00000041 00020082 008e37e5 00000000 ...A......7..... 2480: 00002808 008e37e8 0000009c 00020082 ..(...7......... 2490: 008e3884 00000000 00000008 004e8410 ..8..........N.. 24a0: 00000088 00002804 008e3884 00000019 ......(...8..... 24b0: 00020082 008e389d 00000000 00000008 ......8......... 24c0: 008e389d 0000000f 000200a2 008e38ac ..8...........8. 24d0: 00000000 00002808 008e38ac 0000000e ......(...8..... 24e0: 00020082 008e38ba 00000014 000200a2 ......8......... 24f0: 008e38ce 00000000 00002808 008e38d0 ..8.......(...8. 2500: 00000046 00020082 008e3916 00000039 ...F......9....9 2510: 00020092 008e394f 00000002 00020092 ......9O........ 2520: 008e3951 00000000 00002808 008e3954 ..9Q......(...9T 2530: 00000034 00020082 008e3988 00000000 ...4......9..... 2540: 00002808 008e3988 00000014 00020082 ..(...9......... 2550: 008e399c 00000004 000200a2 008e39a0 ..9...........9. 2560: 00000000 00000008 008e39a0 0000000b ..........9..... 2570: 000200a2 008e39ab 00000000 00002808 ......9.......(. 2580: 008e39ac 00000025 00020082 008e39d1 ..9....%......9. 2590: 00000009 000200a2 008e39da 00000000 ..........9..... 25a0: 00000008 008e39da 00000003 000200a2 ......9......... 25b0: 008e39dd 00000004 000200a2 008e39e1 ..9...........9. 25c0: 00000000 00000008 008e39e1 00000018 ..........9..... 25d0: 000200a2 008e39f9 00000005 000200a2 ......9......... 25e0: 008e39fe 00000000 00000008 008e39fe ..9...........9. 25f0: 00000016 000200a2 008e3a14 00000002 ..........:..... 2600: 00000008 008e3a16 00000002 000200a2 ......:......... 2610: 008e3a18 00000040 000200a2 008e3a58 ..:....@......:X 2620: 00000024 00020092 008e3a7c 00000000 ...$......:|.... 2630: 00002808 008e3a7c 00000013 00020082 ..(...:|........ 2640: 008e3a8f 00000000 00000008 008e3a8f ..:...........:. 2650: 0000000c 000200a2 008e3a9b 00000000 ..........:..... 2660: 00002808 008e3a9c 00000039 00020082 ..(...:....9.... 2670: 008e3ad5 00000000 00000008 008e3ad5 ..:...........:. 2680: 00000005 000200a2 008e3ada 00000004 ..........:..... 2690: 000200a2 008e3ade 00000000 00000008 ......:......... 26a0: 008e3ade 0000000b 000200a2 008e3ae9 ..:...........:. 26b0: 00000000 00000008 008e3ae9 0000001b ..........:..... 26c0: 000200a2 008e3b04 00000000 00000008 ......;......... 26d0: 008e3b04 0000000b 000200a2 008e3b0f ..;...........;. 26e0: 00000000 00000008 008e3b0f 0000000a ..........;..... 26f0: 000200a2 008e3b19 00000000 00000008 ......;......... 2700: 008e3b19 00000006 000200a2 008e3b1f ..;...........;. 2710: 00000000 00000008 008e3b1f 00000006 ..........;..... 2720: 000200a2 008e3b25 00000000 00000008 ......;%........ 2730: 008e3b25 00000006 000200a2 008e3b2b ..;%..........;+ 2740: 00000000 00002808 008e3b2c 00000026 ......(...;,...& 2750: 00020082 008e3b52 00000000 00002808 ......;R......(. 2760: 008e3b54 0000002a 00020082 008e3b7e ..;T...*......;~ 2770: 00000000 00000008 008e3b7e 0000000c ..........;~.... 2780: 000200a2 008e3b8a 00000004 000200a2 ......;......... 2790: 008e3b8e 00000000 00000008 008e3b8e ..;...........;. 27a0: 00000053 000200a2 008e3be1 00000000 ...S......;..... 27b0: 00000008 008e3be1 00000018 000200a2 ......;......... 27c0: 008e3bf9 00000000 00002808 008e3bfc ..;.......(...;. 27d0: 00000023 00020082 008e3c1f 00000002 ...#......<..... 27e0: 000200a2 008e3c21 00000000 00000008 ............... 2910: 008e3e08 00000000 00002808 008e3e08 ..>.......(...>. 2920: 00000043 00020082 008e3e4b 00000000 ...C......>K.... 2930: 00000008 008e3e4b 00000018 000200a2 ......>K........ 2940: 008e3e63 00000000 00002808 008e3e64 ..>c......(...>d 2950: 0000002e 00020082 008e3e92 00000000 ..........>..... 2960: 00000008 008e3e92 0000000a 000200a2 ......>......... 2970: 008e3e9c 00000000 00000008 008e3e9c ..>...........>. 2980: 0000000a 000200a2 008e3ea6 00000000 ..........>..... 2990: 00000008 008e3ea6 0000000a 000200a2 ......>......... 29a0: 008e3eb0 00000000 00000008 008e3eb0 ..>...........>. 29b0: 0000000a 000200a2 008e3eba 00000000 ..........>..... 29c0: 00000008 008e3eba 0000000a 000200a2 ......>......... 29d0: 008e3ec4 00000000 00000008 008e3ec4 ..>...........>. 29e0: 0000000a 000200a2 008e3ece 00000000 ..........>..... 29f0: 00000008 008e3ece 0000000a 000200a2 ......>......... 2a00: 008e3ed8 00000000 00000008 008e3ed8 ..>...........>. 2a10: 0000000a 000200a2 008e3ee2 00000000 ..........>..... 2a20: 00000008 008e3ee2 0000007f 000200a2 ......>......... 2a30: 008e3f61 00000000 00002808 008e3f64 ..?a......(...?d 2a40: 00000081 00020082 008e3fe5 00000000 ..........?..... 2a50: 00000008 008e3fe5 0000001d 000200a2 ......?......... 2a60: 008e4002 00000000 00000008 008e4002 ..@...........@. 2a70: 00000054 000200a2 008e4056 00000000 ...T......@V.... 2a80: 00002808 008e4058 00000059 00020082 ..(...@X...Y.... 2a90: 008e40b1 00000021 00020092 008e40d2 ..@....!......@. 2aa0: 00000003 00020092 008e40d5 00000000 ..........@..... 2ab0: 00000008 008e40d5 0000001d 000200a2 ......@......... 2ac0: 008e40f2 00000023 00020092 008e4115 ..@....#......A. 2ad0: 000000a9 000200b2 008e41be 00000000 ..........A..... 2ae0: 00000008 008e41be 0000000b 000200a2 ......A......... 2af0: 008e41c9 00000000 00000008 008e41c9 ..A...........A. 2b00: 00000005 000200a2 008e41ce 00000000 ..........A..... 2b10: 00002808 008e41d0 00000081 00020082 ..(...A......... 2b20: 008e4251 00000000 00002808 008e4254 ..BQ......(...BT 2b30: 0000001a 00020082 008e426e 00000000 ..........Bn.... 2b40: 00002808 008e4270 00000018 00020082 ..(...Bp........ 2b50: 008e4288 00000000 00002808 008e4288 ..B.......(...B. 2b60: 0000002e 00020082 008e42b6 00000000 ..........B..... 2b70: 00000008 008e42b6 00000014 000200a2 ......B......... 2b80: 008e42ca 00000002 00020092 008e42cc ..B...........B. 2b90: 00000019 000200a2 008e42e5 00000000 ..........B..... 2ba0: 00000008 008e42e5 00000009 00020082 ......B......... 2bb0: 008e42ee 00000002 00020092 008e42f0 ..B...........B. 2bc0: 00000000 00002808 008e42f0 00000031 ......(...B....1 2bd0: 00020082 008e4321 0000001b 00020092 ......C!........ 2be0: 008e433c 00000000 00000008 008e433c ..C<..........C< 2bf0: 00000002 000200a2 008e433e 0000000b ..........C>.... 2c00: 000200a2 008e4349 00000029 000200a2 ......CI...).... 2c10: 008e4372 00000000 00000008 008e4372 ..Cr..........Cr 2c20: 00000017 000200a2 008e4389 00000000 ..........C..... 2c30: 00000008 008e4389 00000009 000200a2 ......C......... 2c40: 008e4392 00000000 00002808 008e4394 ..C.......(...C. 2c50: 00000011 00020082 008e43a5 00000000 ..........C..... 2c60: 00002808 008e43a8 00000072 00020082 ..(...C....r.... 2c70: 008e441a 00000000 00002808 008e441c ..D.......(...D. 2c80: 00000042 00020082 008e445e 00000000 ...B......D^.... 2c90: 00002808 008e4460 00000008 00020082 ..(...D`........ 2ca0: 008e4468 00000000 00002808 008e4468 ..Dh......(...Dh 2cb0: 0000001e 00020082 008e4486 00000014 ..........D..... 2cc0: 000200a2 008e449a 00000012 000200a2 ......D......... 2cd0: 008e44ac 00000009 000200a2 008e44b5 ..D...........D. 2ce0: 00000009 000200a2 008e44be 00000016 ..........D..... 2cf0: 000200a2 008e44d4 00000000 00000008 ......D......... 2d00: 008e44d4 00000008 000200a2 008e44dc ..D...........D. 2d10: 00000005 000200a2 008e44e1 0000000f ..........D..... 2d20: 000200a2 008e44f0 00000015 000200a2 ......D......... 2d30: 008e4505 00000015 000200a2 008e451a ..E...........E. 2d40: 00000014 000200a2 008e452e 00000000 ..........E..... 2d50: 00002808 008e4530 0000001b 00020082 ..(...E0........ 2d60: 008e454b 00000012 000200a2 008e455d ..EK..........E] 2d70: 0000002c 000200a2 008e4589 00000006 ...,......E..... 2d80: 000200a2 008e458f 00000009 000200a2 ......E......... 2d90: 008e4598 00000009 000200a2 008e45a1 ..E...........E. 2da0: 00000010 000200a2 008e45b1 0000000b ..........E..... 2db0: 000200a2 008e45bc 00000013 000200a2 ......E......... 2dc0: 008e45cf 00000000 00000008 008e45cf ..E...........E. 2dd0: 00000008 000200a2 008e45d7 00000005 ..........E..... 2de0: 000200a2 008e45dc 00000027 000200a2 ......E....'.... 2df0: 008e4603 0000002a 000200a2 008e462d ..F....*......F- 2e00: 00000033 000200a2 008e4660 00000005 ...3......F`.... 2e10: 000200a2 008e4665 00000000 00002808 ......Fe......(. 2e20: 008e4668 00000051 00020082 008e46b9 ..Fh...Q......F. 2e30: 00000000 00000008 008e46b9 00000029 ..........F....) 2e40: 000200a2 008e46e2 00000000 00000008 ......F......... 2e50: 008e46e2 0000008a 000200a2 008e476c ..F...........Gl 2e60: 00000000 00002808 008e476c 00000048 ......(...Gl...H 2e70: 00020082 008e47b4 00000048 000200a2 ......G....H.... 2e80: 008e47fc 00000035 000200a2 008e4831 ..G....5......H1 2e90: 00000000 00000008 008e4831 00000014 ..........H1.... 2ea0: 000200a2 008e4845 00000000 00002808 ......HE......(. 2eb0: 008e4848 0000000f 00020082 008e4857 ..HH..........HW 2ec0: 00000000 00002808 008e4858 0000009d ......(...HX.... 2ed0: 00020082 008e48f5 00000000 00000008 ......H......... 2ee0: 00500730 00000000 00004804 004e8498 .P.0......H..N.. 2ef0: 000000f8 00002804 00500780 00000000 ......(..P...... 2f00: 00004804 004e0120 00000000 00004904 ..H..N. ......I. 2f10: 004e0160 00000000 00004904 004e01a0 .N.`......I..N.. 2f20: 00000000 00004904 004e01b0 00000000 ......I..N...... 2f30: 00004904 004e01c0 00000000 00004904 ..I..N........I. 2f40: 004e01e0 00000000 00004804 008e48f8 .N........H...H. 2f50: 0000001c 00020082 008e4914 00000000 ..........I..... 2f60: 00002808 008e4914 0000001e 00020082 ..(...I......... 2f70: 008e4932 00000000 00002808 008e4934 ..I2......(...I4 2f80: 0000001e 00020082 008e4952 00000000 ..........IR.... 2f90: 00002808 008e4954 00000027 00020082 ..(...IT...'.... 2fa0: 008e497b 00000000 00002808 008e497c ..I{......(...I| 2fb0: 00000024 00020082 008e49a0 00000000 ...$......I..... 2fc0: 00002808 008e49a0 0000004a 00020082 ..(...I....J.... 2fd0: 008e49ea 00000000 00002808 008e49ec ..I.......(...I. 2fe0: 0000018e 00020082 008e4b7a 00000002 ..........Kz.... 2ff0: 000200a2 008e4b7c 00000000 00002808 ......K|......(. 3000: 008e4b7c 0000012d 00020082 008e4ca9 ..K|...-......L. 3010: 00000002 000200a2 008e4cab 00000000 ..........L..... 3020: 00000008 004e8594 0000000c 00002804 .....N........(. 3030: 008e4cac 0000000a 00020082 008e4cb6 ..L...........L. 3040: 00000000 00002808 008e4cb8 0000000a ......(...L..... 3050: 00020082 008e4cc2 00000000 00002808 ......L.......(. 3060: 008e4cc4 00000013 00020082 008e4cd7 ..L...........L. 3070: 00000000 00000008 008e4cd7 00000003 ..........L..... 3080: 000200a2 008e4cda 0000000a 000200a2 ......L......... 3090: 008e4ce4 00000000 00002808 008e4ce4 ..L.......(...L. 30a0: 0000000d 00020082 008e4cf1 00000000 ..........L..... 30b0: 00000008 008e4cf1 0000003b 000200a2 ......L....;.... 30c0: 008e4d2c 00000000 00002808 008e4d2c ..M,......(...M, 30d0: 0000004d 00020082 008e4d79 00000000 ...M......My.... 30e0: 00002808 008e4d7c 0000000a 00020082 ..(...M|........ 30f0: 008e4d86 00000000 00000008 008e4d86 ..M...........M. 3100: 0000001f 000200a2 008e4da5 00000000 ..........M..... 3110: 00002808 008e4da8 00000028 00020082 ..(...M....(.... 3120: 008e4dd0 00000000 00002808 008e4dd0 ..M.......(...M. 3130: 0000001b 00020082 008e4deb 00000000 ..........M..... 3140: 00002808 008e4dec 00000029 00020082 ..(...M....).... 3150: 008e4e15 0000000e 000200a2 008e4e23 ..N...........N# 3160: 00000002 000200a2 008e4e25 00000000 ..........N%.... 3170: 00002808 008e4e28 0000000d 00020082 ..(...N(........ 3180: 008e4e35 00000000 00000008 008e4e35 ..N5..........N5 3190: 00000019 000200a2 008e4e4e 00000000 ..........NN.... 31a0: 00002808 008e4e50 0000001b 00020082 ..(...NP........ 31b0: 008e4e6b 00000000 00002808 008e4e6c ..Nk......(...Nl 31c0: 00000028 00020082 008e4e94 00000000 ...(......N..... 31d0: 00002808 008e4e94 0000000a 00020082 ..(...N......... 31e0: 008e4e9e 00000000 00000008 008e4e9e ..N...........N. 31f0: 00000019 000200a2 008e4eb7 00000000 ..........N..... 3200: 00002808 008e4eb8 00000037 00020082 ..(...N....7.... 3210: 008e4eef 00000000 00000008 004e85a0 ..N..........N.. 3220: 00000030 00002804 008e4ef0 00000020 ...0..(...N.... 3230: 00020082 008e4f10 00000017 000200a2 ......O......... 3240: 008e4f27 00000004 000200a2 008e4f2b ..O'..........O+ 3250: 00000000 00002808 008e4f2c 0000001a ......(...O,.... 3260: 00020082 008e4f46 00000000 00000008 ......OF........ 3270: 008e4f46 00000004 000200a2 008e4f4a ..OF..........OJ 3280: 00000000 00002808 008e4f4c 00000014 ......(...OL.... 3290: 00020082 008e4f60 00000000 00002808 ......O`......(. 32a0: 008e4f60 00000014 00020082 008e4f74 ..O`..........Ot 32b0: 00000000 00000008 004e85d4 0000000c .........N...... 32c0: 00002804 008e4f74 00000021 00020082 ..(...Ot...!.... 32d0: 008e4f95 00000018 000200a2 008e4fad ..O...........O. 32e0: 00000002 000200a2 008e4faf 00000000 ..........O..... 32f0: 00002808 008e4fb0 00000014 00020082 ..(...O......... 3300: 008e4fc4 00000000 00000008 008e4fc4 ..O...........O. 3310: 00000004 000200a2 008e4fc8 00000000 ..........O..... 3320: 00002808 008e4fc8 00000008 00020082 ..(...O......... 3330: 008e4fd0 00000000 00002808 008e4fd0 ..O.......(...O. 3340: 0000003d 00020082 008e500d 00000000 ...=......P..... 3350: 00002808 008e5010 00000019 00020082 ..(...P......... 3360: 008e5029 00000000 00000008 004e85e4 ..P).........N.. 3370: 00000010 00002804 008e502c 00000009 ......(...P,.... 3380: 00020082 008e5035 00000007 000200a2 ......P5........ 3390: 008e503c 00000011 000200a2 008e504d ..P<..........PM 33a0: 00000000 00002808 008e5050 00000029 ......(...PP...) 33b0: 00020082 008e5079 00000000 00002808 ......Py......(. 33c0: 008e507c 00000009 00020082 008e5085 ..P|..........P. 33d0: 00000007 000200a2 008e508c 0000001b ..........P..... 33e0: 000200a2 008e50a7 00000000 00002808 ......P.......(. 33f0: 008e50a8 0000002a 00020082 008e50d2 ..P....*......P. 3400: 00000000 00002808 008e50d4 00000008 ......(...P..... 3410: 00020082 008e50dc 00000000 00002808 ......P.......(. 3420: 008e50dc 00000015 00020082 008e50f1 ..P...........P. 3430: 00000000 00002808 008e50f4 00000007 ......(...P..... 3440: 00020082 008e50fb 00000000 00002808 ......P.......(. 3450: 008e50fc 00000017 00020082 008e5113 ..P...........Q. 3460: 00000000 00002808 008e5114 000000bd ......(...Q..... 3470: 00020082 008e51d1 00000000 00000008 ......Q......... 3480: 008e51d1 00000023 000200a2 008e51f4 ..Q....#......Q. 3490: 00000000 00002808 008e51f4 00000005 ......(...Q..... 34a0: 00020082 008e51f9 00000000 00002808 ......Q.......(. 34b0: 008e51fc 0000000d 00020082 008e5209 ..Q...........R. 34c0: 00000000 00002808 008e520c 00000052 ......(...R....R 34d0: 00020082 008e525e 00000000 00002808 ......R^......(. 34e0: 008e5260 00000076 00020082 008e52d6 ..R`...v......R. 34f0: 00000000 00000008 008e52d6 00000002 ..........R..... 3500: 000200a2 008e52d8 00000005 000200a2 ......R......... 3510: 008e52dd 00000000 00002808 008e52e0 ..R.......(...R. 3520: 0000000e 00020082 008e52ee 00000010 ..........R..... 3530: 000200a2 008e52fe 00000019 000200a2 ......R......... 3540: 008e5317 00000000 00002808 008e5318 ..S.......(...S. 3550: 00000010 00020082 008e5328 00000000 ..........S(.... 3560: 00002808 008e5328 0000006e 00020082 ..(...S(...n.... 3570: 008e5396 00000088 000200a2 008e541e ..S...........T. 3580: 00000018 000200a2 008e5436 0000000b ..........T6.... 3590: 000200a2 008e5441 00000000 00000008 ......TA........ 35a0: 008e5441 00000015 000200a2 008e5456 ..TA..........TV 35b0: 00000026 000200a2 008e547c 00000000 ...&......T|.... 35c0: 00000008 008e547c 00000006 000200a2 ......T|........ 35d0: 008e5482 00000000 00002808 008e5484 ..T.......(...T. 35e0: 00000005 00020082 008e5489 00000000 ..........T..... 35f0: 00002808 008e548c 00000005 00020082 ..(...T......... 3600: 008e5491 00000000 00002808 008e5494 ..T.......(...T. 3610: 00000013 00020082 008e54a7 00000000 ..........T..... 3620: 00002808 008e54a8 00000041 00020082 ..(...T....A.... 3630: 008e54e9 00000000 00002808 008e54ec ..T.......(...T. 3640: 00000015 00020082 008e5501 00000000 ..........U..... 3650: 00002808 008e5504 0000001f 00020082 ..(...U......... 3660: 008e5523 00000000 00002808 008e5524 ..U#......(...U$ 3670: 00000020 00020082 008e5544 00000006 ... ......UD.... 3680: 000200a2 008e554a 00000009 000200a2 ......UJ........ 3690: 008e5553 0000000b 000200a2 008e555e ..US..........U^ 36a0: 00000000 00000008 008e555e 00000010 ..........U^.... 36b0: 000200a2 008e556e 0000000f 000200a2 ......Un........ 36c0: 008e557d 00000005 000200a2 008e5582 ..U}..........U. 36d0: 00000000 00002808 008e5584 0000004a ......(...U....J 36e0: 00020082 008e55ce 00000008 000200a2 ......U......... 36f0: 008e55d6 00000000 00000008 008e55d6 ..U...........U. 3700: 0000000b 000200a2 008e55e1 00000002 ..........U..... 3710: 000200a2 008e55e3 00000014 000200a2 ......U......... 3720: 008e55f7 00000000 00000008 008e55f7 ..U...........U. 3730: 00000085 000200a2 008e567c 00000000 ..........V|.... 3740: 00002808 008e567c 0000006a 00020082 ..(...V|...j.... 3750: 008e56e6 00000000 00000008 008e56e6 ..V...........V. 3760: 00000006 000200a2 008e56ec 00000000 ..........V..... 3770: 00000008 008e56ec 00000005 000200a2 ......V......... 3780: 008e56f1 00000000 00002808 008e56f4 ..V.......(...V. 3790: 00000008 00020082 008e56fc 00000000 ..........V..... 37a0: 00000008 008e56fc 00000028 000200a2 ......V....(.... 37b0: 008e5724 00000000 00000008 008e5724 ..W$..........W$ 37c0: 00000005 000200a2 008e5729 0000001c ..........W).... 37d0: 000200a2 008e5745 00000000 00000008 ......WE........ 37e0: 008e5745 0000002b 000200a2 008e5770 ..WE...+......Wp 37f0: 00000005 000200a2 008e5775 00000000 ..........Wu.... 3800: 00000008 008e5775 00000005 000200a2 ......Wu........ 3810: 008e577a 00000000 00002808 008e577c ..Wz......(...W| 3820: 0000001d 00020082 008e5799 00000020 ..........W.... 3830: 000200a2 008e57b9 00000002 000200a2 ......W......... 3840: 008e57bb 00000000 00002808 008e57bc ..W.......(...W. 3850: 00000027 00020082 008e57e3 00000000 ...'......W..... 3860: 00002808 008e57e4 0000001c 00020082 ..(...W......... 3870: 008e5800 0000000b 000200a2 008e580b ..X...........X. 3880: 00000000 00000008 008e580b 00000006 ..........X..... 3890: 000200a2 008e5811 00000000 00002808 ......X.......(. 38a0: 008e5814 00000005 00020082 008e5819 ..X...........X. 38b0: 00000000 00002808 008e581c 0000006d ......(...X....m 38c0: 00020082 008e5889 00000000 00000008 ......X......... 38d0: 008e5889 00000021 000200a2 008e58aa ..X....!......X. 38e0: 0000001a 000200a2 008e58c4 00000000 ..........X..... 38f0: 00000008 008e58c4 00000022 000200a2 ......X....".... 3900: 008e58e6 00000000 00000008 008e58e6 ..X...........X. 3910: 00000006 000200a2 008e58ec 0000002f ..........X..../ 3920: 000200a2 008e591b 00000000 00000008 ......Y......... 3930: 004e85f8 00000030 00002804 008e591c .N.....0..(...Y. 3940: 00000005 00020082 008e5921 00000000 ..........Y!.... 3950: 00002808 008e5924 0000003e 00020082 ..(...Y$...>.... 3960: 008e5962 00000002 000200a2 008e5964 ..Yb..........Yd 3970: 00000000 00002808 008e5964 00000010 ......(...Yd.... 3980: 00020082 008e5974 00000000 00002808 ......Yt......(. 3990: 008e5974 00000022 00020082 008e5996 ..Yt..."......Y. 39a0: 00000027 000200a2 008e59bd 00000002 ...'......Y..... 39b0: 00020092 008e59bf 00000000 00002808 ......Y.......(. 39c0: 008e59c0 00000017 00020082 008e59d7 ..Y...........Y. 39d0: 00000000 00002808 008e59d8 0000000d ......(...Y..... 39e0: 00020082 008e59e5 00000049 000200a2 ......Y....I.... 39f0: 008e5a2e 00000000 00000008 008e5a2e ..Z...........Z. 3a00: 0000000f 000200a2 008e5a3d 00000000 ..........Z=.... 3a10: 00000008 008e5a3d 00000013 000200a2 ......Z=........ 3a20: 008e5a50 00000000 00002808 008e5a50 ..ZP......(...ZP 3a30: 00000015 00020082 008e5a65 0000000d ..........Ze.... 3a40: 000200a2 008e5a72 00000000 00000008 ......Zr........ 3a50: 008e5a72 00000022 000200a2 008e5a94 ..Zr..."......Z. 3a60: 0000000a 000200a2 008e5a9e 00000000 ..........Z..... 3a70: 00000008 008e5a9e 00000009 000200a2 ......Z......... 3a80: 008e5aa7 00000000 00002808 008e5aa8 ..Z.......(...Z. 3a90: 0000000e 00020082 008e5ab6 0000006d ..........Z....m 3aa0: 000200a2 008e5b23 00000000 00000008 ......[#........ 3ab0: 008e5b23 0000000c 000200a2 008e5b2f ..[#..........[/ 3ac0: 00000028 000200a2 008e5b57 00000000 ...(......[W.... 3ad0: 00000008 008e5b57 0000000f 000200a2 ......[W........ 3ae0: 008e5b66 00000000 00002808 008e5b68 ..[f......(...[h 3af0: 00000005 00020082 008e5b6d 00000000 ..........[m.... 3b00: 00002808 008e5b70 0000001a 00020082 ..(...[p........ 3b10: 008e5b8a 00000000 00000008 008e5b8a ..[...........[. 3b20: 00000004 000200a2 008e5b8e 00000000 ..........[..... 3b30: 00002808 008e5b90 00000017 00020082 ..(...[......... 3b40: 008e5ba7 00000000 00000008 008e5ba7 ..[...........[. 3b50: 0000000d 000200a2 008e5bb4 00000000 ..........[..... 3b60: 00000008 008e5bb4 0000000b 000200a2 ......[......... 3b70: 008e5bbf 00000000 00002808 008e5bc0 ..[.......(...[. 3b80: 00000017 00020082 008e5bd7 00000000 ..........[..... 3b90: 00000008 008e5bd7 00000010 000200a2 ......[......... 3ba0: 008e5be7 00000000 00000008 008e5be7 ..[...........[. 3bb0: 0000000f 000200a2 008e5bf6 00000000 ..........[..... 3bc0: 00002808 008e5bf8 00000029 00020082 ..(...[....).... 3bd0: 008e5c21 00000000 00000008 008e5c21 ..\!..........\! 3be0: 0000002a 000200a2 008e5c4b 0000000b ...*......\K.... 3bf0: 000200a2 008e5c56 00000000 00002808 ......\V......(. 3c00: 008e5c58 00000050 00020082 008e5ca8 ..\X...P......\. 3c10: 00000000 00002808 008e5ca8 00000015 ......(...\..... 3c20: 00020082 008e5cbd 00000013 000200a2 ......\......... 3c30: 008e5cd0 00000013 000200a2 008e5ce3 ..\...........\. 3c40: 00000002 000200a2 008e5ce5 00000000 ..........\..... 3c50: 00000008 008e5ce5 0000000a 000200a2 ......\......... 3c60: 008e5cef 00000000 00000008 004e8628 ..\..........N.( 3c70: 0000004c 00002804 008e5cf0 00000010 ...L..(...\..... 3c80: 00020082 008e5d00 00000000 00000008 ......]......... 3c90: 008e5d00 0000001b 000200a2 008e5d1b ..]...........]. 3ca0: 00000000 00000008 008e5d1b 0000000e ..........]..... 3cb0: 000200a2 008e5d29 00000000 00002808 ......])......(. 3cc0: 008e5d2c 0000008a 00020082 008e5db6 ..],..........]. 3cd0: 00000000 00000008 008e5db6 00000008 ..........]..... 3ce0: 000200a2 008e5dbe 00000000 00002808 ......].......(. 3cf0: 008e5dc0 0000003d 00020082 008e5dfd ..]....=......]. 3d00: 0000005a 000200a2 008e5e57 00000000 ...Z......^W.... 3d10: 00000008 008e5e57 00000008 000200a2 ......^W........ 3d20: 008e5e5f 00000000 00000008 004e8678 ..^_.........N.x 3d30: 00000004 00002804 008e5e60 00000015 ......(...^`.... 3d40: 00020082 008e5e75 00000000 00002808 ......^u......(. 3d50: 008e5e78 00000038 00020082 008e5eb0 ..^x...8......^. 3d60: 00000000 00002808 008e5eb0 00000018 ......(...^..... 3d70: 00020082 008e5ec8 00000000 00002808 ......^.......(. 3d80: 008e5ec8 0000001f 00020082 008e5ee7 ..^...........^. 3d90: 00000003 00020092 008e5eea 00000000 ..........^..... 3da0: 00000008 008e5eea 0000000c 000200a2 ......^......... 3db0: 008e5ef6 00000000 00002808 008e5ef8 ..^.......(...^. 3dc0: 00000033 00020082 008e5f2b 00000001 ...3......_+.... 3dd0: 00000008 008e5f2c 00000022 000200a2 ......_,...".... 3de0: 008e5f4e 00000002 00020092 008e5f50 .._N.........._P 3df0: 00000004 000200a2 008e5f54 00000007 .........._T.... 3e00: 000200a2 008e5f5b 0000003e 000200a2 ......_[...>.... 3e10: 008e5f99 00000000 00000008 008e5f99 .._..........._. 3e20: 0000001b 000200a2 008e5fb4 00000005 .........._..... 3e30: 000200a2 008e5fb9 00000000 00000008 ......_......... 3e40: 008e5fb9 0000001e 000200a2 008e5fd7 .._..........._. 3e50: 00000000 00002808 008e5fd8 00000022 ......(..._...." 3e60: 00020082 008e5ffa 00000000 00002808 ......_.......(. 3e70: 008e5ffc 000000c2 00020082 008e60be .._...........`. 3e80: 00000000 00002808 008e60c0 0000001a ......(...`..... 3e90: 00020082 008e60da 00000014 000200a2 ......`......... 3ea0: 008e60ee 0000000b 000200a2 008e60f9 ..`...........`. 3eb0: 00000000 00002808 008e60fc 00000016 ......(...`..... 3ec0: 00020082 008e6112 00000000 00002808 ......a.......(. 3ed0: 008e6114 0000002e 00020082 008e6142 ..a...........aB 3ee0: 0000000c 000200a2 008e614e 00000000 ..........aN.... 3ef0: 00000008 008e614e 00000007 000200a2 ......aN........ 3f00: 008e6155 00000004 000200b2 008e6159 ..aU..........aY 3f10: 00000000 00002808 008e615c 00000018 ......(...a\.... 3f20: 00020082 008e6174 00000016 000200a2 ......at........ 3f30: 008e618a 0000000f 000200a2 008e6199 ..a...........a. 3f40: 00000000 00002808 008e619c 00000019 ......(...a..... 3f50: 00020082 008e61b5 00000002 00020092 ......a......... 3f60: 008e61b7 00000000 00000008 008e61b7 ..a...........a. 3f70: 00000004 000200a2 008e61bb 00000000 ..........a..... 3f80: 00002808 008e61bc 00000052 00020082 ..(...a....R.... 3f90: 008e620e 00000031 000200a2 008e623f ..b....1......b? 3fa0: 00000000 00000008 008e623f 0000001e ..........b?.... 3fb0: 000200a2 008e625d 00000007 000200a2 ......b]........ 3fc0: 008e6264 00000000 00000008 004e867c ..bd.........N.| 3fd0: 00000054 00002804 008e6264 00000023 ...T..(...bd...# 3fe0: 00020082 008e6287 00000000 00002808 ......b.......(. 3ff0: 008e6288 00000014 00020082 008e629c ..b...........b. 4000: 00000002 00020092 008e629e 00000000 ..........b..... 4010: 00002808 008e62a0 00000005 00020082 ..(...b......... 4020: 008e62a5 00000000 00002808 008e62a8 ..b.......(...b. 4030: 00000010 00020082 008e62b8 0000003e ..........b....> 4040: 000200a2 008e62f6 00000000 00000008 ......b......... 4050: 008e62f6 00000005 000200a2 008e62fb ..b...........b. 4060: 00000000 00000008 008e62fb 00000002 ..........b..... 4070: 000200a2 008e62fd 00000000 00002808 ......b.......(. 4080: 008e6300 00000017 00020082 008e6317 ..c...........c. 4090: 0000000b 000200a2 008e6322 00000000 ..........c".... 40a0: 00002808 008e6324 00000010 00020082 ..(...c$........ 40b0: 008e6334 00000000 00002808 008e6334 ..c4......(...c4 40c0: 0000000f 00020082 008e6343 00000004 ..........cC.... 40d0: 000200a2 008e6347 00000000 00000008 ......cG........ 40e0: 004e86d0 0000001c 00002804 008e6348 .N........(...cH 40f0: 00000034 00020082 008e637c 00000000 ...4......c|.... 4100: 00000008 008e637c 00000004 000200a2 ......c|........ 4110: 008e6380 00000000 00000008 008e6380 ..c...........c. 4120: 00000029 000200a2 008e63a9 00000000 ...)......c..... 4130: 00000008 004e86ec 00000010 00002804 .....N........(. 4140: 008e6950 00000005 00020082 008e6955 ..iP..........iU 4150: 00000000 00000008 008e63ac 00000005 ..........c..... 4160: 00020082 008e63b1 00000000 00002808 ......c.......(. 4170: 008e63b4 00000020 00020082 008e63d4 ..c.... ......c. 4180: 00000003 00020182 008e63d7 00000029 ..........c....) 4190: 00020082 008e6400 00000025 000200a2 ......d....%.... 41a0: 008e6425 00000000 00000008 004e86fc ..d%.........N.. 41b0: 00000000 00002804 008e6958 00000008 ......(...iX.... 41c0: 00020082 008e6960 00000000 00002808 ......i`......(. 41d0: 008e6960 0000000c 00020082 008e696c ..i`..........il 41e0: 00000002 000200a2 008e696e 00000000 ..........in.... 41f0: 00002808 008e6970 0000000a 00020082 ..(...ip........ 4200: 008e697a 00000000 00000008 008e697a ..iz..........iz 4210: 00000004 000200a2 008e697e 00000000 ..........i~.... 4220: 00000008 004e87a4 00000000 00002904 .....N........). 4230: 004e87a8 00000000 00002904 004e87ac .N........)..N.. 4240: 00000000 00002904 004e87b0 00000000 ......)..N...... 4250: 00002904 004e87b2 00000000 00001904 ..)..N.......... 4260: 004e87b4 00000000 00002904 004e87b6 .N........)..N.. 4270: 00000000 00001904 004e87b8 00000000 .........N...... 4280: 00002904 004e87ba 00000000 00001904 ..)..N.......... 4290: 004e87bc 00000000 00002904 004e87be .N........)..N.. 42a0: 00000000 00001904 004e87c0 00000000 .........N...... 42b0: 00002904 004e87c2 00000000 00001904 ..)..N.......... 42c0: 004e87c4 00000000 00002904 004e87c8 .N........)..N.. 42d0: 00000000 00002904 004e87cc 00000000 ......)..N...... 42e0: 00002904 004e87d0 00000000 00002904 ..)..N........). 42f0: 004e87d4 00000000 00002904 004e87d8 .N........)..N.. 4300: 00000000 00002904 004e87dc 00000000 ......)..N...... 4310: 00002904 004e87e0 00000000 00002904 ..)..N........). 4320: 004e87e4 00000000 00002904 004e87e6 .N........)..N.. 4330: 00000000 00001904 004e87e8 00000000 .........N...... 4340: 00002904 004e87ec 00000000 00002904 ..)..N........). 4350: 004e87f0 00000000 00002904 004e87f4 .N........)..N.. 4360: 00000000 00002904 004e87f6 00000000 ......)..N...... 4370: 00001904 004e87f8 00000000 00002904 .....N........). 4380: 004e87fc 00000000 00002904 004e8800 .N........)..N.. 4390: 00000000 00002904 004e8804 00000000 ......)..N...... 43a0: 00002904 004e8808 00000000 00002904 ..)..N........). 43b0: 004e880c 00000000 00002904 004e8810 .N........)..N.. 43c0: 00000000 00002904 004e8814 00000000 ......)..N...... 43d0: 00002904 004e8818 00000000 00002904 ..)..N........). 43e0: 004e881c 00000000 00002904 004e8820 .N........)..N. 43f0: 00000000 00002904 004e8824 00000000 ......)..N.$.... 4400: 00002904 004e8828 00000000 00002904 ..)..N.(......). 4410: 004e882c 00000000 00002904 004e8830 .N.,......)..N.0 4420: 00000000 00002904 004e8834 00000000 ......)..N.4.... 4430: 00002804 008e6428 0000004d 00020082 ..(...d(...M.... 4440: 008e6475 00000000 00000008 008e6475 ..du..........du 4450: 00000014 000200a2 008e6489 00000000 ..........d..... 4460: 00000008 008e6489 00000024 000200a2 ......d....$.... 4470: 008e64ad 00000000 00000008 008e64ad ..d...........d. 4480: 00000035 000200a2 008e64e2 00000002 ...5......d..... 4490: 00000008 008e64e4 00000018 000200a2 ......d......... 44a0: 008e64fc 00000000 00000008 008e64fc ..d...........d. 44b0: 00000004 000200a2 008e6500 00000002 ..........e..... 44c0: 00000008 008e6502 0000000b 00020082 ......e......... 44d0: 008e650d 0000000b 000200a2 008e6518 ..e...........e. 44e0: 00000021 000200a2 008e6539 00000000 ...!......e9.... 44f0: 00002808 008e653c 00000023 00020082 ..(...e<...#.... 4500: 008e655f 00000000 00000008 004e86fc ..e_.........N.. 4510: 00000004 00002804 008e6980 00000008 ......(...i..... 4520: 00020082 008e6988 00000000 00000008 ......i......... 4530: 008e6988 00000008 00020082 008e6990 ..i...........i. 4540: 00000000 00000008 008e6990 00000008 ..........i..... 4550: 00020082 008e6998 00000000 00000008 ......i......... 4560: 008e6998 00000031 00020002 008e69c9 ..i....1......i. 4570: 00000006 00020022 008e69cf 00000005 ......."..i..... 4580: 00020012 008e69d4 00000008 00020022 ......i........" 4590: 008e69dc 00000000 00000008 008e69dc ..i...........i. 45a0: 0000000a 00020022 008e69e6 00000002 ......."..i..... 45b0: 00000008 008e69e8 0000000c 00020022 ......i........" 45c0: 008e69f4 00000001 00000008 008e69f5 ..i...........i. 45d0: 00000003 00020022 008e69fc 00000004 ......."..i..... 45e0: 00020022 008e6a00 00000000 00000008 ..."..j......... 45f0: 008e6a00 0000002a 00020002 008e6a2a ..j....*......j* 4600: 00000003 00020022 008e6a2d 00000006 ......."..j-.... 4610: 00020022 008e6a33 00000006 00020022 ..."..j3......." 4620: 008e6a39 00000002 00020022 008e6a3b ..j9......."..j; 4630: 00000001 00000008 008e6a3c 00000005 ..........j<.... 4640: 00020022 008e6a45 00000004 00020022 ..."..jE......." 4650: 008e6a49 00000000 00000008 008e6a4c ..jI..........jL 4660: 00000028 00020002 008e6a74 00000006 ...(......jt.... 4670: 00020022 008e6a7a 00000006 00020012 ..."..jz........ 4680: 008e6a80 00000002 00020022 008e6a82 ..j........"..j. 4690: 00000000 00000008 008e6a82 00000004 ..........j..... 46a0: 00020022 008e6a86 00000002 00000008 ..."..j......... 46b0: 008e6a88 00000007 00020022 008e6a8f ..j........"..j. 46c0: 00000002 00000008 008e6a91 00000003 ..........j..... 46d0: 00020022 008e6a98 00000004 00020022 ..."..j........" 46e0: 008e6a9c 00000000 00000008 008e6a9c ..j...........j. 46f0: 00000023 00020002 008e6abf 00000003 ...#......j..... 4700: 00020022 008e6ac2 00000006 00020022 ..."..j........" 4710: 008e6ac8 00000002 00020022 008e6aca ..j........"..j. 4720: 00000002 00000008 008e6acc 00000005 ..........j..... 4730: 00020022 008e6ad5 00000004 00020022 ..."..j........" 4740: 008e6ad9 00000000 00000008 008e6adc ..j...........j. 4750: 0000003b 00020082 008e6b17 00000004 ...;......k..... 4760: 000200a2 008e6b1b 00000001 00000008 ......k......... 4770: 008e6b1c 00000005 000200a2 008e6b21 ..k...........k! 4780: 00000000 00000008 008e6b25 0000000d ..........k%.... 4790: 000200a2 008e6b32 00000002 00020092 ......k2........ 47a0: 008e6b34 00000000 00002808 008e6b34 ..k4......(...k4 47b0: 00000003 000201a2 008e6b37 0000000e ..........k7.... 47c0: 00020082 008e6b45 00000003 00020182 ......kE........ 47d0: 008e6b48 00000003 000201a2 008e6b4b ..kH..........kK 47e0: 00000016 00020082 008e6b61 00000000 ..........ka.... 47f0: 00002808 008e6b64 00000006 00020082 ..(...kd........ 4800: 008e6b6a 00000006 00020182 008e6b70 ..kj..........kp 4810: 00000006 000200a2 008e6b76 00000003 ..........kv.... 4820: 00020182 008e6b79 00000019 00020082 ......ky........ 4830: 008e6b92 0000000f 00020092 008e6ba1 ..k...........k. 4840: 0000000b 000200a2 008e6bac 00000000 ..........k..... 4850: 00000008 008e6bac 00000010 000200a2 ......k......... 4860: 008e6bbc 00000000 00000008 008e6bbc ..k...........k. 4870: 0000000f 000200a2 008e6bcb 00000001 ..........k..... 4880: 00000008 008e6bcc 00000006 000200a2 ......k......... 4890: 008e6bd2 00000002 000200a2 008e6bd4 ..k...........k. 48a0: 00000000 00002808 008e6bd4 00000003 ......(...k..... 48b0: 000201a2 008e6bd7 00000030 00020082 ......k....0.... 48c0: 008e6c07 00000019 00020092 008e6c20 ..l...........l 48d0: 00000010 000200a2 008e6c30 0000000a ..........l0.... 48e0: 000200a2 008e6c3a 00000002 00000008 ......l:........ 48f0: 008e6c3c 00000015 000200a2 008e6c51 ..l<..........lQ 4900: 00000000 00000008 008e6c51 00000008 ..........lQ.... 4910: 000200a2 008e6c59 00000000 00000008 ......lY........ 4920: 008e6c5c 00000027 00020082 008e6c83 ..l\...'......l. 4930: 00000004 00020092 008e6c87 00000001 ..........l..... 4940: 00000008 008e6c88 0000000c 00020082 ......l......... 4950: 008e6c94 0000001b 000200a2 008e6caf ..l...........l. 4960: 0000006f 00020092 008e6d1e 00000000 ...o......m..... 4970: 00000008 008e6d1e 00000038 000200a2 ......m....8.... 4980: 008e6d56 00000051 00020092 008e6da7 ..mV...Q......m. 4990: 00000002 00020092 008e6da9 0000001b ..........m..... 49a0: 000200a2 008e6dc4 00000052 00020092 ......m....R.... 49b0: 008e6e16 00000008 00020092 008e6e1e ..n...........n. 49c0: 00000000 00000008 008e6e21 00000008 ..........n!.... 49d0: 000200a2 008e6e29 00000002 00020092 ......n)........ 49e0: 008e6e2b 00000000 00002808 008e6e2c ..n+......(...n, 49f0: 0000000a 000200a2 008e6e36 00000003 ..........n6.... 4a00: 00020182 008e6e39 0000000e 000200a2 ......n9........ 4a10: 008e6e47 00000000 00002808 008e6e48 ..nG......(...nH 4a20: 00000014 00020082 008e6e5c 00000006 ..........n\.... 4a30: 00020182 008e6e62 00000011 000200a2 ......nb........ 4a40: 008e6e73 0000000a 00020092 008e6e7d ..ns..........n} 4a50: 00000007 000200a2 008e6e84 00000008 ..........n..... 4a60: 000200a2 008e6e8c 00000006 000200a2 ......n......... 4a70: 008e6e92 00000002 000200a2 008e6e94 ..n...........n. 4a80: 00000000 00000008 008e6560 0000004a ..........e`...J 4a90: 00020082 008e65aa 00000000 00002808 ......e.......(. 4aa0: 008e65ac 00000002 000201a2 008e65ae ..e...........e. 4ab0: 00000013 00020082 008e65c1 00000005 ..........e..... 4ac0: 000200b2 008e65c6 00000000 00002808 ......e.......(. 4ad0: 008e65c8 00000033 000201a2 008e65fb ..e....3......e. 4ae0: 00000027 000201b2 008e6622 00000015 ...'......f".... 4af0: 00020082 008e6637 00000004 000200b2 ......f7........ 4b00: 008e663b 00000001 00000008 008e663c ..f;..........f< 4b10: 0000000d 000200a2 008e6649 00000000 ..........fI.... 4b20: 00000008 008e6649 00000004 000200a2 ......fI........ 4b30: 008e664d 00000000 00000008 008e664d ..fM..........fM 4b40: 0000001b 000200a2 008e6668 00000005 ..........fh.... 4b50: 000200a2 008e666d 00000000 00000008 ......fm........ 4b60: 008e666d 0000000b 000200a2 008e6678 ..fm..........fx 4b70: 00000000 00000008 004e8700 00000010 .........N...... 4b80: 00002804 008e6678 00000018 00020082 ..(...fx........ 4b90: 008e6690 00000008 000200a2 008e6698 ..f...........f. 4ba0: 00000001 00000008 008e6699 0000000f ..........f..... 4bb0: 000200a2 008e66a8 00000015 000200a2 ......f......... 4bc0: 008e66bd 00000002 000200a2 008e66bf ..f...........f. 4bd0: 00000000 00002808 008e66c0 00000002 ......(...f..... 4be0: 000201a2 008e66c2 00000017 00020082 ......f......... 4bf0: 008e66d9 00000002 000200b2 008e66db ..f...........f. 4c00: 00000001 00000008 008e66dc 00000007 ..........f..... 4c10: 000200a2 008e66e3 00000001 00000008 ......f......... 4c20: 008e66e4 00000008 000200a2 008e66ec ..f...........f. 4c30: 00000000 00000008 008e66ec 0000000d ..........f..... 4c40: 000200a2 008e66f9 00000000 00002808 ......f.......(. 4c50: 008e66fc 00000002 00020182 008e66fe ..f...........f. 4c60: 00000010 00020082 008e670e 00000002 ..........g..... 4c70: 000200b2 008e6710 00000000 00000008 ......g......... 4c80: 004e8710 00000000 00002804 008e6710 .N........(...g. 4c90: 0000001b 00020082 008e672b 00000001 ..........g+.... 4ca0: 00000008 008e672c 0000000a 000200a2 ......g,........ 4cb0: 008e6736 00000011 000200a2 008e6747 ..g6..........gG 4cc0: 00000000 00002808 008e6748 00000002 ......(...gH.... 4cd0: 000201a2 008e674a 00000013 00020082 ......gJ........ 4ce0: 008e675d 00000002 000200b2 008e675f ..g]..........g_ 4cf0: 00000005 000200a2 008e6764 00000000 ..........gd.... 4d00: 00000008 008e6764 00000007 000200a2 ......gd........ 4d10: 008e676b 00000001 00000008 008e676c ..gk..........gl 4d20: 00000007 000200a2 008e6773 00000000 ..........gs.... 4d30: 00000008 004e8710 00000000 00002804 .....N........(. 4d40: 008e6774 0000000f 00020082 008e6783 ..gt..........g. 4d50: 00000028 000200a2 008e67ab 00000003 ...(......g..... 4d60: 00020092 008e67ae 00000000 00000008 ......g......... 4d70: 008e67ae 00000004 000200a2 008e67b2 ..g...........g. 4d80: 00000002 00000008 008e67b4 00000005 ..........g..... 4d90: 00020082 008e67b9 00000000 00000008 ......g......... 4da0: 008e67b9 0000000b 000200a2 008e67c4 ..g...........g. 4db0: 00000000 00000008 008e67c4 00000015 ..........g..... 4dc0: 000200a2 008e67d9 00000000 00000008 ......g......... 4dd0: 008e67d9 00000021 000200a2 008e67fa ..g....!......g. 4de0: 00000000 00000008 008e67fa 00000004 ..........g..... 4df0: 000200a2 008e67fe 00000000 00000008 ......g......... 4e00: 008e67fe 00000004 000200a2 008e6802 ..g...........h. 4e10: 00000000 00000008 004e8710 00000004 .........N...... 4e20: 00002804 008e6804 00000014 000200a2 ..(...h......... 4e30: 008e6818 00000021 000200a2 008e6839 ..h....!......h9 4e40: 00000000 00000008 008e6839 00000002 ..........h9.... 4e50: 000200a2 008e683b 00000000 00002808 ......h;......(. 4e60: 008e683c 0000001a 00020082 008e6856 ..h<..........hV 4e70: 00000008 000200a2 008e685e 00000000 ..........h^.... 4e80: 00000008 008e685e 00000008 000200a2 ......h^........ 4e90: 008e6866 0000000f 000200a2 008e6875 ..hf..........hu 4ea0: 00000008 00020092 008e687d 0000000b ..........h}.... 4eb0: 000200a2 008e6888 00000002 000200a2 ......h......... 4ec0: 008e688a 00000002 00000008 008e688c ..h...........h. 4ed0: 0000000d 000200a2 008e6899 00000015 ..........h..... 4ee0: 000200a2 008e68ae 00000000 00002808 ......h.......(. 4ef0: 008e68b0 00000002 000201a2 008e68b2 ..h...........h. 4f00: 0000001d 00020082 008e68cf 00000003 ..........h..... 4f10: 00020092 008e68d2 00000000 00000008 ......h......... 4f20: 008e68d2 0000000c 000200a2 008e68de ..h...........h. 4f30: 00000002 00000008 008e68e0 0000000e ..........h..... 4f40: 000200a2 008e68ee 00000002 00000008 ......h......... 4f50: 008e68f0 00000013 000200a2 008e6903 ..h...........i. 4f60: 00000000 00002808 008e6904 00000002 ......(...i..... 4f70: 000201a2 008e6906 00000014 00020082 ......i......... 4f80: 008e691a 00000003 000200b2 008e691d ..i...........i. 4f90: 00000000 00000008 008e691d 00000002 ..........i..... 4fa0: 000200a2 008e691f 00000000 00000008 ......i......... 4fb0: 004e8718 00000000 00002804 .N........(. Disassembly of section .xt.lit: 00000000 <.xt.lit>: 0: 008e0004 00000018 ........ Disassembly of section .xtensa.info: 00000000 <.xtensa.info>: 0: 0000000c 0000019c 00000001 5874656e ............Xten 10: 73615f49 6e666f00 48575f43 4f4e4649 sa_Info.HW_CONFI 20: 47494430 3d307863 32383064 6266660a GID0=0xc280dbff. 30: 48575f43 4f4e4649 47494431 3d307830 HW_CONFIGID1=0x0 40: 64303232 3330660a 4255494c 445f554e d02230f.BUILD_UN 50: 49515545 5f49443d 30783030 30323233 IQUE_ID=0x000223 60: 30660a41 42493d30 0a555345 5f414253 0f.ABI=0.USE_ABS 70: 4f4c5554 455f4c49 54455241 4c533d31 OLUTE_LITERALS=1 80: 0a48575f 56455253 494f4e3d 224c5832 .HW_VERSION="LX2 90: 2e312e30 220a4857 5f4d494e 5f564552 .1.0".HW_MIN_VER a0: 53494f4e 5f4d414a 4f523d32 3231300a SION_MAJOR=2210. b0: 48575f4d 494e5f56 45525349 4f4e5f4d HW_MIN_VERSION_M c0: 494e4f52 3d300a48 575f4d41 585f5645 INOR=0.HW_MAX_VE d0: 5253494f 4e5f4d41 4a4f523d 32323130 RSION_MAJOR=2210 e0: 0a48575f 4d41585f 56455253 494f4e5f .HW_MAX_VERSION_ f0: 4d494e4f 523d300a 52454c45 4153455f MINOR=0.RELEASE_ 100: 4e414d45 3d225242 2d323030 372e3222 NAME="RB-2007.2" 110: 0a52454c 45415345 5f564552 53494f4e .RELEASE_VERSION 120: 3d22372e 312e3022 0a52454c 45415345 ="7.1.0".RELEASE 130: 5f4d414a 4f523d37 3031300a 52454c45 _MAJOR=7010.RELE 140: 4153455f 4d494e4f 523d300a 434f5245 ASE_MINOR=0.CORE 150: 5f4e414d 453d224d 61677069 655f5030 _NAME="Magpie_P0 160: 220a5449 455f4348 45434b53 554d5f30 ".TIE_CHECKSUM_0 170: 3d300a54 49455f43 4845434b 53554d5f =0.TIE_CHECKSUM_ 180: 313d300a 5449455f 43484543 4b53554d 1=0.TIE_CHECKSUM 190: 5f323d30 0a544945 5f434845 434b5355 _2=0.TIE_CHECKSU 1a0: 4d5f333d 300a5444 4b5f5041 54483d22 M_3=0.TDK_PATH=" 1b0: 220a0000 "...